aboutsummaryrefslogtreecommitdiffstats
path: root/fpga
diff options
context:
space:
mode:
Diffstat (limited to 'fpga')
-rw-r--r--fpga/docs/usrp3/build_instructions.md33
-rw-r--r--fpga/docs/usrp3/vivado_env_utils.md1
-rw-r--r--fpga/usrp3/tools/utils/testbenches.excludes2
-rw-r--r--fpga/usrp3/top/x400/.gitignore7
-rw-r--r--fpga/usrp3/top/x400/Makefile192
-rw-r--r--fpga/usrp3/top/x400/Makefile.x4xx.inc197
-rw-r--r--fpga/usrp3/top/x400/build_x4xx.tcl38
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/common.xdc192
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/dram.xdc344
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/ipass.xdc60
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp0_0.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp0_1.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp0_2.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp0_3.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp1_0.xdc29
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp1_1.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp1_2.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/qsfp1_3.xdc21
-rw-r--r--fpga/usrp3/top/x400/constraints/pins/rfdc_2x2.xdc86
-rw-r--r--fpga/usrp3/top/x400/constraints/timing/common.xdc415
-rw-r--r--fpga/usrp3/top/x400/constraints/timing/dram.xdc55
-rw-r--r--fpga/usrp3/top/x400/constraints/timing/qsfp_10gbe.xdc19
-rw-r--r--fpga/usrp3/top/x400/constraints/timing/shared_constants.sdc130
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/Makefile.srcs22
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec1.edif69922
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec1.v39998
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec1_stub.v20
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec2.edif43626
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec2.v24109
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec2_stub.v20
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec3.edif36338
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec3.v20014
-rw-r--r--fpga/usrp3/top/x400/coregen_dsp/hbdec3_stub.v20
-rw-r--r--fpga/usrp3/top/x400/cpld_interface.v638
-rw-r--r--fpga/usrp3/top/x400/cpld_interface_regs.v285
-rw-r--r--fpga/usrp3/top/x400/ctrlport_spi_master.v243
-rw-r--r--fpga/usrp3/top/x400/dboards/ctrlport_byte_deserializer.v186
-rw-r--r--fpga/usrp3/top/x400/dboards/ctrlport_byte_serializer.v228
-rw-r--r--fpga/usrp3/top/x400/dboards/db_gpio_interface.v323
-rw-r--r--fpga/usrp3/top/x400/dboards/db_gpio_reordering.v108
-rw-r--r--fpga/usrp3/top/x400/doc/X4XX_FPGA.htm10
-rw-r--r--fpga/usrp3/top/x400/doc/X4XX_FPGA_left.htm684
-rw-r--r--fpga/usrp3/top/x400/doc/X4XX_FPGA_right.htm22509
-rw-r--r--fpga/usrp3/top/x400/dts/usrp_x410_fpga_C1.dts20
-rw-r--r--fpga/usrp3/top/x400/dts/usrp_x410_fpga_CG.dts22
-rw-r--r--fpga/usrp3/top/x400/dts/usrp_x410_fpga_X1.dts21
-rw-r--r--fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4.dts20
-rw-r--r--fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4C.dts22
-rw-r--r--fpga/usrp3/top/x400/dts/usrp_x410_fpga_XG.dts22
-rw-r--r--fpga/usrp3/top/x400/dts/x410-100gbe-port0.dtsi41
-rw-r--r--fpga/usrp3/top/x400/dts/x410-100gbe-port1.dtsi41
-rw-r--r--fpga/usrp3/top/x400/dts/x410-10gbe-port0-x4.dtsi134
-rw-r--r--fpga/usrp3/top/x400/dts/x410-10gbe-port0.dtsi41
-rw-r--r--fpga/usrp3/top/x400/dts/x410-10gbe-port1-x4.dtsi134
-rw-r--r--fpga/usrp3/top/x400/dts/x410-10gbe-port1.dtsi41
-rw-r--r--fpga/usrp3/top/x400/dts/x410-common.dtsi28
-rw-r--r--fpga/usrp3/top/x400/dts/x410-dma.dtsi50
-rw-r--r--fpga/usrp3/top/x400/dts/x410-fpga.dtsi9
-rw-r--r--fpga/usrp3/top/x400/dts/x410-rfdc.dtsi38
-rw-r--r--fpga/usrp3/top/x400/ip/Makefile.inc87
-rw-r--r--fpga/usrp3/top/x400/ip/adc_100m_bd/.gitignore1
-rw-r--r--fpga/usrp3/top/x400/ip/adc_100m_bd/Makefile.inc38
-rw-r--r--fpga/usrp3/top/x400/ip/adc_100m_bd/adc_100m_bd.tcl435
-rw-r--r--fpga/usrp3/top/x400/ip/adc_100m_bd/hdl_sources.tcl10
-rw-r--r--fpga/usrp3/top/x400/ip/adc_400m_bd/Makefile.inc38
-rw-r--r--fpga/usrp3/top/x400/ip/adc_400m_bd/adc_400m_bd.tcl432
-rw-r--r--fpga/usrp3/top/x400/ip/adc_400m_bd/hdl_sources.tcl10
-rw-r--r--fpga/usrp3/top/x400/ip/adc_400m_bd/synthstub/adc_400m_bd.vhd48
-rw-r--r--fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/Makefile.inc21
-rw-r--r--fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci584
-rw-r--r--fpga/usrp3/top/x400/ip/axi_eth_dma_bd/Makefile.inc31
-rw-r--r--fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma.sv73
-rw-r--r--fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma_bd.tcl325
-rw-r--r--fpga/usrp3/top/x400/ip/axi_eth_dma_bd/synthstub/axi_eth_dma_bd.vhd90
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/Makefile.inc28
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/axi_interconnect_app_bd.tcl303
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/Makefile.inc41
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma.sv40
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma_bd.tcl405
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/Makefile.inc41
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth.sv98
-rw-r--r--fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth_bd.tcl462
-rw-r--r--fpga/usrp3/top/x400/ip/dac_100m_bd/.gitignore1
-rw-r--r--fpga/usrp3/top/x400/ip/dac_100m_bd/Makefile.inc36
-rw-r--r--fpga/usrp3/top/x400/ip/dac_100m_bd/dac_100m_bd.tcl389
-rw-r--r--fpga/usrp3/top/x400/ip/dac_100m_bd/hdl_sources.tcl6
-rw-r--r--fpga/usrp3/top/x400/ip/dac_400m_bd/Makefile.inc38
-rw-r--r--fpga/usrp3/top/x400/ip/dac_400m_bd/dac_400m_bd.tcl348
-rw-r--r--fpga/usrp3/top/x400/ip/dac_400m_bd/hdl_sources.tcl9
-rw-r--r--fpga/usrp3/top/x400/ip/dac_400m_bd/synthstub/dac_400m_bd.vhd44
-rw-r--r--fpga/usrp3/top/x400/ip/ddr4_64bits/Makefile.inc18
-rw-r--r--fpga/usrp3/top/x400/ip/ddr4_64bits/ddr4_64bits.xci450
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/Makefile.inc53
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/PkgEth100gLbus.sv36
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g.sv1220
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_axis2lbus.sv120
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_bd.tcl361
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_lbus2axis.sv555
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/Makefile62
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/axi_lbus_tb.sv285
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_all_tb.sv22
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_axi_tb.sv343
-rw-r--r--fpga/usrp3/top/x400/ip/eth_100g_bd/model_100gbe.sv234
-rw-r--r--fpga/usrp3/top/x400/ip/fifo_4k_2clk/Makefile.inc21
-rw-r--r--fpga/usrp3/top/x400/ip/fifo_4k_2clk/fifo_4k_2clk.xci576
-rw-r--r--fpga/usrp3/top/x400/ip/fifo_short_2clk/Makefile.inc21
-rw-r--r--fpga/usrp3/top/x400/ip/fifo_short_2clk/fifo_short_2clk.xci578
-rw-r--r--fpga/usrp3/top/x400/ip/hb47_1to2/Makefile.inc17
-rw-r--r--fpga/usrp3/top/x400/ip/hb47_1to2/hb47_1to2.xci298
-rw-r--r--fpga/usrp3/top/x400/ip/hb47_2to1/Makefile.inc17
-rw-r--r--fpga/usrp3/top/x400/ip/hb47_2to1/hb47_2to1.xci313
-rw-r--r--fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/Makefile.inc46
-rw-r--r--fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/hdl_sources.tcl14
-rw-r--r--fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/common_regs.v550
-rw-r--r--fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/uhd_regs.v336
-rw-r--r--fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/synthstub/x4xx_ps_rfdc_bd.vhd411
-rw-r--r--fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/x4xx_ps_rfdc_bd.tcl3681
-rw-r--r--fpga/usrp3/top/x400/ip/xge_pcs_pma/Makefile.inc47
-rw-r--r--fpga/usrp3/top/x400/ip/xge_pcs_pma/eth_10g.sv173
-rw-r--r--fpga/usrp3/top/x400/ip/xge_pcs_pma/model_10gbe.sv174
-rw-r--r--fpga/usrp3/top/x400/ip/xge_pcs_pma/ten_gige_phy.v274
-rw-r--r--fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma.xci1184
-rw-r--r--fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma_wrapper.v.patch30
-rw-r--r--fpga/usrp3/top/x400/ipass_present_controller.v123
-rw-r--r--fpga/usrp3/top/x400/qsfp_led_controller.v107
-rw-r--r--fpga/usrp3/top/x400/regmap/PkgRFDC_REGS_REGMAP.vhd663
-rw-r--r--fpga/usrp3/top/x400/regmap/axi_hpm0_regmap_utils.vh110
-rw-r--r--fpga/usrp3/top/x400/regmap/core_regs_regmap_utils.vh41
-rw-r--r--fpga/usrp3/top/x400/regmap/cpld_interface_regmap_utils.vh71
-rw-r--r--fpga/usrp3/top/x400/regmap/dio_regmap_utils.vh69
-rw-r--r--fpga/usrp3/top/x400/regmap/global_regs_regmap_utils.vh276
-rw-r--r--fpga/usrp3/top/x400/regmap/pl_cpld_regmap_utils.vh41
-rw-r--r--fpga/usrp3/top/x400/regmap/radio_ctrlport_regmap_utils.vh31
-rw-r--r--fpga/usrp3/top/x400/regmap/rfdc_regs_regmap_utils.vh303
-rw-r--r--fpga/usrp3/top/x400/regmap/rfdc_timing_regmap_utils.vh45
-rw-r--r--fpga/usrp3/top/x400/regmap/versioning_regs_regmap_utils.vh153
-rw-r--r--fpga/usrp3/top/x400/regmap/versioning_utils.vh109
-rw-r--r--fpga/usrp3/top/x400/rf/100m/adc_3_1_clk_converter.vhd114
-rw-r--r--fpga/usrp3/top/x400/rf/100m/adc_gearbox_2x1.v120
-rw-r--r--fpga/usrp3/top/x400/rf/100m/dac_1_3_clk_converter.vhd143
-rw-r--r--fpga/usrp3/top/x400/rf/100m/dac_2_1_clk_converter.vhd118
-rw-r--r--fpga/usrp3/top/x400/rf/100m/ddc_saturate.vhd83
-rw-r--r--fpga/usrp3/top/x400/rf/100m/duc_saturate.vhd87
-rw-r--r--fpga/usrp3/top/x400/rf/100m/rf_core_100m.v362
-rw-r--r--fpga/usrp3/top/x400/rf/200m/rf_core_200m.v220
-rw-r--r--fpga/usrp3/top/x400/rf/200m/rf_down_4to2.v149
-rw-r--r--fpga/usrp3/top/x400/rf/200m/rf_up_2to4.v149
-rw-r--r--fpga/usrp3/top/x400/rf/400m/adc_gearbox_2x4.vhd142
-rw-r--r--fpga/usrp3/top/x400/rf/400m/adc_gearbox_8x4.v105
-rw-r--r--fpga/usrp3/top/x400/rf/400m/dac_gearbox_12x8.vhd233
-rw-r--r--fpga/usrp3/top/x400/rf/400m/dac_gearbox_4x2.v80
-rw-r--r--fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x12.vhd124
-rw-r--r--fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x8.vhd99
-rw-r--r--fpga/usrp3/top/x400/rf/400m/ddc_400m_saturate.vhd81
-rw-r--r--fpga/usrp3/top/x400/rf/400m/duc_400m_saturate.vhd86
-rw-r--r--fpga/usrp3/top/x400/rf/400m/rf_core_400m.v387
-rw-r--r--fpga/usrp3/top/x400/rf/common/PkgRf.vhd220
-rw-r--r--fpga/usrp3/top/x400/rf/common/axis_mux.vhd98
-rw-r--r--fpga/usrp3/top/x400/rf/common/capture_sysref.v50
-rw-r--r--fpga/usrp3/top/x400/rf/common/clock_gates.vhd300
-rw-r--r--fpga/usrp3/top/x400/rf/common/gpio_to_axis_mux.vhd147
-rw-r--r--fpga/usrp3/top/x400/rf/common/rf_nco_reset.vhd228
-rw-r--r--fpga/usrp3/top/x400/rf/common/rf_reset.vhd216
-rw-r--r--fpga/usrp3/top/x400/rf/common/rf_reset_controller.vhd208
-rw-r--r--fpga/usrp3/top/x400/rf/common/scale_2x.vhd51
-rw-r--r--fpga/usrp3/top/x400/rf/common/sync_wrapper.v43
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x1.vhd186
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x4.vhd197
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_8x4.vhd206
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_capture_sysref.vhd119
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_12x8.vhd197
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_4x2.vhd168
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_6x12.vhd187
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_ddc_400m_saturate.vhd125
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_duc_400m_saturate.vhd133
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_rf_nco_reset.vhd281
-rw-r--r--fpga/usrp3/top/x400/rf/testbench/tb_rf_reset_controller.vhd436
-rw-r--r--fpga/usrp3/top/x400/rfdc_timing_control.v289
-rw-r--r--fpga/usrp3/top/x400/setupenv.sh16
-rw-r--r--fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/Makefile134
-rw-r--r--fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_all_tb.sv77
-rw-r--r--fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_tb.sv1641
-rw-r--r--fpga/usrp3/top/x400/tools/get_dts_input.py58
-rwxr-xr-xfpga/usrp3/top/x400/tools/parse_versions_for_dts.py153
-rw-r--r--fpga/usrp3/top/x400/x410_100_rfnoc_image_core.v1092
-rw-r--r--fpga/usrp3/top/x400/x410_100_rfnoc_image_core.vh21
-rw-r--r--fpga/usrp3/top/x400/x410_100_rfnoc_image_core.yml117
-rw-r--r--fpga/usrp3/top/x400/x410_100_static_router.hex17
-rw-r--r--fpga/usrp3/top/x400/x410_200_rfnoc_image_core.v1092
-rw-r--r--fpga/usrp3/top/x400/x410_200_rfnoc_image_core.vh21
-rw-r--r--fpga/usrp3/top/x400/x410_200_rfnoc_image_core.yml117
-rw-r--r--fpga/usrp3/top/x400/x410_200_static_router.hex17
-rw-r--r--fpga/usrp3/top/x400/x410_400_rfnoc_image_core.v852
-rw-r--r--fpga/usrp3/top/x400/x410_400_rfnoc_image_core.vh21
-rw-r--r--fpga/usrp3/top/x400/x410_400_rfnoc_image_core.yml90
-rw-r--r--fpga/usrp3/top/x400/x410_400_static_router.hex9
-rw-r--r--fpga/usrp3/top/x400/x4xx.v2232
-rw-r--r--fpga/usrp3/top/x400/x4xx_core.v454
-rw-r--r--fpga/usrp3/top/x400/x4xx_core_common.v357
-rw-r--r--fpga/usrp3/top/x400/x4xx_dio.v276
-rw-r--r--fpga/usrp3/top/x400/x4xx_global_regs.v703
-rw-r--r--fpga/usrp3/top/x400/x4xx_mgt_io_core.sv423
-rw-r--r--fpga/usrp3/top/x400/x4xx_mgt_types.vh15
-rw-r--r--fpga/usrp3/top/x400/x4xx_pps_sync.v426
-rw-r--r--fpga/usrp3/top/x400/x4xx_qsfp_wrapper.sv566
-rw-r--r--fpga/usrp3/top/x400/x4xx_qsfp_wrapper_temp.sv329
-rw-r--r--fpga/usrp3/top/x400/x4xx_versioning_regs.v267
207 files changed, 299667 insertions, 1 deletions
diff --git a/fpga/docs/usrp3/build_instructions.md b/fpga/docs/usrp3/build_instructions.md
index d70c633b7..aa27792df 100644
--- a/fpga/docs/usrp3/build_instructions.md
+++ b/fpga/docs/usrp3/build_instructions.md
@@ -28,6 +28,7 @@ The following USRPs work with the free WebPack versions:
- USRP E320: Zynq-7000 XC7Z045 (7 Series)
- USRP N300: Zynq-7000 XC7Z035 (7 Series)
- USRP N310/N320: Zynq-7000 XC7Z100 (7 Series)
+- USRP X410: RFSoC XCZU28DR (UltraScale+)
### Requirements
@@ -87,6 +88,7 @@ The following additional packages are also required and can be selected in the G
+ `e31x:` For USRP E310
+ `e320:` For USRP E320
+ `n3xx:` For USRP N300/N310/N320
+ + `x400:` For USRP X410
- To add vivado to the PATH and to setup up the Ettus Xilinx build environment run
+ `source setupenv.sh` (If Vivado is installed in the default path /opt/Xilinx/Vivado) _OR_
@@ -101,7 +103,8 @@ The following additional packages are also required and can be selected in the G
### Environment Utilities
-The build environment also defines many ease-of-use utilities. Please use the \subpage md_usrp3_vivado_env_utils "Vivado Utility Reference" page for
+The build environment also defines many ease-of-use utilities. Please use
+the \subpage md_usrp3_vivado_env_utils "Vivado Utility Reference" page for
a list and usage information
## Build Instructions (Xilinx ISE only)
@@ -217,6 +220,34 @@ For the N320 targets see also the N320 manual page on the UHD manual.
- `build/usrp_<product>_fpga.dts` : Device tree overlay
- `build/usrp_<product>_fpga.rpt` : System, utilization and timing summary report
+### X4x0 Targets and Outputs
+
+#### Supported Targets
+
+Unlike the USRP X310, the target types do not only describe the connector
+configuration, but also the available master clock rates. For example, the FPGA
+target type `X4_200` is configured for a 200 MHz analog bandwidth, and can
+support a 245.76 MHz or 250 MHz master clock rate.
+
+A more detailed description of the targets can be found at \ref x4xx_updating_fpga_types.
+The following targets are available through the Makefile:
+
+- `X1_100`
+- `X4_{100, 200}`
+- `XG_{100, 200}`
+- `X4_{100, 200}`
+
+The following bitstreams can be built, but are considered experimental:
+
+- `X4C_{100, 200}`
+- `C1_400`
+- `CG_{100, 400}`
+
+#### Outputs
+- `build/usrp_<product>_fpga.bit` : Configuration bitstream with header
+- `build/usrp_<product>_fpga.dts` : Device tree overlay
+- `build/usrp_<product>_fpga.rpt` : System, utilization and timing summary report
+
### Additional Build Options
It is possible to make a target and specify additional options in the form VAR=VALUE in
diff --git a/fpga/docs/usrp3/vivado_env_utils.md b/fpga/docs/usrp3/vivado_env_utils.md
index 601b9b5a5..6b56d6244 100644
--- a/fpga/docs/usrp3/vivado_env_utils.md
+++ b/fpga/docs/usrp3/vivado_env_utils.md
@@ -7,6 +7,7 @@
+ `e31x:` For USRP E310
+ `e320:` For USRP E320
+ `n3xx:` For USRP N300/N310/N320
+ + `x400:` For USRP X410
- To setup up the Ettus Research Xilinx build environment run
+ `source setupenv.sh` (If Vivado is installed in the default path /opt/Xilinx/Vivado) _OR_
diff --git a/fpga/usrp3/tools/utils/testbenches.excludes b/fpga/usrp3/tools/utils/testbenches.excludes
index e2f3fcb63..9566b0015 100644
--- a/fpga/usrp3/tools/utils/testbenches.excludes
+++ b/fpga/usrp3/tools/utils/testbenches.excludes
@@ -13,3 +13,5 @@ top/x300/sim/x300_pcie_int
lib/axi4s_sv/axi4s_remove_bytes_tb
lib/axi4s_sv/axi4s_add_bytes_tb
lib/rfnoc/xport_sv/eth_interface_tb
+top/x400/sim/x4xx_qsfp_wrapper
+top/x400/ip/eth_100g_bd/lbus_tb
diff --git a/fpga/usrp3/top/x400/.gitignore b/fpga/usrp3/top/x400/.gitignore
new file mode 100644
index 000000000..416def8e1
--- /dev/null
+++ b/fpga/usrp3/top/x400/.gitignore
@@ -0,0 +1,7 @@
+build
+build-*
+*.log
+*.jou
+vivado*.str
+ip/*/sim/
+dts/x410-version-info.dtsi
diff --git a/fpga/usrp3/top/x400/Makefile b/fpga/usrp3/top/x400/Makefile
new file mode 100644
index 000000000..c96e9b2d0
--- /dev/null
+++ b/fpga/usrp3/top/x400/Makefile
@@ -0,0 +1,192 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+# NOTE: All comments prefixed with a "##" will be displayed as a part of the "make help" target
+##-------------------
+##USRP X4XX FPGA Help
+##-------------------
+##Usage:
+## make <Targets> <Options>
+##
+##Output:
+## build/usrp_<product>_fpga_<image_type>.bit: Configuration bitstream with header
+## build/usrp_<product>_fpga_<image_type>.dts: Device tree source file
+## build/usrp_<product>_fpga_<image_type>.rpt: Build report (includes utilization and timing summary)
+
+
+
+# Definitions
+# MGT Types from x4xx_mgt_type.vh
+MGT_100GbE = 5
+MGT_Aurora = 3
+MGT_10GbE = 2
+MGT_Disabled = 0
+
+# For a 4-lane MGT like 100GBE set QSFPx_0=MGT_100GbE and all others to
+# MGT_Disabled. For a 1-lane MGT like 10GbE do not set anything for unused
+# lanes. This ensures something is defined only for the lanes that are used, so
+# that the TX/RX signals are connected. The presence of a define causes TX/RX
+# to be declared, whereas declaring TX/RX on an unused lane will cause an error
+# in bitgen for unconstrained pins after it is optimized out.
+
+QSFP0_10GBE = QSFP0_0=$(MGT_10GbE)
+QSFP0_4X10GBE = QSFP0_0=$(MGT_10GbE) QSFP0_1=$(MGT_10GbE) QSFP0_2=$(MGT_10GbE) QSFP0_3=$(MGT_10GbE)
+QSFP0_100GBE = QSFP0_0=$(MGT_100GbE) QSFP0_1=$(MGT_Disabled) QSFP0_2=$(MGT_Disabled) QSFP0_3=$(MGT_Disabled)
+
+QSFP1_10GBE = QSFP1_0=$(MGT_10GbE)
+QSFP1_4X10GBE = QSFP1_0=$(MGT_10GbE) QSFP1_1=$(MGT_10GbE) QSFP1_2=$(MGT_10GbE) QSFP1_3=$(MGT_10GbE)
+QSFP1_100GBE = QSFP1_0=$(MGT_100GbE) QSFP1_1=$(MGT_Disabled) QSFP1_2=$(MGT_Disabled) QSFP1_3=$(MGT_Disabled)
+
+
+# Target specific variables
+X410_IP: DEFS = $(QSFP0_10GBE) RFBW_100M=1
+X410_X1_100: DEFS = $(QSFP0_10GBE) RFBW_100M=1
+X410_XG_100: DEFS = $(QSFP0_10GBE) $(QSFP1_10GBE) RFBW_100M=1
+X410_X4_100: DEFS = $(QSFP0_4X10GBE) RFBW_100M=1
+X410_X4C_100: DEFS = $(QSFP0_4X10GBE) $(QSFP1_100GBE) RFBW_100M=1 USE_100GBE=1
+X410_CG_100: DEFS = $(QSFP0_100GBE) RFBW_100M=1 USE_100GBE=1
+X410_CG_200: DEFS = $(QSFP0_100GBE) RFBW_200M=1 USE_100GBE=1
+X410_XG_200: DEFS = $(QSFP0_10GBE) $(QSFP1_10GBE) RFBW_200M=1
+X410_X4_200: DEFS = $(QSFP0_4X10GBE) RFBW_200M=1
+X410_X4C_200: DEFS = $(QSFP0_4X10GBE) $(QSFP1_100GBE) RFBW_200M=1 USE_100GBE=1
+X410_C1_400: DEFS = $(QSFP0_100GBE) RFBW_400M=1 USE_100GBE=1
+X410_CG_400: DEFS = $(QSFP0_100GBE) $(QSFP1_100GBE) RFBW_400M=1 USE_100GBE=1
+
+DEFS += $(OPTIONS)
+
+# Defaults specific to the various targets:
+X410_100_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=x410_100_rfnoc_image_core.v DEFAULT_EDGE_FILE=$(abspath x410_100_static_router.hex)
+X410_200_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=x410_200_rfnoc_image_core.v DEFAULT_EDGE_FILE=$(abspath x410_200_static_router.hex)
+X410_400_DEFAULTS:=DEFAULT_RFNOC_IMAGE_CORE_FILE=x410_400_rfnoc_image_core.v DEFAULT_EDGE_FILE=$(abspath x410_400_static_router.hex)
+
+
+# Option to stop after RTL elaboration. Use this flag as a synthesis check.
+ifndef TARGET
+ ifdef CHECK
+ TARGET = rtl
+ else ifdef SYNTH
+ TARGET = synth
+ else
+ TARGET = bin
+ endif
+endif
+TOP ?= x4xx
+
+# vivado_build($1=Device, $2=Definitions)
+vivado_build = make -f Makefile.x4xx.inc $(TARGET) NAME=$@ ARCH=$(XIL_ARCH_$1) PART_ID=$(XIL_PART_ID_$1) $2 TOP_MODULE=$(TOP) EXTRA_DEFS="$2" $3
+
+# vivado_build($1=Device, $2=Option)
+ifeq ($(TARGET),bin)
+ post_build = @\
+ mkdir -p build; \
+ echo "Exporting bitstream file..."; \
+ cp build-$(1)_$(2)/x4xx.bit build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).bit; \
+ echo "Exporting build report..."; \
+ cp build-$(1)_$(2)/build.rpt build/usrp_`echo $(1) | tr A-Z a-z`_fpga_$(2).rpt; \
+ echo "Build DONE ... $(1)_$(2)";
+else
+ post_build = @echo "Skipping bitfile export."
+endif
+
+# vivado_ip($1=Device, $2=Definitions)
+vivado_ip = make -f Makefile.x4xx.inc viv_ip NAME=$@ ARCH=$(XIL_ARCH_$1) PART_ID=$(XIL_PART_ID_$1) $2 TOP_MODULE=$(TOP) EXTRA_DEFS="$2"
+
+##
+##Supported Targets
+##-----------------
+
+all: X410_X4_200 ##(Default target)
+
+##X410_X1_100: 10GbE on QSFP0 (Lane 0), 100MHz Bandwidth
+X410_X1_100: build/usrp_x410_fpga_X1_100.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_100_DEFAULTS))
+ $(call post_build,X410,X1_100)
+
+##X410_XG_100: 10GbE on QSFP0 (Lane 0) and QSFP1 (Lane 0), 100MHz Bandwidth
+X410_XG_100: build/usrp_x410_fpga_XG_100.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_100_DEFAULTS))
+ $(call post_build,X410,XG_100)
+
+##X410_X4_100: 4x10GbE on QSFP0, 100MHz Bandwidth
+X410_X4_100: build/usrp_x410_fpga_X4_100.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_100_DEFAULTS))
+ $(call post_build,X410,X4_100)
+
+##X410_X4C_100: (EXPERIMENTAL) 4x10GbE on QSFP0, 100GbE on QSFP1, 100MHz Bandwidth
+X410_X4C_100: build/usrp_x410_fpga_X4C_100.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_400_DEFAULTS))
+ $(call post_build,X410,X4C_100)
+
+##X410_CG_100: (EXPERIMENTAL) 100GbE on QSFP0, 100MHz Bandwidth
+X410_CG_100: build/usrp_x410_fpga_CG_100.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_400_DEFAULTS))
+ $(call post_build,X410,CG_100)
+
+##X410_XG_200: 10GbE on QSFP0 (Lane 0) and QSFP1 (Lane 0), 200MHz Bandwidth
+X410_XG_200: build/usrp_x410_fpga_XG_200.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_200_DEFAULTS))
+ $(call post_build,X410,XG_200)
+
+##X410_X4_200: 4x10GbE on QSFP0, 200MHz Bandwidth
+X410_X4_200: build/usrp_x410_fpga_X4_200.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_200_DEFAULTS))
+ $(call post_build,X410,X4_200)
+
+##X410_X4C_200: (EXPERIMENTAL) 4x10GbE on QSFP0, 100GbE on QSFP1, 200MHz Bandwidth
+X410_X4C_200: build/usrp_x410_fpga_X4C_200.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_400_DEFAULTS))
+ $(call post_build,X410,X4C_200)
+
+##X410_CG_200: (EXPERIMENTAL) 100GbE on QSFP0, 200MHz Bandwidth
+X410_CG_200: build/usrp_x410_fpga_CG_200.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_400_DEFAULTS))
+ $(call post_build,X410,CG_200)
+
+##X410_C1_400: (EXPERIMENTAL) 100GbE on QSFP0, 400MHz Bandwidth
+X410_C1_400: build/usrp_x410_fpga_C1_400.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_400_DEFAULTS))
+ $(call post_build,X410,C1_400)
+
+##X410_CG_400: (EXPERIMENTAL) 100GbE on QSFP0 and QSFP1, 400MHz Bandwidth
+X410_CG_400: build/usrp_x410_fpga_CG_400.dts
+ $(call vivado_build,X410,$(DEFS) X410=1,$(X410_400_DEFAULTS))
+ $(call post_build,X410,CG_400)
+
+X410_IP: ##Build IPs only
+ $(call vivado_ip,X410,$(DEFS) X410=1)
+
+build/%.dts: dts/*.dts dts/*.dtsi
+ -mkdir -p build
+ tools/parse_versions_for_dts.py \
+ --input regmap/versioning_regs_regmap_utils.vh \
+ --output dts/x410-version-info.dtsi \
+ --components fpga,cpld_ifc,db_gpio_ifc,rf_core_100m,rf_core_400m
+ ${CC} -o $@ -C -E -I dts -nostdinc -undef -x assembler-with-cpp -D__DTS__ \
+ $$(python3 tools/get_dts_input.py --target $@)
+
+clean: ##Clean up all target build outputs.
+ @echo "Cleaning targets..."
+ @rm -rf build-X4*
+ @rm -rf build
+
+cleanall: ##Clean up all target and ip build outputs.
+ @echo "Cleaning targets and IP..."
+ @rm -rf build-ip
+ @rm -rf build-X4*
+ @rm -rf build
+
+help: ##Show this help message.
+ @grep -h "##" Makefile | grep -v "\"##\"" | sed -e 's/\\$$//' | sed -e 's/##//'
+
+##
+##Supported Options
+##-----------------
+##GUI=1 Launch the build in the Vivado GUI.
+##CHECK=1 Launch the syntax checker instead of building a bitfile.
+##SYNTH=1 Launch the build but stop after synthesis.
+##TOP=<module> Specify a top module for syntax checking. (Optional. Default is the bitfile top)
+
+.PHONY: all clean cleanall help
diff --git a/fpga/usrp3/top/x400/Makefile.x4xx.inc b/fpga/usrp3/top/x400/Makefile.x4xx.inc
new file mode 100644
index 000000000..3bcbe38cd
--- /dev/null
+++ b/fpga/usrp3/top/x400/Makefile.x4xx.inc
@@ -0,0 +1,197 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+##################################################
+# Project Setup
+##################################################
+# TOP_MODULE = <Input arg>
+# NAME = <Input arg>
+# PART_ID = <Input arg>
+# ARCH = <Input arg>
+
+##################################################
+# Include other makefiles
+##################################################
+
+BASE_DIR = $(abspath ..)
+IP_DIR = $(abspath ./ip)
+include $(BASE_DIR)/../tools/make/viv_design_builder.mak
+
+# Include IP directory
+include $(IP_DIR)/Makefile.inc
+
+# Include any LIB dependencies
+include coregen_dsp/Makefile.srcs
+include $(LIB_DIR)/control/Makefile.srcs
+include $(LIB_DIR)/fifo/Makefile.srcs
+include $(LIB_DIR)/xge/Makefile.srcs
+include $(LIB_DIR)/xge_interface/Makefile.srcs
+include $(LIB_DIR)/axi/Makefile.srcs
+include $(LIB_DIR)/packet_proc/Makefile.srcs
+include $(LIB_DIR)/dsp/Makefile.srcs
+include $(LIB_DIR)/ip/Makefile.inc
+include $(LIB_DIR)/rfnoc/Makefile.srcs
+include $(LIB_DIR)/wb_spi/Makefile.srcs
+include $(LIB_DIR)/axi4_sv/Makefile.srcs
+include $(LIB_DIR)/axi4s_sv/Makefile.srcs
+include $(LIB_DIR)/axi4lite_sv/Makefile.srcs
+include $(LIB_DIR)/rfnoc/xport_sv/Makefile.srcs
+
+# For sake of convenience, we include the Makefile.srcs for DRAM FIFO, DDC, and
+# DUC, Replay, and of course the radio. Any other block needs to use the
+# RFNOC_OOT_MAKEFILE_SRCS variable (see below).
+include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_null_src_sink/Makefile.srcs
+include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_radio/Makefile.srcs
+include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_ddc/Makefile.srcs
+include $(LIB_DIR)/rfnoc/blocks/rfnoc_block_duc/Makefile.srcs
+
+# If out-of-tree modules want to be compiled into this image, then they need to
+# pass in the RFNOC_OOT_MAKEFILE_SRCS as a list of Makefile.srcs files.
+# Those files need to amend the RFNOC_OOT_SRCS variable with a list of actual
+# source files.
+include $(RFNOC_OOT_MAKEFILE_SRCS)
+
+IMAGE_CORE ?= $(DEFAULT_RFNOC_IMAGE_CORE_FILE)
+EDGE_FILE ?= $(DEFAULT_EDGE_FILE)
+
+##################################################
+# Sources
+##################################################
+TOP_SRCS =
+
+ifdef X410
+TOP_SRCS += \
+x4xx.v \
+x4xx_qsfp_wrapper_temp.sv \
+x4xx_qsfp_wrapper.sv \
+x4xx_mgt_io_core.sv \
+x4xx_core.v \
+x4xx_core_common.v \
+x4xx_global_regs.v \
+x4xx_versioning_regs.v \
+x4xx_dio.v \
+rf/100m/rf_core_100m.v \
+rf/200m/rf_core_200m.v \
+rf/200m/rf_down_4to2.v \
+rf/200m/rf_up_2to4.v \
+rf/400m/rf_core_400m.v \
+rf/common/rf_reset_controller.vhd \
+ctrlport_spi_master.v \
+cpld_interface.v \
+cpld_interface_regs.v \
+qsfp_led_controller.v \
+rfdc_timing_control.v \
+x4xx_pps_sync.v \
+../../lib/timing/pps_generator.v \
+dboards/ctrlport_byte_serializer.v \
+dboards/db_gpio_interface.v \
+dboards/db_gpio_reordering.v \
+ipass_present_controller.v \
+$(IMAGE_CORE)
+endif
+
+MB_XDC = \
+constraints/pins/common.xdc \
+constraints/pins/rfdc_2x2.xdc \
+constraints/timing/shared_constants.sdc \
+constraints/timing/common.xdc
+
+# Definitions
+# MGT Types from x4xx_mgt_type.vh
+MGT_100GbE = 5
+MGT_Aurora = 3
+MGT_10GbE = 2
+MGT_Disabled = 0
+
+# Check if any of the ports are set to 10Gbe
+ifneq (,$(findstring =$(MGT_10GbE),$(EXTRA_DEFS)))
+ MB_XDC += constraints/timing/qsfp_10gbe.xdc
+endif
+
+# Add pin constraints for QSFP pins
+ifneq (,$(findstring QSFP0_0,"$(EXTRA_DEFS)"))
+ MB_XDC += constraints/pins/qsfp0_0.xdc
+endif
+ifneq (,$(findstring QSFP0_1,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp0_1.xdc
+endif
+ifneq (,$(findstring QSFP0_2,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp0_2.xdc
+endif
+ifneq (,$(findstring QSFP0_3,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp0_3.xdc
+endif
+
+ifneq (,$(findstring QSFP1_0,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp1_0.xdc
+endif
+ifneq (,$(findstring QSFP1_1,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp1_1.xdc
+endif
+ifneq (,$(findstring QSFP1_2,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp1_2.xdc
+endif
+ifneq (,$(findstring QSFP1_3,$(EXTRA_DEFS)))
+ MB_XDC += constraints/pins/qsfp1_3.xdc
+endif
+
+ifndef NO_DRAM_FIFOS
+DRAM_SRCS =
+else
+DRAM_SRCS =
+endif
+
+ifdef X410
+DESIGN_SRCS = $(abspath $(MB_XDC))
+endif
+
+# The XDC files must be read in a specific order, motherboard first and then daughterboard.
+# Outside of that, all the other sources can be read in any order desired.
+DESIGN_SRCS += $(abspath \
+$(AXI4_SV_SRCS) $(AXI4S_SV_SRCS) $(AXI4LITE_SV_SRCS) $(TOP_SRCS) \
+$(AXI_SRCS) $(FIFO_SRCS) \
+$(CONTROL_LIB_SRCS) $(SDR_LIB_SRCS) $(SERDES_SRCS) \
+$(SIMPLE_GEMAC_SRCS) $(TIMING_SRCS) $(COREGEN_DSP_SRCS) \
+$(VRT_SRCS) $(UDP_SRCS) $(COREGEN_SRCS) $(EXTRAM_SRCS) $(WISHBONE_SRCS) \
+$(XGE_SRCS) $(XGE_INTERFACE_SRCS) $(AURORA_PHY_SRCS) \
+$(XGE_PCS_PMA_SRCS) \
+$(PACKET_PROC_SRCS) $(VITA_SRCS) $(DSP_SRCS) $(DRAM_SRCS) \
+$(RADIO_SRCS) $(CAP_GEN_GENERIC_SRCS) $(IP_XCI_SRCS) $(BD_SRCS) \
+$(RFNOC_SRCS) $(RFNOC_OOT_SRCS) $(LIB_IP_XCI_SRCS) $(LIB_HLS_IP_SRCS) $(LIBCOREGEN_SRCS) \
+$(RFNOC_BLOCK_DUC_SRCS) $(RFNOC_BLOCK_DDC_SRCS) \
+$(RFNOC_BLOCK_RADIO_SRCS) $(RFNOC_BLOCK_NULL_SRC_SINK_SRCS) \
+$(DB_COMMON_SRCS) $(WHITE_RABBIT_SRCS) $(RFNOC_FRAMEWORK_SRCS) \
+$(WB_SPI_SRCS) $(RFNOC_XPORT_SV_SRCS) \
+)
+
+# Pass the edge table and image core header files required by RFNoC
+# to Vivado as Verilog definitions.
+EDGE_TBL_DEF="RFNOC_EDGE_TBL_FILE=$(call RESOLVE_PATH,$(EDGE_FILE))"
+IMAGE_CORE_DEF="RFNOC_IMAGE_CORE_HDR=$(DEFAULT_RFNOC_IMAGE_CORE_FILE:.v=.vh)"
+
+##################################################
+# Dependency Targets
+##################################################
+.SECONDEXPANSION:
+
+VERILOG_DEFS=$(EXTRA_DEFS) $(CUSTOM_DEFS) $(GIT_HASH_VERILOG_DEF) $(EDGE_TBL_DEF) $(IMAGE_CORE_DEF)
+
+# DESIGN_SRCS and VERILOG_DEFS must be defined
+bin: .prereqs $$(DESIGN_SRCS) ip
+ @echo "Printing MB_XDC:: $(MB_XDC)"
+ @echo "Printing EXTRA_DEFS:: $(EXTRA_DEFS)"
+ $(call BUILD_VIVADO_DESIGN,$(abspath ./build_x4xx.tcl),$(TOP_MODULE),$(ARCH),$(PART_ID))
+
+synth: .prereqs $$(DESIGN_SRCS) ip
+ $(call BUILD_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_synth.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID))
+
+rtl: .prereqs $$(DESIGN_SRCS) ip
+ $(call CHECK_VIVADO_DESIGN,$(TOOLS_DIR)/scripts/viv_check_syntax.tcl,$(TOP_MODULE),$(ARCH),$(PART_ID))
+
+viv_ip: .prereqs $$(DESIGN_SRCS) ip
+ @echo "IP Build DONE ..."
+
+.PHONY: bin
diff --git a/fpga/usrp3/top/x400/build_x4xx.tcl b/fpga/usrp3/top/x400/build_x4xx.tcl
new file mode 100644
index 000000000..0e8b38462
--- /dev/null
+++ b/fpga/usrp3/top/x400/build_x4xx.tcl
@@ -0,0 +1,38 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+source $::env(VIV_TOOLS_DIR)/scripts/viv_utils.tcl
+source $::env(VIV_TOOLS_DIR)/scripts/viv_strategies.tcl
+
+# STEP#1: Create project, add sources, refresh IP
+vivado_utils::initialize_project
+
+# STEP#2: Run synthesis
+
+
+vivado_utils::synthesize_design
+vivado_utils::generate_post_synth_reports
+
+# STEP#3: Run implementation strategy
+set strategy [vivado_strategies::get_impl_preset "Performance_ExplorePostRoutePhysOpt"]
+# Turn up uncertainty on 100Gb clocks(-quiet so if it fails because the clocks don't exist, it won't error)
+set_clock_uncertainty 0.5 -quiet -setup [get_clocks txoutclk_out*]
+# Vivado has been underestimating routing delays.
+dict set strategy "place_design.directive" "ExtraNetDelay_high"
+# Turn down uncertainty on 100Gb clocks
+dict set strategy "route_design.pre_hook" {set_clock_uncertainty 0.0 -quiet -setup [get_clocks txoutclk_out*]}
+vivado_strategies::implement_design $strategy
+
+# STEP#4: Generate reports
+vivado_utils::generate_post_route_reports
+
+# STEP#5: Generate a bitstream, netlist and debug probes
+set_property BITSTREAM.CONFIG.USR_ACCESS TIMESTAMP [get_designs *]
+set byte_swap_bin 1
+vivado_utils::write_implementation_outputs $byte_swap_bin
+
+# Cleanup
+vivado_utils::close_batch_project
diff --git a/fpga/usrp3/top/x400/constraints/pins/common.xdc b/fpga/usrp3/top/x400/constraints/pins/common.xdc
new file mode 100644
index 000000000..92b09575b
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/common.xdc
@@ -0,0 +1,192 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# Common pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs reference clocks
+###############################################################################
+
+set_property PACKAGE_PIN U33 [get_ports {MGT_REFCLK_LMK0_P}]
+set_property PACKAGE_PIN U34 [get_ports {MGT_REFCLK_LMK0_N}]
+
+set_property PACKAGE_PIN T31 [get_ports {MGT_REFCLK_LMK1_P}]
+set_property PACKAGE_PIN T32 [get_ports {MGT_REFCLK_LMK1_N}]
+
+set_property PACKAGE_PIN W33 [get_ports {MGT_REFCLK_LMK2_P}]
+set_property PACKAGE_PIN W34 [get_ports {MGT_REFCLK_LMK2_N}]
+
+set_property PACKAGE_PIN V31 [get_ports {MGT_REFCLK_LMK3_P}]
+set_property PACKAGE_PIN V32 [get_ports {MGT_REFCLK_LMK3_N}]
+
+
+###############################################################################
+# Common pin constraints for the QSFP28 ports
+###############################################################################
+
+set_property PACKAGE_PIN AJ15 [get_ports {QSFP0_MODPRS_n}]
+set_property PACKAGE_PIN AH16 [get_ports {QSFP0_RESET_n}]
+set_property PACKAGE_PIN AH15 [get_ports {QSFP0_LPMODE_n}]
+
+set_property PACKAGE_PIN AL11 [get_ports {QSFP1_MODPRS_n}]
+set_property PACKAGE_PIN AR8 [get_ports {QSFP1_RESET_n}]
+set_property PACKAGE_PIN AT9 [get_ports {QSFP1_LPMODE_n}]
+
+set_property IOSTANDARD LVCMOS12 [get_ports {QSFP*_MODPRS_n QSFP*_RESET_n QSFP*_LPMODE_n}]
+set_property SLEW SLOW [get_ports {QSFP*_RESET_n QSFP*_LPMODE_n}]
+
+
+###############################################################################
+# eCPRI future clocks
+###############################################################################
+
+# Input
+set_property PACKAGE_PIN AK17 [get_ports {FPGA_AUX_REF}]
+set_property IOSTANDARD LVCMOS12 [get_ports {FPGA_AUX_REF}]
+
+# Output
+set_property PACKAGE_PIN AG17 [get_ports {FABRIC_CLK_OUT_P}]
+set_property PACKAGE_PIN AH17 [get_ports {FABRIC_CLK_OUT_N}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {FABRIC_CLK_OUT_*}]
+
+# GTY_RCV_CLK_P is defined in qsfp_port1
+
+
+###############################################################################
+# Pin constraints for the other PL pins (1.8 V)
+###############################################################################
+
+set_property PACKAGE_PIN F6 [get_ports {DB1_GPIO[0]}]
+set_property PACKAGE_PIN E6 [get_ports {DB1_GPIO[1]}]
+set_property PACKAGE_PIN E9 [get_ports {DB1_GPIO[2]}]
+set_property PACKAGE_PIN E8 [get_ports {DB1_GPIO[3]}]
+set_property PACKAGE_PIN E7 [get_ports {DB1_GPIO[4]}]
+set_property PACKAGE_PIN D6 [get_ports {DB1_GPIO[5]}]
+set_property PACKAGE_PIN D10 [get_ports {DB1_GPIO[6]}]
+set_property PACKAGE_PIN C10 [get_ports {DB1_GPIO[7]}]
+set_property PACKAGE_PIN C8 [get_ports {DB1_GPIO[8]}]
+set_property PACKAGE_PIN C7 [get_ports {DB1_GPIO[9]}]
+set_property PACKAGE_PIN D9 [get_ports {DB1_GPIO[10]}]
+set_property PACKAGE_PIN D8 [get_ports {DB1_GPIO[11]}]
+set_property PACKAGE_PIN B8 [get_ports {DB1_GPIO[12]}]
+set_property PACKAGE_PIN B7 [get_ports {DB1_GPIO[13]}]
+set_property PACKAGE_PIN B10 [get_ports {DB1_GPIO[14]}]
+set_property PACKAGE_PIN B9 [get_ports {DB1_GPIO[15]}]
+set_property PACKAGE_PIN C6 [get_ports {DB1_GPIO[16]}]
+set_property PACKAGE_PIN C5 [get_ports {DB1_GPIO[17]}]
+set_property PACKAGE_PIN B5 [get_ports {DB1_GPIO[18]}]
+set_property PACKAGE_PIN A5 [get_ports {DB1_GPIO[19]}]
+set_property IOSTANDARD LVCMOS18 [get_ports {DB1_GPIO[*]}]
+set_property PULLDOWN TRUE [get_ports {DB1_GPIO[*]}]
+set_property IOB TRUE [get_ports {DB1_GPIO[*]}]
+
+set_property PACKAGE_PIN A7 [get_ports {DB1_SYNTH_SYNC}]
+set_property IOSTANDARD LVCMOS18 [get_ports {DB1_SYNTH_SYNC}]
+
+set_property PACKAGE_PIN AW6 [get_ports {DB0_GPIO[0]}]
+set_property PACKAGE_PIN AW5 [get_ports {DB0_GPIO[1]}]
+set_property PACKAGE_PIN AW4 [get_ports {DB0_GPIO[2]}]
+set_property PACKAGE_PIN AW3 [get_ports {DB0_GPIO[3]}]
+set_property PACKAGE_PIN AV3 [get_ports {DB0_GPIO[4]}]
+set_property PACKAGE_PIN AV2 [get_ports {DB0_GPIO[5]}]
+set_property PACKAGE_PIN AU2 [get_ports {DB0_GPIO[6]}]
+set_property PACKAGE_PIN AU1 [get_ports {DB0_GPIO[7]}]
+set_property PACKAGE_PIN AV6 [get_ports {DB0_GPIO[8]}]
+set_property PACKAGE_PIN AV5 [get_ports {DB0_GPIO[9]}]
+set_property PACKAGE_PIN AU4 [get_ports {DB0_GPIO[10]}]
+set_property PACKAGE_PIN AU3 [get_ports {DB0_GPIO[11]}]
+set_property PACKAGE_PIN AT5 [get_ports {DB0_GPIO[12]}]
+set_property PACKAGE_PIN AU5 [get_ports {DB0_GPIO[13]}]
+set_property PACKAGE_PIN AT7 [get_ports {DB0_GPIO[14]}]
+set_property PACKAGE_PIN AT6 [get_ports {DB0_GPIO[15]}]
+set_property PACKAGE_PIN AU8 [get_ports {DB0_GPIO[16]}]
+set_property PACKAGE_PIN AV8 [get_ports {DB0_GPIO[17]}]
+set_property PACKAGE_PIN AU7 [get_ports {DB0_GPIO[18]}]
+set_property PACKAGE_PIN AV7 [get_ports {DB0_GPIO[19]}]
+set_property IOSTANDARD LVCMOS18 [get_ports {DB0_GPIO[*]}]
+set_property PULLDOWN TRUE [get_ports {DB0_GPIO[*]}]
+set_property IOB TRUE [get_ports {DB0_GPIO[*]}]
+
+set_property PACKAGE_PIN AP5 [get_ports {DB0_SYNTH_SYNC}]
+set_property IOSTANDARD LVCMOS18 [get_ports {DB0_SYNTH_SYNC}]
+
+set_property PACKAGE_PIN A9 [get_ports {LMK_SYNC}]
+set_property IOB TRUE [get_ports {LMK_SYNC}]
+
+set_property PACKAGE_PIN A10 [get_ports {TRIG_IO}]
+set_property PACKAGE_PIN A6 [get_ports {PPS_IN}]
+set_property PACKAGE_PIN AR7 [get_ports {PL_CPLD_SCLK}]
+set_property PACKAGE_PIN AR6 [get_ports {PL_CPLD_MOSI}]
+set_property PACKAGE_PIN AP6 [get_ports {PL_CPLD_MISO}]
+set_property IOSTANDARD LVCMOS18 [get_ports {LMK_SYNC TRIG_IO PPS_IN PL_CPLD_SCLK PL_CPLD_MOSI PL_CPLD_MISO}]
+set_property DRIVE 16 [get_ports {PL_CPLD_SCLK}]
+
+
+###############################################################################
+# Pin constraints for the other PL pins (1.2 V)
+###############################################################################
+
+set_property PACKAGE_PIN AL16 [get_ports {PLL_REFCLK_FPGA_P}]
+set_property PACKAGE_PIN AL15 [get_ports {PLL_REFCLK_FPGA_N}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {PLL_REFCLK_FPGA_*}]
+
+set_property PACKAGE_PIN G17 [get_ports {BASE_REFCLK_FPGA_P}]
+set_property PACKAGE_PIN F17 [get_ports {BASE_REFCLK_FPGA_N}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {BASE_REFCLK_FPGA_*}]
+
+set_property PACKAGE_PIN AF17 [get_ports {SYSREF_FABRIC_P}]
+set_property PACKAGE_PIN AF16 [get_ports {SYSREF_FABRIC_N}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {SYSREF_FABRIC_*}]
+
+set_property PACKAGE_PIN J15 [get_ports {DIOA_FPGA[0]}]
+set_property PACKAGE_PIN H15 [get_ports {DIOA_FPGA[1]}]
+set_property PACKAGE_PIN L17 [get_ports {DIOA_FPGA[2]}]
+set_property PACKAGE_PIN K17 [get_ports {DIOA_FPGA[3]}]
+set_property PACKAGE_PIN K16 [get_ports {DIOA_FPGA[4]}]
+set_property PACKAGE_PIN J16 [get_ports {DIOA_FPGA[5]}]
+set_property PACKAGE_PIN K19 [get_ports {DIOA_FPGA[6]}]
+set_property PACKAGE_PIN K18 [get_ports {DIOA_FPGA[7]}]
+set_property PACKAGE_PIN H17 [get_ports {DIOA_FPGA[8]}]
+set_property PACKAGE_PIN H16 [get_ports {DIOA_FPGA[9]}]
+set_property PACKAGE_PIN J19 [get_ports {DIOA_FPGA[10]}]
+set_property PACKAGE_PIN J18 [get_ports {DIOA_FPGA[11]}]
+set_property PACKAGE_PIN M18 [get_ports {DIOB_FPGA[0]}]
+set_property PACKAGE_PIN H18 [get_ports {DIOB_FPGA[1]}]
+set_property PACKAGE_PIN G18 [get_ports {DIOB_FPGA[2]}]
+set_property PACKAGE_PIN G15 [get_ports {DIOB_FPGA[3]}]
+set_property PACKAGE_PIN F15 [get_ports {DIOB_FPGA[4]}]
+set_property PACKAGE_PIN G19 [get_ports {DIOB_FPGA[5]}]
+set_property PACKAGE_PIN F19 [get_ports {DIOB_FPGA[6]}]
+set_property PACKAGE_PIN F16 [get_ports {DIOB_FPGA[7]}]
+set_property PACKAGE_PIN E16 [get_ports {DIOB_FPGA[8]}]
+set_property PACKAGE_PIN E18 [get_ports {DIOB_FPGA[9]}]
+set_property PACKAGE_PIN E17 [get_ports {DIOB_FPGA[10]}]
+set_property PACKAGE_PIN E19 [get_ports {DIOB_FPGA[11]}]
+set_property IOSTANDARD LVCMOS12 [get_ports {DIO*_FPGA[*]}]
+set_property PULLDOWN true [get_ports {DIO*_FPGA[*]}]
+
+set_property PACKAGE_PIN AW13 [get_ports {PPS_LED}]
+set_property IOSTANDARD LVCMOS12 [get_ports {PPS_LED}]
+
+set_property PACKAGE_PIN B23 [get_ports {PL_CPLD_JTAGEN}]
+set_property PACKAGE_PIN N21 [get_ports {PL_CPLD_CS0_n}]
+set_property PACKAGE_PIN J24 [get_ports {PL_CPLD_CS1_n}]
+set_property PACKAGE_PIN AN12 [get_ports {CPLD_JTAG_OE_n}]
+set_property IOSTANDARD LVCMOS12 [get_ports {PL_CPLD_JTAGEN PL_CPLD_CS*_n CPLD_JTAG_OE_n}]
+
+
+###############################################################################
+# Unused pins
+###############################################################################
+
+# set_property PACKAGE_PIN D19 [get_ports {PL_CPLD_IRQ}]
+# set_property PACKAGE_PIN AF15 [get_ports {FPGA_TEST}]
+# set_property IOSTANDARD LVCMOS12 [get_ports {FPGA_TEST PL_CPLD_IRQ}]
+
+# set_property PACKAGE_PIN AK16 [get_ports {TDC_SPARE_0}]
+# set_property PACKAGE_PIN AJ16 [get_ports {TDC_SPARE_1}]
+# set_property IOSTANDARD LVCMOS12 [get_ports {TDC_SPARE_*}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/dram.xdc b/fpga/usrp3/top/x400/constraints/pins/dram.xdc
new file mode 100644
index 000000000..d4e412239
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/dram.xdc
@@ -0,0 +1,344 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# DRAM pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for DRAM controller 0
+###############################################################################
+
+set_property PACKAGE_PIN AH20 [get_ports DRAM0_ACT_n]
+set_property PACKAGE_PIN AN22 [get_ports {DRAM0_ADDR[0]}]
+set_property PACKAGE_PIN AJ18 [get_ports {DRAM0_ADDR[10]}]
+set_property PACKAGE_PIN AN21 [get_ports {DRAM0_ADDR[11]}]
+set_property PACKAGE_PIN AF20 [get_ports {DRAM0_ADDR[12]}]
+set_property PACKAGE_PIN AJ20 [get_ports {DRAM0_ADDR[13]}]
+set_property PACKAGE_PIN AG18 [get_ports {DRAM0_ADDR[14]}]
+set_property PACKAGE_PIN AK18 [get_ports {DRAM0_ADDR[15]}]
+set_property PACKAGE_PIN AN18 [get_ports {DRAM0_ADDR[16]}]
+set_property PACKAGE_PIN AL20 [get_ports {DRAM0_ADDR[1]}]
+set_property PACKAGE_PIN AK22 [get_ports {DRAM0_ADDR[2]}]
+set_property PACKAGE_PIN AM19 [get_ports {DRAM0_ADDR[3]}]
+set_property PACKAGE_PIN AG20 [get_ports {DRAM0_ADDR[4]}]
+set_property PACKAGE_PIN AT20 [get_ports {DRAM0_ADDR[5]}]
+set_property PACKAGE_PIN AL19 [get_ports {DRAM0_ADDR[6]}]
+set_property PACKAGE_PIN AK21 [get_ports {DRAM0_ADDR[7]}]
+set_property PACKAGE_PIN AL21 [get_ports {DRAM0_ADDR[8]}]
+set_property PACKAGE_PIN AP21 [get_ports {DRAM0_ADDR[9]}]
+set_property PACKAGE_PIN AK19 [get_ports {DRAM0_BA[0]}]
+set_property PACKAGE_PIN AM18 [get_ports {DRAM0_BA[1]}]
+set_property PACKAGE_PIN AJ19 [get_ports {DRAM0_BG[0]}]
+set_property PACKAGE_PIN AL22 [get_ports {DRAM0_CLK_P[0]}]
+set_property PACKAGE_PIN AM22 [get_ports {DRAM0_CLK_N[0]}]
+set_property PACKAGE_PIN AF19 [get_ports {DRAM0_CKE[0]}]
+set_property PACKAGE_PIN AH18 [get_ports {DRAM0_CS_n[0]}]
+set_property PACKAGE_PIN AT17 [get_ports {DRAM0_ODT[0]}]
+set_property PACKAGE_PIN AP15 [get_ports DRAM0_RESET_n]
+set_property PACKAGE_PIN AM20 [get_ports DRAM0_REFCLK_P]
+set_property PACKAGE_PIN AN20 [get_ports DRAM0_REFCLK_N]
+set_property PACKAGE_PIN AR17 [get_ports {DRAM0_DM_n[0]}]
+set_property PACKAGE_PIN AR22 [get_ports {DRAM0_DQS_p[0]}]
+set_property PACKAGE_PIN AT22 [get_ports {DRAM0_DQS_n[0]}]
+set_property PACKAGE_PIN AR18 [get_ports {DRAM0_DQ[0]}]
+set_property PACKAGE_PIN AR19 [get_ports {DRAM0_DQ[1]}]
+set_property PACKAGE_PIN AT19 [get_ports {DRAM0_DQ[2]}]
+set_property PACKAGE_PIN AT21 [get_ports {DRAM0_DQ[3]}]
+set_property PACKAGE_PIN AP18 [get_ports {DRAM0_DQ[4]}]
+set_property PACKAGE_PIN AP19 [get_ports {DRAM0_DQ[5]}]
+set_property PACKAGE_PIN AP20 [get_ports {DRAM0_DQ[6]}]
+set_property PACKAGE_PIN AR21 [get_ports {DRAM0_DQ[7]}]
+set_property PACKAGE_PIN AM12 [get_ports {DRAM0_DM_n[1]}]
+set_property PACKAGE_PIN AM13 [get_ports {DRAM0_DQS_p[1]}]
+set_property PACKAGE_PIN AN13 [get_ports {DRAM0_DQS_n[1]}]
+set_property PACKAGE_PIN AM10 [get_ports {DRAM0_DQ[8]}]
+set_property PACKAGE_PIN AP10 [get_ports {DRAM0_DQ[9]}]
+set_property PACKAGE_PIN AN10 [get_ports {DRAM0_DQ[10]}]
+set_property PACKAGE_PIN AR11 [get_ports {DRAM0_DQ[11]}]
+set_property PACKAGE_PIN AL10 [get_ports {DRAM0_DQ[12]}]
+set_property PACKAGE_PIN AP11 [get_ports {DRAM0_DQ[13]}]
+set_property PACKAGE_PIN AN11 [get_ports {DRAM0_DQ[14]}]
+set_property PACKAGE_PIN AR12 [get_ports {DRAM0_DQ[15]}]
+set_property PACKAGE_PIN AW19 [get_ports {DRAM0_DM_n[2]}]
+set_property PACKAGE_PIN AV21 [get_ports {DRAM0_DQS_p[2]}]
+set_property PACKAGE_PIN AW21 [get_ports {DRAM0_DQS_n[2]}]
+set_property PACKAGE_PIN AV17 [get_ports {DRAM0_DQ[16]}]
+set_property PACKAGE_PIN AV18 [get_ports {DRAM0_DQ[17]}]
+set_property PACKAGE_PIN AU19 [get_ports {DRAM0_DQ[18]}]
+set_property PACKAGE_PIN AU18 [get_ports {DRAM0_DQ[19]}]
+set_property PACKAGE_PIN AU17 [get_ports {DRAM0_DQ[20]}]
+set_property PACKAGE_PIN AW20 [get_ports {DRAM0_DQ[21]}]
+set_property PACKAGE_PIN AU20 [get_ports {DRAM0_DQ[22]}]
+set_property PACKAGE_PIN AV20 [get_ports {DRAM0_DQ[23]}]
+set_property PACKAGE_PIN AV10 [get_ports {DRAM0_DM_n[3]}]
+set_property PACKAGE_PIN AT12 [get_ports {DRAM0_DQS_p[3]}]
+set_property PACKAGE_PIN AT11 [get_ports {DRAM0_DQS_n[3]}]
+set_property PACKAGE_PIN AW9 [get_ports {DRAM0_DQ[24]}]
+set_property PACKAGE_PIN AU12 [get_ports {DRAM0_DQ[25]}]
+set_property PACKAGE_PIN AU10 [get_ports {DRAM0_DQ[26]}]
+set_property PACKAGE_PIN AV12 [get_ports {DRAM0_DQ[27]}]
+set_property PACKAGE_PIN AW8 [get_ports {DRAM0_DQ[28]}]
+set_property PACKAGE_PIN AT10 [get_ports {DRAM0_DQ[29]}]
+set_property PACKAGE_PIN AV11 [get_ports {DRAM0_DQ[30]}]
+set_property PACKAGE_PIN AW11 [get_ports {DRAM0_DQ[31]}]
+set_property PACKAGE_PIN AW14 [get_ports {DRAM0_DM_n[4]}]
+set_property PACKAGE_PIN AV16 [get_ports {DRAM0_DQS_p[4]}]
+set_property PACKAGE_PIN AW16 [get_ports {DRAM0_DQS_n[4]}]
+set_property PACKAGE_PIN AU13 [get_ports {DRAM0_DQ[32]}]
+set_property PACKAGE_PIN AV15 [get_ports {DRAM0_DQ[33]}]
+set_property PACKAGE_PIN AV13 [get_ports {DRAM0_DQ[34]}]
+set_property PACKAGE_PIN AU14 [get_ports {DRAM0_DQ[35]}]
+set_property PACKAGE_PIN AU15 [get_ports {DRAM0_DQ[36]}]
+set_property PACKAGE_PIN AW15 [get_ports {DRAM0_DQ[37]}]
+set_property PACKAGE_PIN AT15 [get_ports {DRAM0_DQ[38]}]
+set_property PACKAGE_PIN AT16 [get_ports {DRAM0_DQ[39]}]
+set_property PACKAGE_PIN AP8 [get_ports {DRAM0_DM_n[5]}]
+set_property PACKAGE_PIN AN8 [get_ports {DRAM0_DQS_p[5]}]
+set_property PACKAGE_PIN AN7 [get_ports {DRAM0_DQS_n[5]}]
+set_property PACKAGE_PIN AM9 [get_ports {DRAM0_DQ[40]}]
+set_property PACKAGE_PIN AR9 [get_ports {DRAM0_DQ[41]}]
+set_property PACKAGE_PIN AL7 [get_ports {DRAM0_DQ[42]}]
+set_property PACKAGE_PIN AM8 [get_ports {DRAM0_DQ[43]}]
+set_property PACKAGE_PIN AL9 [get_ports {DRAM0_DQ[44]}]
+set_property PACKAGE_PIN AP9 [get_ports {DRAM0_DQ[45]}]
+set_property PACKAGE_PIN AL8 [get_ports {DRAM0_DQ[46]}]
+set_property PACKAGE_PIN AM7 [get_ports {DRAM0_DQ[47]}]
+set_property PACKAGE_PIN AK13 [get_ports {DRAM0_DM_n[6]}]
+set_property PACKAGE_PIN AJ14 [get_ports {DRAM0_DQS_p[6]}]
+set_property PACKAGE_PIN AK14 [get_ports {DRAM0_DQS_n[6]}]
+set_property PACKAGE_PIN AH12 [get_ports {DRAM0_DQ[48]}]
+set_property PACKAGE_PIN AJ13 [get_ports {DRAM0_DQ[49]}]
+set_property PACKAGE_PIN AJ12 [get_ports {DRAM0_DQ[50]}]
+set_property PACKAGE_PIN AK12 [get_ports {DRAM0_DQ[51]}]
+set_property PACKAGE_PIN AG12 [get_ports {DRAM0_DQ[52]}]
+set_property PACKAGE_PIN AL14 [get_ports {DRAM0_DQ[53]}]
+set_property PACKAGE_PIN AH13 [get_ports {DRAM0_DQ[54]}]
+set_property PACKAGE_PIN AM14 [get_ports {DRAM0_DQ[55]}]
+set_property PACKAGE_PIN AP13 [get_ports {DRAM0_DM_n[7]}]
+set_property PACKAGE_PIN AN17 [get_ports {DRAM0_DQS_p[7]}]
+set_property PACKAGE_PIN AN16 [get_ports {DRAM0_DQS_n[7]}]
+set_property PACKAGE_PIN AM15 [get_ports {DRAM0_DQ[56]}]
+set_property PACKAGE_PIN AP14 [get_ports {DRAM0_DQ[57]}]
+set_property PACKAGE_PIN AM17 [get_ports {DRAM0_DQ[58]}]
+set_property PACKAGE_PIN AP16 [get_ports {DRAM0_DQ[59]}]
+set_property PACKAGE_PIN AL17 [get_ports {DRAM0_DQ[60]}]
+set_property PACKAGE_PIN AR14 [get_ports {DRAM0_DQ[61]}]
+set_property PACKAGE_PIN AN15 [get_ports {DRAM0_DQ[62]}]
+set_property PACKAGE_PIN AR16 [get_ports {DRAM0_DQ[63]}]
+
+
+set_property IOSTANDARD DIFF_POD12_DCI [get_ports {DRAM0_DQS_*[*]}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {DRAM0_REFCLK_*}]
+set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {DRAM0_CLK_*[0]}]
+set_property IOSTANDARD LVCMOS12 [get_ports DRAM0_RESET_n]
+set_property IOSTANDARD POD12_DCI [get_ports {DRAM0_DM_n[*] \
+ DRAM0_DQ[*]}]
+set_property IOSTANDARD SSTL12_DCI [get_ports {DRAM0_ACT_n \
+ DRAM0_ADDR[*] \
+ DRAM0_BA[*] \
+ DRAM0_BG[0] \
+ DRAM0_CKE[0] \
+ DRAM0_CS_n[0] \
+ DRAM0_ODT[0]}]
+
+set_property DRIVE 8 [get_ports DRAM0_RESET_n]
+
+set_property SLEW FAST [get_ports {DRAM0_ACT_n \
+ DRAM0_ADDR[*] \
+ DRAM0_BA[*] \
+ DRAM0_BG[0] \
+ DRAM0_CLK_*[0] \
+ DRAM0_CKE[0] \
+ DRAM0_CS_n[0] \
+ DRAM0_DM_n[*] \
+ DRAM0_DQ[*] \
+ DRAM0_DQS_*[*] \
+ DRAM0_ODT[0]}]
+
+set_property OUTPUT_IMPEDANCE RDRV_40_40 [get_ports {DRAM0_ACT_n \
+ DRAM0_ADDR[*] \
+ DRAM0_BA[*] \
+ DRAM0_BG[0] \
+ DRAM0_CLK_*[0] \
+ DRAM0_CKE[0] \
+ DRAM0_CS_n[0] \
+ DRAM0_DM_n[*] \
+ DRAM0_DQ[*] \
+ DRAM0_DQS_*[*] \
+ DRAM0_ODT[0]}]
+
+set_property IBUF_LOW_PWR FALSE [get_ports {DRAM0_DM_n[*] \
+ DRAM0_DQ[*] \
+ DRAM0_DQS_*[*]}]
+
+
+
+###############################################################################
+# Pin constraints for DRAM controller 0
+###############################################################################
+
+set_property PACKAGE_PIN E14 [get_ports DRAM1_ACT_n]
+set_property PACKAGE_PIN B12 [get_ports {DRAM1_ADDR[0]}]
+set_property PACKAGE_PIN G14 [get_ports {DRAM1_ADDR[1]}]
+set_property PACKAGE_PIN D13 [get_ports {DRAM1_ADDR[2]}]
+set_property PACKAGE_PIN F12 [get_ports {DRAM1_ADDR[3]}]
+set_property PACKAGE_PIN C13 [get_ports {DRAM1_ADDR[4]}]
+set_property PACKAGE_PIN D14 [get_ports {DRAM1_ADDR[5]}]
+set_property PACKAGE_PIN C12 [get_ports {DRAM1_ADDR[6]}]
+set_property PACKAGE_PIN C15 [get_ports {DRAM1_ADDR[7]}]
+set_property PACKAGE_PIN H12 [get_ports {DRAM1_ADDR[8]}]
+set_property PACKAGE_PIN H13 [get_ports {DRAM1_ADDR[9]}]
+set_property PACKAGE_PIN A14 [get_ports {DRAM1_ADDR[10]}]
+set_property PACKAGE_PIN K12 [get_ports {DRAM1_ADDR[11]}]
+set_property PACKAGE_PIN D11 [get_ports {DRAM1_ADDR[12]}]
+set_property PACKAGE_PIN J7 [get_ports {DRAM1_ADDR[13]}]
+set_property PACKAGE_PIN A15 [get_ports {DRAM1_ADDR[14]}]
+set_property PACKAGE_PIN B14 [get_ports {DRAM1_ADDR[15]}]
+set_property PACKAGE_PIN E11 [get_ports {DRAM1_ADDR[16]}]
+set_property PACKAGE_PIN A12 [get_ports {DRAM1_BA[0]}]
+set_property PACKAGE_PIN A11 [get_ports {DRAM1_BA[1]}]
+set_property PACKAGE_PIN B13 [get_ports {DRAM1_BG[0]}]
+set_property PACKAGE_PIN E13 [get_ports {DRAM1_CLK_P[0]}]
+set_property PACKAGE_PIN E12 [get_ports {DRAM1_CLK_N[0]}]
+set_property PACKAGE_PIN C11 [get_ports {DRAM1_CKE[0]}]
+set_property PACKAGE_PIN F14 [get_ports {DRAM1_CS_n[0]}]
+set_property PACKAGE_PIN B15 [get_ports {DRAM1_ODT[0]}]
+set_property PACKAGE_PIN G24 [get_ports DRAM1_RESET_n]
+set_property PACKAGE_PIN G13 [get_ports DRAM1_REFCLK_P]
+set_property PACKAGE_PIN G12 [get_ports DRAM1_REFCLK_N]
+set_property PACKAGE_PIN J8 [get_ports {DRAM1_DM_n[0]}]
+set_property PACKAGE_PIN H8 [get_ports {DRAM1_DQS_p[0]}]
+set_property PACKAGE_PIN G8 [get_ports {DRAM1_DQS_n[0]}]
+set_property PACKAGE_PIN G9 [get_ports {DRAM1_DQ[0]}]
+set_property PACKAGE_PIN J9 [get_ports {DRAM1_DQ[1]}]
+set_property PACKAGE_PIN H7 [get_ports {DRAM1_DQ[2]}]
+set_property PACKAGE_PIN H6 [get_ports {DRAM1_DQ[3]}]
+set_property PACKAGE_PIN G7 [get_ports {DRAM1_DQ[4]}]
+set_property PACKAGE_PIN G6 [get_ports {DRAM1_DQ[5]}]
+set_property PACKAGE_PIN F9 [get_ports {DRAM1_DQ[6]}]
+set_property PACKAGE_PIN K9 [get_ports {DRAM1_DQ[7]}]
+set_property PACKAGE_PIN K13 [get_ports {DRAM1_DM_n[1]}]
+set_property PACKAGE_PIN J14 [get_ports {DRAM1_DQS_p[1]}]
+set_property PACKAGE_PIN J13 [get_ports {DRAM1_DQS_n[1]}]
+set_property PACKAGE_PIN F10 [get_ports {DRAM1_DQ[8]}]
+set_property PACKAGE_PIN K10 [get_ports {DRAM1_DQ[9]}]
+set_property PACKAGE_PIN F11 [get_ports {DRAM1_DQ[10]}]
+set_property PACKAGE_PIN H10 [get_ports {DRAM1_DQ[11]}]
+set_property PACKAGE_PIN H11 [get_ports {DRAM1_DQ[12]}]
+set_property PACKAGE_PIN J10 [get_ports {DRAM1_DQ[13]}]
+set_property PACKAGE_PIN J11 [get_ports {DRAM1_DQ[14]}]
+set_property PACKAGE_PIN K11 [get_ports {DRAM1_DQ[15]}]
+set_property PACKAGE_PIN D18 [get_ports {DRAM1_DM_n[2]}]
+set_property PACKAGE_PIN B18 [get_ports {DRAM1_DQS_p[2]}]
+set_property PACKAGE_PIN B17 [get_ports {DRAM1_DQS_n[2]}]
+set_property PACKAGE_PIN A17 [get_ports {DRAM1_DQ[16]}]
+set_property PACKAGE_PIN D15 [get_ports {DRAM1_DQ[17]}]
+set_property PACKAGE_PIN A16 [get_ports {DRAM1_DQ[18]}]
+set_property PACKAGE_PIN D16 [get_ports {DRAM1_DQ[19]}]
+set_property PACKAGE_PIN C17 [get_ports {DRAM1_DQ[20]}]
+set_property PACKAGE_PIN B19 [get_ports {DRAM1_DQ[21]}]
+set_property PACKAGE_PIN A19 [get_ports {DRAM1_DQ[22]}]
+set_property PACKAGE_PIN C16 [get_ports {DRAM1_DQ[23]}]
+set_property PACKAGE_PIN N14 [get_ports {DRAM1_DM_n[3]}]
+set_property PACKAGE_PIN L15 [get_ports {DRAM1_DQS_p[3]}]
+set_property PACKAGE_PIN L14 [get_ports {DRAM1_DQS_n[3]}]
+set_property PACKAGE_PIN N15 [get_ports {DRAM1_DQ[24]}]
+set_property PACKAGE_PIN M12 [get_ports {DRAM1_DQ[25]}]
+set_property PACKAGE_PIN M15 [get_ports {DRAM1_DQ[26]}]
+set_property PACKAGE_PIN M13 [get_ports {DRAM1_DQ[27]}]
+set_property PACKAGE_PIN N17 [get_ports {DRAM1_DQ[28]}]
+set_property PACKAGE_PIN L12 [get_ports {DRAM1_DQ[29]}]
+set_property PACKAGE_PIN M17 [get_ports {DRAM1_DQ[30]}]
+set_property PACKAGE_PIN N13 [get_ports {DRAM1_DQ[31]}]
+set_property PACKAGE_PIN C23 [get_ports {DRAM1_DM_n[4]}]
+set_property PACKAGE_PIN B22 [get_ports {DRAM1_DQS_p[4]}]
+set_property PACKAGE_PIN A22 [get_ports {DRAM1_DQS_n[4]}]
+set_property PACKAGE_PIN B24 [get_ports {DRAM1_DQ[32]}]
+set_property PACKAGE_PIN C21 [get_ports {DRAM1_DQ[33]}]
+set_property PACKAGE_PIN C22 [get_ports {DRAM1_DQ[34]}]
+set_property PACKAGE_PIN A21 [get_ports {DRAM1_DQ[35]}]
+set_property PACKAGE_PIN A24 [get_ports {DRAM1_DQ[36]}]
+set_property PACKAGE_PIN B20 [get_ports {DRAM1_DQ[37]}]
+set_property PACKAGE_PIN C20 [get_ports {DRAM1_DQ[38]}]
+set_property PACKAGE_PIN A20 [get_ports {DRAM1_DQ[39]}]
+set_property PACKAGE_PIN F21 [get_ports {DRAM1_DM_n[5]}]
+set_property PACKAGE_PIN D23 [get_ports {DRAM1_DQS_p[5]}]
+set_property PACKAGE_PIN D24 [get_ports {DRAM1_DQS_n[5]}]
+set_property PACKAGE_PIN E24 [get_ports {DRAM1_DQ[40]}]
+set_property PACKAGE_PIN E22 [get_ports {DRAM1_DQ[41]}]
+set_property PACKAGE_PIN F24 [get_ports {DRAM1_DQ[42]}]
+set_property PACKAGE_PIN E23 [get_ports {DRAM1_DQ[43]}]
+set_property PACKAGE_PIN E21 [get_ports {DRAM1_DQ[44]}]
+set_property PACKAGE_PIN D21 [get_ports {DRAM1_DQ[45]}]
+set_property PACKAGE_PIN F20 [get_ports {DRAM1_DQ[46]}]
+set_property PACKAGE_PIN G20 [get_ports {DRAM1_DQ[47]}]
+set_property PACKAGE_PIN J23 [get_ports {DRAM1_DM_n[6]}]
+set_property PACKAGE_PIN J20 [get_ports {DRAM1_DQS_p[6]}]
+set_property PACKAGE_PIN H20 [get_ports {DRAM1_DQS_n[6]}]
+set_property PACKAGE_PIN L24 [get_ports {DRAM1_DQ[48]}]
+set_property PACKAGE_PIN H23 [get_ports {DRAM1_DQ[49]}]
+set_property PACKAGE_PIN J21 [get_ports {DRAM1_DQ[50]}]
+set_property PACKAGE_PIN H22 [get_ports {DRAM1_DQ[51]}]
+set_property PACKAGE_PIN K24 [get_ports {DRAM1_DQ[52]}]
+set_property PACKAGE_PIN G23 [get_ports {DRAM1_DQ[53]}]
+set_property PACKAGE_PIN H21 [get_ports {DRAM1_DQ[54]}]
+set_property PACKAGE_PIN G22 [get_ports {DRAM1_DQ[55]}]
+set_property PACKAGE_PIN N20 [get_ports {DRAM1_DM_n[7]}]
+set_property PACKAGE_PIN K21 [get_ports {DRAM1_DQS_p[7]}]
+set_property PACKAGE_PIN K22 [get_ports {DRAM1_DQS_n[7]}]
+set_property PACKAGE_PIN M19 [get_ports {DRAM1_DQ[56]}]
+set_property PACKAGE_PIN L21 [get_ports {DRAM1_DQ[57]}]
+set_property PACKAGE_PIN M20 [get_ports {DRAM1_DQ[58]}]
+set_property PACKAGE_PIN L23 [get_ports {DRAM1_DQ[59]}]
+set_property PACKAGE_PIN N19 [get_ports {DRAM1_DQ[60]}]
+set_property PACKAGE_PIN L22 [get_ports {DRAM1_DQ[61]}]
+set_property PACKAGE_PIN L20 [get_ports {DRAM1_DQ[62]}]
+set_property PACKAGE_PIN L19 [get_ports {DRAM1_DQ[63]}]
+
+
+set_property IOSTANDARD DIFF_POD12_DCI [get_ports {DRAM1_DQS_*[*]}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {DRAM1_REFCLK_*}]
+set_property IOSTANDARD DIFF_SSTL12_DCI [get_ports {DRAM1_CLK_*[0]}]
+set_property IOSTANDARD LVCMOS12 [get_ports DRAM1_RESET_n]
+set_property IOSTANDARD POD12_DCI [get_ports {DRAM1_DM_n[*] \
+ DRAM1_DQ[*]}]
+set_property IOSTANDARD SSTL12_DCI [get_ports {DRAM1_ACT_n \
+ DRAM1_ADDR[*] \
+ DRAM1_BA[*] \
+ DRAM1_BG[0] \
+ DRAM1_CKE[0] \
+ DRAM1_CS_n[0] \
+ DRAM1_ODT[0]}]
+
+set_property DRIVE 8 [get_ports DRAM1_RESET_n]
+
+set_property SLEW FAST [get_ports {DRAM1_ACT_n \
+ DRAM1_ADDR[*] \
+ DRAM1_BA[*] \
+ DRAM1_BG[0] \
+ DRAM1_CLK_*[0] \
+ DRAM1_CKE[0] \
+ DRAM1_CS_n[0] \
+ DRAM1_DM_n[*] \
+ DRAM1_DQ[*] \
+ DRAM1_DQS_*[*] \
+ DRAM1_ODT[0]}]
+
+set_property OUTPUT_IMPEDANCE RDRV_40_40 [get_ports {DRAM1_ACT_n \
+ DRAM1_ADDR[*] \
+ DRAM1_BA[*] \
+ DRAM1_BG[0] \
+ DRAM1_CLK_*[0] \
+ DRAM1_CKE[0] \
+ DRAM1_CS_n[0] \
+ DRAM1_DM_n[*] \
+ DRAM1_DQ[*] \
+ DRAM1_DQS_*[*] \
+ DRAM1_ODT[0]}]
+
+set_property IBUF_LOW_PWR FALSE [get_ports {DRAM1_DM_n[*] \
+ DRAM1_DQ[*] \
+ DRAM1_DQS_*[*]}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/ipass.xdc b/fpga/usrp3/top/x400/constraints/pins/ipass.xdc
new file mode 100644
index 000000000..2c455e1e6
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/ipass.xdc
@@ -0,0 +1,60 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# zHD+iPASS ports (0 and 1) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (zHD+iPASS ports)
+###############################################################################
+
+# Quad 129
+set_property PACKAGE_PIN N38 [get_ports {IPASS1_RX_P[0]}]
+set_property PACKAGE_PIN N39 [get_ports {IPASS1_RX_N[0]}]
+set_property PACKAGE_PIN M36 [get_ports {IPASS1_RX_P[1]}]
+set_property PACKAGE_PIN M37 [get_ports {IPASS1_RX_N[1]}]
+set_property PACKAGE_PIN L38 [get_ports {IPASS1_RX_P[2]}]
+set_property PACKAGE_PIN L39 [get_ports {IPASS1_RX_N[2]}]
+set_property PACKAGE_PIN K36 [get_ports {IPASS1_RX_P[3]}]
+set_property PACKAGE_PIN K37 [get_ports {IPASS1_RX_N[3]}]
+
+set_property PACKAGE_PIN P35 [get_ports {IPASS1_TX_P[0]}]
+set_property PACKAGE_PIN P36 [get_ports {IPASS1_TX_N[0]}]
+set_property PACKAGE_PIN N33 [get_ports {IPASS1_TX_P[1]}]
+set_property PACKAGE_PIN N34 [get_ports {IPASS1_TX_N[1]}]
+set_property PACKAGE_PIN L33 [get_ports {IPASS1_TX_P[2]}]
+set_property PACKAGE_PIN L34 [get_ports {IPASS1_TX_N[2]}]
+set_property PACKAGE_PIN J33 [get_ports {IPASS1_TX_P[3]}]
+set_property PACKAGE_PIN J34 [get_ports {IPASS1_TX_N[3]}]
+
+# Quad 130
+set_property PACKAGE_PIN J38 [get_ports {IPASS0_RX_P[0]}]
+set_property PACKAGE_PIN J39 [get_ports {IPASS0_RX_N[0]}]
+set_property PACKAGE_PIN H36 [get_ports {IPASS0_RX_P[1]}]
+set_property PACKAGE_PIN H37 [get_ports {IPASS0_RX_N[1]}]
+set_property PACKAGE_PIN G38 [get_ports {IPASS0_RX_P[2]}]
+set_property PACKAGE_PIN G39 [get_ports {IPASS0_RX_N[2]}]
+set_property PACKAGE_PIN F36 [get_ports {IPASS0_RX_P[3]}]
+set_property PACKAGE_PIN F37 [get_ports {IPASS0_RX_N[3]}]
+
+set_property PACKAGE_PIN H31 [get_ports {IPASS0_TX_P[0]}]
+set_property PACKAGE_PIN H32 [get_ports {IPASS0_TX_N[0]}]
+set_property PACKAGE_PIN G33 [get_ports {IPASS0_TX_P[1]}]
+set_property PACKAGE_PIN G34 [get_ports {IPASS0_TX_N[1]}]
+set_property PACKAGE_PIN F31 [get_ports {IPASS0_TX_P[2]}]
+set_property PACKAGE_PIN F32 [get_ports {IPASS0_TX_N[2]}]
+set_property PACKAGE_PIN E33 [get_ports {IPASS0_TX_P[3]}]
+set_property PACKAGE_PIN E34 [get_ports {IPASS0_TX_N[3]}]
+
+
+###############################################################################
+# Pin constraints for PCIe-related signals
+###############################################################################
+set_property PACKAGE_PIN F22 [get_ports {IPASS_SIDEBAND[0]}]
+set_property PACKAGE_PIN D20 [get_ports {IPASS_SIDEBAND[1]}]
+set_property PACKAGE_PIN AG14 [get_ports {PCIE_RESET}]
+
+set_property IOSTANDARD LVCMOS12 [get_ports {IPASS_SIDEBAND[*] PCIE_RESET}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp0_0.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp0_0.xdc
new file mode 100644
index 000000000..a285d1b63
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp0_0.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 0 (Lane 0) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 131 (Quad X0Y4, Lanes X0Y16-X0Y19)
+# Lane 0 (X0Y16)
+
+set_property PACKAGE_PIN E38 [get_ports {QSFP0_0_RX_P}]
+set_property PACKAGE_PIN E39 [get_ports {QSFP0_0_RX_N}]
+
+set_property PACKAGE_PIN D31 [get_ports {QSFP0_0_TX_P}]
+set_property PACKAGE_PIN D32 [get_ports {QSFP0_0_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp0_1.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp0_1.xdc
new file mode 100644
index 000000000..38f376f50
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp0_1.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 0 (Lane 1) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 131 (Quad X0Y4, Lanes X0Y16-X0Y19)
+# Lane 1 (X0Y17)
+
+set_property PACKAGE_PIN D36 [get_ports {QSFP0_1_RX_P}]
+set_property PACKAGE_PIN D37 [get_ports {QSFP0_1_RX_N}]
+
+set_property PACKAGE_PIN C33 [get_ports {QSFP0_1_TX_P}]
+set_property PACKAGE_PIN C34 [get_ports {QSFP0_1_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp0_2.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp0_2.xdc
new file mode 100644
index 000000000..8344b4cb6
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp0_2.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 0 (Lane 2) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 131 (Quad X0Y4, Lanes X0Y16-X0Y19)
+# Lane 2 (X0Y18)
+
+set_property PACKAGE_PIN C38 [get_ports {QSFP0_2_RX_P}]
+set_property PACKAGE_PIN C39 [get_ports {QSFP0_2_RX_N}]
+
+set_property PACKAGE_PIN B31 [get_ports {QSFP0_2_TX_P}]
+set_property PACKAGE_PIN B32 [get_ports {QSFP0_2_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp0_3.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp0_3.xdc
new file mode 100644
index 000000000..2d1823988
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp0_3.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 0 (Lane 3) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 131 (Quad X0Y4, Lanes X0Y16-X0Y19)
+# Lane 3 (X0Y19)
+
+set_property PACKAGE_PIN B36 [get_ports {QSFP0_3_RX_P}]
+set_property PACKAGE_PIN B37 [get_ports {QSFP0_3_RX_N}]
+
+set_property PACKAGE_PIN A33 [get_ports {QSFP0_3_TX_P}]
+set_property PACKAGE_PIN A34 [get_ports {QSFP0_3_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp1_0.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp1_0.xdc
new file mode 100644
index 000000000..2c68bf7db
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp1_0.xdc
@@ -0,0 +1,29 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 1 (Lane 0) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 128 (Quad X0Y1, Lanes X0Y4-X0Y7)
+# Lane 0 (X0Y4)
+
+set_property PACKAGE_PIN AA38 [get_ports {QSFP1_0_RX_P}]
+set_property PACKAGE_PIN AA39 [get_ports {QSFP1_0_RX_N}]
+
+set_property PACKAGE_PIN Y35 [get_ports {QSFP1_0_TX_P}]
+set_property PACKAGE_PIN Y36 [get_ports {QSFP1_0_TX_N}]
+
+###############################################################################
+# GTY_RCV_CLK_P can only be used with QSFP1
+###############################################################################
+
+set_property PACKAGE_PIN Y31 [get_ports {GTY_RCV_CLK_P}]
+set_property PACKAGE_PIN Y32 [get_ports {GTY_RCV_CLK_N}]
+set_property IOSTANDARD DIFF_SSTL12 [get_ports {GTY_RCV_CLK_*}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp1_1.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp1_1.xdc
new file mode 100644
index 000000000..cd0bb5459
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp1_1.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 1 (Lane 1) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 128 (Quad X0Y1, Lanes X0Y4-X0Y7)
+# Lane 1 (X0Y5)
+
+set_property PACKAGE_PIN W38 [get_ports {QSFP1_1_RX_P}]
+set_property PACKAGE_PIN W39 [get_ports {QSFP1_1_RX_N}]
+
+set_property PACKAGE_PIN V35 [get_ports {QSFP1_1_TX_P}]
+set_property PACKAGE_PIN V36 [get_ports {QSFP1_1_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp1_2.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp1_2.xdc
new file mode 100644
index 000000000..4e267c2c7
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp1_2.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 1 (Lane 2) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 128 (Quad X0Y1, Lanes X0Y4-X0Y7)
+# Lane 2 (X0Y6)
+
+set_property PACKAGE_PIN U38 [get_ports {QSFP1_2_RX_P}]
+set_property PACKAGE_PIN U39 [get_ports {QSFP1_2_RX_N}]
+
+set_property PACKAGE_PIN T35 [get_ports {QSFP1_2_TX_P}]
+set_property PACKAGE_PIN T36 [get_ports {QSFP1_2_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/qsfp1_3.xdc b/fpga/usrp3/top/x400/constraints/pins/qsfp1_3.xdc
new file mode 100644
index 000000000..e56ee3b7e
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/qsfp1_3.xdc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# QSFP28 Port 1 (Lane 3) pin constraints for X410.
+#
+
+###############################################################################
+# Pin constraints for the MGTs (QSFP28 ports)
+###############################################################################
+
+# Bank 128 (Quad X0Y1, Lanes X0Y4-X0Y7)
+# Lane 3 (X0Y7)
+
+set_property PACKAGE_PIN R38 [get_ports {QSFP1_3_RX_P}]
+set_property PACKAGE_PIN R39 [get_ports {QSFP1_3_RX_N}]
+
+set_property PACKAGE_PIN R33 [get_ports {QSFP1_3_TX_P}]
+set_property PACKAGE_PIN R34 [get_ports {QSFP1_3_TX_N}]
diff --git a/fpga/usrp3/top/x400/constraints/pins/rfdc_2x2.xdc b/fpga/usrp3/top/x400/constraints/pins/rfdc_2x2.xdc
new file mode 100644
index 000000000..140150d17
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/pins/rfdc_2x2.xdc
@@ -0,0 +1,86 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# RF data converters pin constraints for X410.
+# Note: commented constraints are left for documentation purposes.
+#
+
+# SYSREF input for data converters.
+set_property PACKAGE_PIN U4 [get_ports {SYSREF_RF_N}]
+set_property PACKAGE_PIN U5 [get_ports {SYSREF_RF_P}]
+
+###############################################################################
+# Pin constraints for the ADCs
+###############################################################################
+
+# ADC Reference Clocks for Slot 0 (DBA)
+set_property PACKAGE_PIN AF5 [get_ports {ADC_CLK_P[0]}]
+set_property PACKAGE_PIN AF4 [get_ports {ADC_CLK_N[0]}]
+set_property PACKAGE_PIN AD5 [get_ports {ADC_CLK_P[1]}]
+set_property PACKAGE_PIN AD4 [get_ports {ADC_CLK_N[1]}]
+
+# ADC Reference Clocks for Slot 1 (DBB)
+set_property PACKAGE_PIN AB5 [get_ports {ADC_CLK_P[2]}]
+set_property PACKAGE_PIN AB4 [get_ports {ADC_CLK_N[2]}]
+set_property PACKAGE_PIN Y5 [get_ports {ADC_CLK_P[3]}]
+set_property PACKAGE_PIN Y4 [get_ports {ADC_CLK_N[3]}]
+
+# ADC Inputs for Slot 0 (DBA)
+# Note: numbering here does NOT match schematic, but it is the right order
+# according to RF BD Connection spec.
+# set_property PACKAGE_PIN AH2 [get_ports {DB0_RX_P[3]}]
+# set_property PACKAGE_PIN AH1 [get_ports {DB0_RX_N[3]}]
+# set_property PACKAGE_PIN AK2 [get_ports {DB0_RX_P[2]}]
+# set_property PACKAGE_PIN AK1 [get_ports {DB0_RX_N[2]}]
+set_property PACKAGE_PIN AM2 [get_ports {DB0_RX_P[1]}]
+set_property PACKAGE_PIN AM1 [get_ports {DB0_RX_N[1]}]
+set_property PACKAGE_PIN AP2 [get_ports {DB0_RX_P[0]}]
+set_property PACKAGE_PIN AP1 [get_ports {DB0_RX_N[0]}]
+
+# ADC Inputs for Slot 1 (DBB)
+# Note: numbering here does NOT match schematic, but it is the right order
+# according to RF BD Connection spec.
+# set_property PACKAGE_PIN Y2 [get_ports {DB1_RX_P[3]}]
+# set_property PACKAGE_PIN Y1 [get_ports {DB1_RX_N[3]}]
+# set_property PACKAGE_PIN AB2 [get_ports {DB1_RX_P[2]}]
+# set_property PACKAGE_PIN AB1 [get_ports {DB1_RX_N[2]}]
+set_property PACKAGE_PIN AD2 [get_ports {DB1_RX_P[1]}]
+set_property PACKAGE_PIN AD1 [get_ports {DB1_RX_N[1]}]
+set_property PACKAGE_PIN AF2 [get_ports {DB1_RX_P[0]}]
+set_property PACKAGE_PIN AF1 [get_ports {DB1_RX_N[0]}]
+
+
+###############################################################################
+# Pin constraints for the DACs
+###############################################################################
+
+# DAC Reference Clock for Slot 0 (DBA)
+set_property PACKAGE_PIN R5 [get_ports {DAC_CLK_P[0]}]
+set_property PACKAGE_PIN R4 [get_ports {DAC_CLK_N[0]}]
+
+# DAC Reference Clock for Slot 1 (DBB)
+set_property PACKAGE_PIN N5 [get_ports {DAC_CLK_P[1]}]
+set_property PACKAGE_PIN N4 [get_ports {DAC_CLK_N[1]}]
+
+# DAC Outputs for Slot 0 (DBA)
+set_property PACKAGE_PIN U2 [get_ports {DB0_TX_P[0]}]
+set_property PACKAGE_PIN U1 [get_ports {DB0_TX_N[0]}]
+set_property PACKAGE_PIN R2 [get_ports {DB0_TX_P[1]}]
+set_property PACKAGE_PIN R1 [get_ports {DB0_TX_N[1]}]
+# set_property PACKAGE_PIN N2 [get_ports {DB0_TX_P[2]}]
+# set_property PACKAGE_PIN N1 [get_ports {DB0_TX_N[2]}]
+# set_property PACKAGE_PIN L2 [get_ports {DB0_TX_P[3]}]
+# set_property PACKAGE_PIN L1 [get_ports {DB0_TX_N[3]}]
+
+# DAC Outputs for Slot 1 (DBB)
+set_property PACKAGE_PIN J2 [get_ports {DB1_TX_P[0]}]
+set_property PACKAGE_PIN J1 [get_ports {DB1_TX_N[0]}]
+set_property PACKAGE_PIN G2 [get_ports {DB1_TX_P[1]}]
+set_property PACKAGE_PIN G1 [get_ports {DB1_TX_N[1]}]
+# set_property PACKAGE_PIN E2 [get_ports {DB1_TX_P[2]}]
+# set_property PACKAGE_PIN E1 [get_ports {DB1_TX_N[2]}]
+# set_property PACKAGE_PIN C2 [get_ports {DB1_TX_P[3]}]
+# set_property PACKAGE_PIN C1 [get_ports {DB1_TX_N[3]}]
diff --git a/fpga/usrp3/top/x400/constraints/timing/common.xdc b/fpga/usrp3/top/x400/constraints/timing/common.xdc
new file mode 100644
index 000000000..288465ad0
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/timing/common.xdc
@@ -0,0 +1,415 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# Common timing constraints for X410.
+#
+
+###############################################################################
+# Motherboard Clocks
+###############################################################################
+
+# 10/25 MHz reference clock from rear panel connector.
+# Constrain to the fastest possible clock rate.
+set ref_clk_period 40.00
+create_clock -name ref_clk -period $ref_clk_period [get_ports BASE_REFCLK_FPGA_P]
+
+# PLL Reference Clock. Used to derive data clocks.
+# Constrain to the fastest possible clock rate supported in the driver.
+# MPM supports 61.44 / 62.5 / 64.0 MHz.
+set pll_ref_clk_period 15.625
+create_clock -name pll_ref_clk -period $pll_ref_clk_period [get_ports PLL_REFCLK_FPGA_P]
+
+# MGT Clocks
+# Clock Reference | Frequency | Purpose
+# MGT_REFCLK_LMK0 | 156.25/125 MHz | 10 GbE
+# MGT_REFCLK_LMK1 | 100.00 MHz | Reserved
+# MGT_REFCLK_LMK2 | 100.00 MHz | Reserved
+# MGT_REFCLK_LMK3 | 156.25/125 MHz | 10 GbE
+create_clock -name mgt_ref_0 -period 6.400 [get_ports MGT_REFCLK_LMK0_P]
+create_clock -name mgt_ref_1 -period 10.000 [get_ports MGT_REFCLK_LMK1_P]
+create_clock -name mgt_ref_2 -period 10.400 [get_ports MGT_REFCLK_LMK2_P]
+create_clock -name mgt_ref_3 -period 6.400 [get_ports MGT_REFCLK_LMK3_P]
+
+# Virtual clocks for constraining misc. I/Os.
+create_clock -name async_in_clk -period 50.00
+create_clock -name async_out_clk -period 50.00
+
+
+###############################################################################
+# Aliases for auto-generated clocks
+###############################################################################
+
+# Name the PS clocks. These are originally declared in the PS8 IP block.
+# Create the clocks based on the PS PLCLK pins.
+# This generates critical warnings in the OSS flow because the clocks were already
+# define and we are completely rewriting the old clock definition... this is OK.
+create_clock -name clk100 -period 10.000 \
+ [get_pins -of_objects [get_cells -hierarchical {*PS8_i}] -filter {NAME =~ *PLCLK[0]}]
+
+create_clock -name clk40 -period 25.000 \
+ [get_pins -of_objects [get_cells -hierarchical {*PS8_i}] -filter {NAME =~ *PLCLK[1]}]
+
+create_clock -name clk166 -period 6.000 \
+ [get_pins -of_objects [get_cells -hierarchical {*PS8_i}] -filter {NAME =~ *PLCLK[2]}]
+
+create_clock -name clk200 -period 5.000 \
+ [get_pins -of_objects [get_cells -hierarchical {*PS8_i}] -filter {NAME =~ *PLCLK[3]}]
+
+
+###############################################################################
+# Sync to DB synthesizer sync CPLD input
+###############################################################################
+
+# synth_sync_hold_requirement and synth_sync_setup_requirement are shared
+# between the FPGA and DB CPLD. The values are set in shared_constants.sdc
+set synth_sync_ports [get_ports {DB0_SYNTH_SYNC DB1_SYNTH_SYNC}]
+set_output_delay -clock [get_clocks pll_ref_clk] -min -$synth_sync_hold_requirement $synth_sync_ports
+set_output_delay -clock [get_clocks pll_ref_clk] -max $synth_sync_setup_requirement $synth_sync_ports
+
+
+###############################################################################
+# SPI to MB CPLD (PL)
+# This interface is defined as system synchronous to pll_ref_clk.
+###############################################################################
+
+# The output delays are chosen to allow a large time window of valid data for
+# the MB CPLD logic.
+set spi_min_out_delay 0.000
+set spi_max_out_delay 11.000
+
+# Set output constraints for all ports.
+set spi_out_ports [get_ports {PL_CPLD_SCLK PL_CPLD_MOSI PL_CPLD_CS0_n PL_CPLD_CS1_n}]
+set_output_delay -clock [get_clocks pll_ref_clk] -min $spi_min_out_delay $spi_out_ports
+set_output_delay -clock [get_clocks pll_ref_clk] -max $spi_max_out_delay $spi_out_ports
+
+# Both CPLD and FPGA use PLL reference clock from a common clock chip.
+# The traces from that clock chip to the ICs are not length matched. Assume a
+# worst case clock difference of 0.5 ns at the IC inputs. There is no direction
+# defined. The clock can arrive faster or slower at one IC.
+set pl_clock_diff 0.500
+
+# The longest trace on the PL SPI interface is (assuming 170.0 ps/in)
+# Longest trace | Trace length | Trace delay
+# PL_CPLD_MISO | 3.863 in | 0.657 ns
+set pl_spi_board_delay 0.657
+
+# Output delay timings of the MB CPLD design, which still meet timing
+set pl_spi_cpld_min_out -1.000
+set pl_spi_cpld_max_out 8.000
+
+set spi_in_port [get_ports {PL_CPLD_MISO}]
+set_input_delay -clock [get_clocks pll_ref_clk] \
+ -min [expr {- $pl_spi_cpld_min_out - $pl_clock_diff}] \
+ $spi_in_port
+set_input_delay -clock [get_clocks pll_ref_clk] \
+ -max [expr {$pll_ref_clk_period - $pl_spi_cpld_max_out + $pl_spi_board_delay + $pl_clock_diff}] \
+ $spi_in_port
+
+
+###############################################################################
+# 10 GbE
+###############################################################################
+
+# These are the exceptions from "xge_pcs_pma_exceptions.xdc" which are to be
+# used when not using the example design.
+#
+# "clk100" used here is the clock that's connected to the "dclk" input in the core.
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -datapath_only 6.40
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -datapath_only 6.40
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -to [get_clocks clk100] -datapath_only 6.40
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -to [get_clocks clk100] -datapath_only 6.40
+set_max_delay -from [get_clocks clk100] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -datapath_only 10.000
+set_max_delay -from [get_clocks clk100] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -datapath_only 10.000
+
+
+###############################################################################
+# DIO
+# Those GPIO pins are considered asynchronous paths. The user has to add
+# constraints in case required. Therefore not setting false_paths from / to
+# user logic to allow user generated timing constraints to be applied.
+###############################################################################
+
+# Ignore paths from "slow" PS interface to not interfere with user constraints.
+set dio_ports [get_ports {DIOA_FPGA[*] DIOB_FPGA[*]}]
+set dio_registers [get_cells -hierarchical -filter {NAME =~ *x4xx_dio_i* && IS_SEQUENTIAL && IS_PRIMITIVE}]
+set_false_path -from $dio_registers -to $dio_ports
+set_false_path -from $dio_ports -to $dio_registers
+
+
+###############################################################################
+# PPS
+###############################################################################
+
+# The TRIG_IO port may be driven by either the PPS in BRC domain to
+# enable direct sync between 2 devices, or by any other user logic.
+# When PPS is exported through Trigger I/O, timing must be analyzed
+# to ensure determinism in the PPS exporting.
+# But, when other user logic drives TRIG_IO, then the port should be
+# treated as asynchronous (or close to async. at least).
+# To achieve this conditional timing analysis, the following trick is
+# used:
+# 1. A virtual copy of ref_clk is created for I/O timing - virtual_ref_clk
+# 2. Set output_delay constraints to assign a clock to the TRIG_IO port.
+# 3. A set_max_delay constraint is used to time the output path to TRIG_IO
+# set_max_delay makes the timing constraint driver agnostic, and as long
+# as the critical output delay is met for driving PPS through TRIG_IO,
+# we should be fine as this requirement is relatively loose.
+
+# 1) Creating copy of ref_clk to only analyze timing to TRIG_IO port (output)
+# when output is driven by ref_clk (PPS generation in ref_clk domain).
+create_clock -name virtual_ref_clk -period $ref_clk_period
+
+# Trigger IO port is used as output for the PPS signal
+# TRIG_IO_1V8 trace length MB = 4.050 + 1.190 inch = 5.240 inch
+# TRIG_IO_1V8 trace length DB = 2.401 + 0.120 + 0.457 + 0.261 inch = 3.239 inch
+# TRIG_IO buffer max switching time = 3.3
+set trig_max_out_delay [expr {8.479 * 0.17 + 3.3}]
+
+# Set minimum output delay hold time to a small amount to grant external
+# devices some hold time. Delay should be simple to achieve as there is no PLL
+# in the clocking path and some combinatorial logic.
+set trig_min_out_delay 2.000
+
+# 2) set_output_delay for assigning clocks to TRIG_IO. Use zero for delay to
+# avoid adding extra delay requirements on top of the set_max|min_delay
+# constraints below.
+set_output_delay -clock [get_clocks virtual_ref_clk] 0.0 [get_ports {TRIG_IO}]
+
+# 3) Min and max delays make constraining driver agnostic. We just make sure
+# the critical timing for PPS export is met though.
+set_max_delay -through [get_port {TRIG_IO}] -to [get_clocks {virtual_ref_clk}] \
+ [expr {$ref_clk_period - $trig_max_out_delay}]
+set_min_delay -through [get_port {TRIG_IO}] -to [get_clocks {virtual_ref_clk}] \
+ $trig_min_out_delay
+
+# Treat TRIG_IO input as asynchronous.
+set_false_path -from [get_ports {TRIG_IO}]
+# For documentation purposes, these are the input max/min delays for TRIG_IO:
+# - Input delay assuming zero trace delay and TRIG_IO buffer min switching
+# time (B->A) = 0.1 ns.
+# - TRIG_IO buffer max switching time (B->A = input) = 3.7 ns + same trace
+# length as for output (8.479).
+
+# Assuming no delay on external clock distribution.
+
+# Account for the PPS min output delay only (for the case two X410 are directly
+# connected to each other).
+set pps_min_in_delay $trig_min_out_delay
+
+# PPS_IN trace length DB = 0.535 + 0.133 + 0.117 + 0.061 + 2.745 inch = 3.591 inch
+# PPS_IN trace length MB = 5.726 inch
+# PPS switch max propagation delay = 3.6
+
+# Assume 50% of the clock period is used for external PPS clock distribution as
+# the PPS out is used to synchronize one X410 (master) with another X410
+# (slave) the PPS out (trig_io) delay is added to the PPS input.
+set pps_max_in_delay [expr {9.317 * 0.17 + 3.6 + 0.5 * $ref_clk_period + $trig_max_out_delay}]
+
+# Apply PPS input constraints.
+set_input_delay -clock [get_clocks ref_clk] -min $pps_min_in_delay [get_ports {PPS_IN}]
+set_input_delay -clock [get_clocks ref_clk] -max $pps_max_in_delay [get_ports {PPS_IN}]
+
+# PPS clock domain crossing BRC -> PRC on the aligned edge.
+# Use a data path of half PLL reference clock period to make sure the value is
+# captured without metastability.
+set_max_delay -from [get_cells -hierarchical pps_delayed_brc_reg] \
+ -to [get_clocks pll_ref_clk*] [expr {$pll_ref_clk_period/2}]
+
+
+###############################################################################
+# LMK sync
+###############################################################################
+
+# The timings are derived from simulation.
+
+# Clock Buffer ADCLK944 -> FPGA.
+set buffer_to_fpga_min_clk_delay 0.997
+set buffer_to_fpga_max_clk_delay 1.154
+
+# Clock Buffer ADCLK944 -> Sample clock PLL (LMK04832).
+set buffer_to_spll_min_clk_delay 0.000
+set buffer_to_spll_max_clk_delay 0.014
+
+# FPGA -> Sample clock PLL SYNC input.
+set fpga_to_spll_min_clk_delay 0.381
+set fpga_to_spll_max_clk_delay 0.460
+
+# Sample clock PLL requirements.
+set lmk_sync_input_hold 4.000
+set lmk_sync_input_setup 4.000
+
+set lmk_sync_output_max_delay [expr {$fpga_to_spll_max_clk_delay + $buffer_to_fpga_max_clk_delay + \
+ $lmk_sync_input_setup - $buffer_to_spll_min_clk_delay}]
+set lmk_sync_output_min_delay [expr {$fpga_to_spll_min_clk_delay + $buffer_to_fpga_min_clk_delay - \
+ $buffer_to_spll_max_clk_delay - $lmk_sync_input_hold}]
+set_output_delay -clock ref_clk -max $lmk_sync_output_max_delay [get_ports {LMK_SYNC}]
+set_output_delay -clock ref_clk -min $lmk_sync_output_min_delay [get_ports {LMK_SYNC}]
+
+
+###############################################################################
+# SPLL SYSREF Capture
+###############################################################################
+
+# SYSREF is generated by the LMK04832 clocking chip (SPLL), which also produces
+# the PLL reference clock (PRC) used to generate data clocks with a MMCM. Both
+# SYSREF and PLL reference clock are directly fed into the RFSoC.
+# SYSREF is captured by the FPGA fabric in the PRC clock domain (MMCM's PRC
+# output) with a double synchronizer and then transfered to the RFDC clock
+# domain. Both SYSREF versions (PRC and RFDC) are used by downstream logic for
+# sync. purposes.
+# SYSREF is a continuous signal running at PRC freq. / 25, and it is
+# intentionally shifted in the LMK chip to align it closer to the
+# PRC's falling edge.
+# The added delay follows the formula:
+# SYSREF LMK delay = 22 * sample clock period
+# The highest sampling frequency supported in MPM (3.072 GHz) is used for
+# timing constrains. Therefore, SYSREF LMK's delay = 22 * (1 / 3.072e9).
+set sysref_lmk_delay 7.161
+#
+# These are the signals' lengths and corresponding delays (assuming 170 ps/in):
+# - SYSREF --> 5794 mils (5.794 inches) = 0.985 ns
+# - PRC --> 5668 mils (5.668 inches) = 0.964 ns
+#
+# For min/max input delay calculations, it is assumed min prop. delay of 0 ns,
+# which essentially over-constrains SYSREF.
+#
+# The max input delay is the latest that SYSREF may arrive w.r.t PRC, and it is
+# calculated as follows:
+# Input delay (max) = SYSREF's LMK delay + SYSREF prop. delay (max)
+# - PRC prop. delay (min)
+set sysref_max_input_delay [expr {$sysref_lmk_delay + 0.985 - 0}]
+#
+# The min input delay is the earliest that SYSREF may arrive w.r.t PRC, and it
+# is calculated as follows:
+# Input delay (min) = SYSREF's LMK delay + SYSREF prop. delay (min)
+# - PRC prop. delay (min)
+set sysref_min_input_delay [expr {$sysref_lmk_delay + 0 - 0.964}]
+
+set_input_delay -clock pll_ref_clk -max $sysref_max_input_delay [get_ports {SYSREF_FABRIC_P}]
+set_input_delay -clock pll_ref_clk -min $sysref_min_input_delay [get_ports {SYSREF_FABRIC_P}]
+
+
+###############################################################################
+# DB GPIO
+# This interface is defined as system synchronous to pll_ref_clk.
+# Some timing constants in this section are declared in
+# <repo>/fpga/usrp3/top/x400/constraints/timing/shared_constants.sdc
+###############################################################################
+
+# Set output constraints for all ports.
+set db_gpio_ports [get_ports {DB0_GPIO[*] DB1_GPIO[*]}]
+set_output_delay -clock [get_clocks pll_ref_clk] -min $db_gpio_fpga_min_out $db_gpio_ports
+set_output_delay -clock [get_clocks pll_ref_clk] -max $db_gpio_fpga_max_out $db_gpio_ports
+
+# Output enable signal is available one clock cycle ahead of valid data, this
+# enables the use of multi-cycle paths.
+set db_gpio_out_en_regs [get_cells -hierarchical -filter \
+ {PRIMITIVE_TYPE =~ REGISTER.*.* && NAME =~ "*bytestream_output_enable*"}]
+set_multicycle_path 2 -setup -from $db_gpio_out_en_regs -to $db_gpio_ports
+set_multicycle_path 1 -hold -from $db_gpio_out_en_regs -to $db_gpio_ports
+
+# Calculate output delays back from capturing edge, add board delay and clock
+# difference.
+# Assume worst case as data being generated late and receiving an early clock:
+# - Max CPLD TCO
+# - Max data propagation delay
+# - Max CPLD clock propagation delay and minimum FPGA clock propagation delay
+# - Maximum delay from MC100EPT23 clock buffer
+set_input_delay -clock pll_ref_clk \
+ -max [expr {$pll_ref_clk_period - $db_gpio_cpld_max_out + $db_gpio_board_max_delay \
+ + $db_cpld_prc_clock_prop_max - $fpga_prc_clock_prop_min + $clock_translate_max}] \
+ $db_gpio_ports
+
+# Negate minimum output delay as it is defined from the change to the start
+# clock edge.
+# Assume worst case as data being generated early and receiving an late clock:
+# - Min CPLD TCO
+# - Min data propagation delay (0)
+# - Min CPLD clock propagation delay and max FPGA clock propagation delay
+set_input_delay -clock pll_ref_clk \
+ -min [expr {- $db_gpio_cpld_min_out \
+ - $db_gpio_board_min_delay \
+ - $db_cpld_prc_clock_prop_min + $fpga_prc_clock_prop_max}] \
+ $db_gpio_ports
+
+
+###############################################################################
+# x4xx_ps_rfdc_bd
+###############################################################################
+
+# The calibration_muxes component contains a clock crossing from some GPIO
+# component instances that are synchronous to a configuration clock and ending
+# in some AXI registers synchronous to data clock. The GPIO registers are
+# essentially constant. When they are changing (due to a register write), the
+# latching registers can definitely become metastable, so the software must
+# ensure that the corrupted data appears at a safe time.
+set gpio_regs [get_pins -of [get_cells -filter {IS_SEQUENTIAL && NAME =~ *rfdc/calibration_muxes/axi_gpio*} -hier] -filter {IS_CLOCK}]
+set mux_regs [get_cells -hier -filter {IS_SEQUENTIAL && NAME =~ *rfdc/calibration_muxes/gpio_to_axis_mux*}]
+set_false_path -from $gpio_regs -to $mux_regs
+
+# This property tells Vivado that we require these clocks to be well aligned.
+# We have synchronous clock domain crossings between these clocks that can have
+# large hold violations after placement due to uneven clock loading.
+set_property CLOCK_DELAY_GROUP DataClkGroup [get_nets -hier -filter {\
+ NAME=~*/rfdc/data_clock_mmcm/inst/CLK_CORE_DRP_I/clk_inst/data_clk ||\
+ NAME=~*/rfdc/data_clock_mmcm/inst/CLK_CORE_DRP_I/clk_inst/data_clk_2x ||\
+ NAME=~*/rfdc/data_clock_mmcm/inst/CLK_CORE_DRP_I/clk_inst/pll_ref_clk_out ||\
+ NAME=~*/rfdc/data_clock_mmcm/inst/CLK_CORE_DRP_I/clk_inst/rfdc_clk_2x ||\
+ NAME=~*/rfdc/data_clock_mmcm/inst/CLK_CORE_DRP_I/clk_inst/rfdc_clk \
+}]
+
+# We treat rfdc_clk and data_clk buffers as asynchronous, with knowledge that
+# code clocked in this domain will be reset after this clocked is enabled. This
+# will make timing easier to meet on these clock domains.
+set_false_path -from [get_pins -hierarchical -filter {NAME =~ */rfdc/clock_gates_0/*rEnableRfdcBufg1x*/C}] \
+ -to [get_pins -hierarchical -filter {NAME =~ */rfdc/rf_clock_buffers/rfdc_clk_1x_buf/*BUFGCE*/CE}]
+
+set_false_path -from [get_pins -hierarchical -filter {NAME =~ */rfdc/clock_gates_0/*rEnableRfdcBufg2x*/C}] \
+ -to [get_pins -hierarchical -filter {NAME =~ */rfdc/rf_clock_buffers/rfdc_clk_2x_buf/*BUFGCE*/CE}]
+
+set_false_path -from [get_pins -hierarchical -filter {NAME =~ */rfdc/clock_gates_0/*rEnableDataBufg1x*/C}] \
+ -to [get_pins -hierarchical -filter {NAME =~ */rfdc/clock_gates_0/*DataClk1xSafeBufg/CE}]
+
+set_false_path -from [get_pins -hierarchical -filter {NAME =~ */rfdc/clock_gates_0/*rEnableDataBufg2x*/C}] \
+ -to [get_pins -hierarchical -filter {NAME =~ */rfdc/clock_gates_0/*DataClk2xSafeBufg/CE}]
+
+
+###############################################################################
+# Misc Constraints
+###############################################################################
+
+# Double synchronizer false paths.
+set_false_path -to [get_pins -hierarchical -filter {NAME =~ */synchronizer_false_path/stages[0].value_reg[0][*]/D}]
+set_false_path -to [get_pins -hierarchical -filter {NAME =~ */rf_reset_controller*/*_ms_reg/D}]
+set_false_path -to [get_pins -hierarchical -filter {NAME =~ */rfdc/rf_nco_reset_0/*_ms*/D}]
+
+# GTY_RCV_CLK_* is driven by a OBUFDS_GTE4 buffer, which has an asynchronous
+# clock-enable pin.
+# By experimentation, it was observed that explicitly setting a false_path to
+# this pin improved timing.
+set gty_rcv_clk_buff_ceb [get_pins -of_objects [get_cells -of_objects [all_fanin -flat -startpoints_only [get_ports {GTY_RCV_CLK_P}]]] -filter {NAME=~ "*CEB"}]
+set_false_path -from [get_clocks {clk40}] -through $gty_rcv_clk_buff_ceb
+
+
+###############################################################################
+# Asynchronous / misc. I/O constraints
+# Loosely constrain these to prevent warnings in Vivado.
+# Using set_input_delay associates the I/Os to a clock group, but
+# set_(min|max)_delay overwrites the setup/hold analysis values.
+###############################################################################
+
+set async_inputs [get_ports {FPGA_AUX_REF}]
+
+set_input_delay -clock [get_clocks async_in_clk] 0.000 $async_inputs
+set_max_delay -from $async_inputs 50.000
+set_min_delay -from $async_inputs 0.000
+
+
+set async_outputs [get_ports {FABRIC_CLK_OUT_P PPS_LED}]
+
+set_output_delay -clock [get_clocks async_out_clk] 0.000 $async_outputs
+set_max_delay -to $async_outputs 50.000
+set_min_delay -to $async_outputs 0.000
diff --git a/fpga/usrp3/top/x400/constraints/timing/dram.xdc b/fpga/usrp3/top/x400/constraints/timing/dram.xdc
new file mode 100644
index 000000000..ed2d2aa64
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/timing/dram.xdc
@@ -0,0 +1,55 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# DRAM timing constraints for X410.
+#
+
+set DramSysClockPeriod 9.996
+set DramSysClockWave {0.0 4.998}
+create_clock -name Dram0SysClock -period $DramSysClockPeriod -waveform $DramSysClockWave [get_ports {DRAM0_REFCLK_P}]
+create_clock -name Dram1SysClock -period $DramSysClockPeriod -waveform $DramSysClockWave [get_ports {DRAM1_REFCLK_P}]
+
+## This calibration signal is a static signal. Once asserted it will stay HIGH.
+## The multi cycle path constraint is added to improve timing.
+set_multicycle_path -setup 8 -from [get_pins */inst/u_ddr4_mem_intfc/u_ddr_cal_top/calDone*/C]
+set_multicycle_path -end -hold 7 -from [get_pins */inst/u_ddr4_mem_intfc/u_ddr_cal_top/calDone*/C]
+
+## These signals once asserted, stay asserted for multiple clock cycles.
+## False path constraint is added to improve the HOLD timing.
+set_false_path -hold -to [get_pins */inst/*/*/*/*/*/*.u_xiphy_control/xiphy_control/RIU_ADDR*]
+set_false_path -hold -to [get_pins */inst/*/*/*/*/*/*.u_xiphy_control/xiphy_control/RIU_WR_DATA*]
+
+## Multi-cycle path constraints for Fabric - RIU clock domain crossing signals
+set_max_delay 5.0 -datapath_only -from [get_pins */inst/*/*/*/u_ddr_cal_addr_decode/io_ready_lvl_reg/C] -to [get_pins */inst/*/u_io_ready_lvl_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 5.0 -datapath_only -from [get_pins */inst/*/*/*/u_ddr_cal_addr_decode/io_read_data_reg[*]/C] -to [get_pins */inst/*/u_io_read_data_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/phy_ready_riuclk_reg/C] -to [get_pins */inst/*/u_phy2clb_phy_ready_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/bisc_complete_riuclk_reg/C] -to [get_pins */inst/*/u_phy2clb_bisc_complete_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/io_addr_strobe_lvl_riuclk_reg/C] -to [get_pins */inst/*/u_io_addr_strobe_lvl_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/io_write_strobe_riuclk_reg/C] -to [get_pins */inst/*/u_io_write_strobe_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/io_address_riuclk_reg[*]/C] -to [get_pins */inst/*/u_io_addr_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/io_write_data_riuclk_reg[*]/C] -to [get_pins */inst/*/u_io_write_data_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/en_vtc_in_reg/C] -to [get_pins */inst/*/u_en_vtc_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/*/riu2clb_valid_r1_riuclk_reg[*]/C] -to [get_pins */inst/*/u_riu2clb_valid_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/*/*/phy2clb_fixdly_rdy_low_riuclk_int_reg[*]/C] -to [get_pins */inst/*/u_phy2clb_fixdly_rdy_low/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/*/*/phy2clb_fixdly_rdy_upp_riuclk_int_reg[*]/C] -to [get_pins */inst/*/u_phy2clb_fixdly_rdy_upp/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/*/*/phy2clb_phy_rdy_low_riuclk_int_reg[*]/C] -to [get_pins */inst/*/u_phy2clb_phy_rdy_low/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/*/*/phy2clb_phy_rdy_upp_riuclk_int_reg[*]/C] -to [get_pins */inst/*/u_phy2clb_phy_rdy_upp/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 10.0 -datapath_only -from [get_pins */inst/*/rst_r1_reg/C] -to [get_pins */inst/*/u_fab_rst_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/clb2phy_t_b_addr_riuclk_reg/C] -to [get_pins */inst/*/*/*/clb2phy_t_b_addr_i_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_en_lvl_reg/C] -to [get_pins */inst/*/*/*/*/u_slave_en_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_we_r_reg/C] -to [get_pins */inst/*/*/*/*/u_slave_we_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_addr_r_reg[*]/C] -to [get_pins */inst/*/*/*/*/u_slave_addr_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_di_r_reg[*]/C] -to [get_pins */inst/*/*/*/*/u_slave_di_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 3.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_rdy_cptd_sclk_reg/C] -to [get_pins */inst/*/*/*/*/u_slave_rdy_cptd_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 12.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_rdy_lvl_fclk_reg/C] -to [get_pins */inst/*/*/*/*/u_slave_rdy_sync/SYNC[*].sync_reg_reg[0]/D]
+set_max_delay 12.0 -datapath_only -from [get_pins */inst/*/*/*/*/slave_do_fclk_reg[*]/C] -to [get_pins */inst/*/*/*/*/u_slave_do_sync/SYNC[*].sync_reg_reg[0]/D]
+set_false_path -through [get_pins */inst/u_ddr4_infrastructure/sys_rst]
+set_false_path -from [get_pins */inst/*/input_rst_design_reg/C] -to [get_pins */inst/*/rst_div_sync_r_reg[0]/D]
+set_false_path -from [get_pins */inst/*/input_rst_design_reg/C] -to [get_pins */inst/*/rst_riu_sync_r_reg[0]/D]
+set_false_path -from [get_pins */inst/*/input_rst_design_reg/C] -to [get_pins */inst/*/rst_mb_sync_r_reg[0]/D]
+set_false_path -from [get_pins */inst/*/rst_async_riu_div_reg/C] -to [get_pins */inst/*/rst_div_sync_r_reg[0]/D]
+set_false_path -from [get_pins */inst/*/rst_async_mb_reg/C] -to [get_pins */inst/*/rst_mb_sync_r_reg[0]/D]
+set_false_path -from [get_pins */inst/*/rst_async_riu_div_reg/C] -to [get_pins */inst/*/rst_riu_sync_r_reg[0]/D]
diff --git a/fpga/usrp3/top/x400/constraints/timing/qsfp_10gbe.xdc b/fpga/usrp3/top/x400/constraints/timing/qsfp_10gbe.xdc
new file mode 100644
index 000000000..2438138e3
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/timing/qsfp_10gbe.xdc
@@ -0,0 +1,19 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# 10 GbE Timing Constraints.
+#
+
+# Specify which clock is used for dclk in the 10 GbE IP.
+set DCLK_NAME clk100
+
+# Constraints taken from xge_pcs_pma_exceptions.xdc in the example design.
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -datapath_only 6.40
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -datapath_only 6.40
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -to [get_clocks $DCLK_NAME] -datapath_only 6.40
+set_max_delay -from [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -to [get_clocks $DCLK_NAME] -datapath_only 6.40
+set_max_delay -from [get_clocks $DCLK_NAME] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/TXOUTCLK}]] -datapath_only 10.000
+set_max_delay -from [get_clocks $DCLK_NAME] -to [get_clocks -of_objects [get_pins -hierarchical -filter {NAME =~ */channel_inst/*_CHANNEL_PRIM_INST/RXOUTCLK}]] -datapath_only 10.000
diff --git a/fpga/usrp3/top/x400/constraints/timing/shared_constants.sdc b/fpga/usrp3/top/x400/constraints/timing/shared_constants.sdc
new file mode 100644
index 000000000..e7d389435
--- /dev/null
+++ b/fpga/usrp3/top/x400/constraints/timing/shared_constants.sdc
@@ -0,0 +1,130 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+# Description:
+# Shared constants for the X410 FPGA and the ZBX CPLD.
+#
+
+# These output delays are more or less arbitrarily chosen, but they dictate the
+# delays at the input of the DB CPLD.
+# Since the CPLD is built in Quartus, the file extension is .sdc.
+set synth_sync_hold_requirement 1.0
+set synth_sync_setup_requirement 8.5
+
+# A MC100EPT23 clock translator is placed on the daughterboard to convert
+# pll_ref_clk clock IO Standard to 3.3V. The minimum and maximum delays across
+# this part are 1.1 ns and 1.8 ns, respectively.
+# https://www.onsemi.com/pub/Collateral/MC100EPT23-D.PDF
+set clock_translate_min 1.1
+set clock_translate_max 1.8
+
+## DB GPIO interface.
+# The following values have been adjusted after verifying the slack on input
+# and output path for the Post-synthesized designs for FPGA (Vivado) and CPLD
+# (Quartus). Values are chosen as those that provide the most slack for FPGA
+# timing, while still meeting timing on the CPLD.
+
+# FPGA output constraints
+set db_gpio_fpga_min_out 0.000
+set db_gpio_fpga_max_out 3.250
+
+# CPLD output constraints
+set db_gpio_cpld_min_out -2.000
+set db_gpio_cpld_max_out 8.500
+
+# The longest traces on the DB GPIO interface.
+# In order to compute the db_gpio_board_max_delay, the longest GPIO trace from
+# the FPGA to any of the DB connector was simulated, and its worst-case value
+# was added to the worst-case value obtained while simulating the longest GPIO
+# trace on the DB. Both directions (FPGA <-> CPLD) were considered when
+# computing the longest propagation delays. Propagation through the Board to
+# board connector is assumed shorter than the effect of having the edge
+# propagation time twice, as well as adding the two longest traces, instead of
+# the longest corresponding pair.
+# Longest trace | Trace length | Worst-case delay
+# MB: DB0_GPIO[19] | 4.25 in | 912 ps
+# DB: MB_FPGA_GPIO_A8 | 3.83 in | 862 ps
+set db_gpio_board_max_delay 1.774
+
+# The shortest traces on the DB GPIO interface are (assuming 170.0 ps/in).
+# A similar consideration was made as for db_gpio_board_max_delay, but using
+# the shortest traces in each CCA.
+# In this case, edge propagation was not taken into account, as the trace delay
+# can act as the worst-case minimum.
+# Shorted trace | Trace length | Trace delay
+# MB: DB1_GPIO[8] | 2.23 in | 379 ps
+# DB: MB_FPGA_GPIO_B12| 2.57 in | 436 ps
+set db_gpio_board_min_delay 0.815
+
+# DB and FPGA both use PLL reference clock from a common clock chip.
+# The common chip that drives these clocks is a LMK04832.
+# There exist two additional clock buffers within the trace taking the clock to
+# the daughterboard CPLD, a ADCLK944 located in the DB, and a MC100EPT23 near
+# the CPLD which takes care of level translation.
+# The largest delay that can exist between clocks exists when the LMK04832
+# presents its max skew between outputs and when all clock buffers present
+# their maximum delay.
+# MC100EPT23 propagation delays will be handled separately in constraints due
+# to their significant impact in timing closure, as well as to enable support
+# for various corner-cases.
+# Board delays were simulated in HyperLynx. Minimum delays considered time
+# between start of edge at the source to the start of edge at destination.
+# Maximum delays considered time between the start of an edge at the source and
+# the settling of the edge at destination. Corner cases were considered for IC
+# modeling.
+#
+# The clock path to the FPGA is composed by a single trace:
+# - Minimum clock propagation delay to FPGA = 815 ps.
+# - Maximum clock propagation delay to FPGA = 1.329 ps.
+#
+# The clock path to the CPLD (w/o MC100EPT23) is comprised by multiple traces:
+# - Path from MB LMK04832 to DB connector
+# - Minimum delay : 1636 ps
+# - Path from DB Connector to DB ADCLK944
+# - Minimum delay : 299 ps
+# - Path from DB ADCLK944 to CPLD:
+# - Minimum delay : 197 ps
+#
+# The clock path to the CPLD (w/ MC100EPT23) is comprised of multiple traces:
+# - Path from MB LMK04832 to DB connector
+# - Minimum delay : 1636 ps
+# - Maximum delay : 1890 ps
+# - Path from DB Connector to DB ADCLK944
+# - Minimum delay : 299 ps
+# - Maximum delay : 397 ps
+# - Path from DB ADCLK944 to MC100EPT23:
+# - Minimum delay : 120 ps
+# - Maximum delay : 411 ps
+# - Path from MC100EPT23 to CPLD:
+# - Minimum delay : 365 ps
+# - Maximum delay : 847 ps
+#
+# The minimum and maximum delays for all traces can be added to account for the
+# total board delay to the CPLD:
+#
+# Max total Board delay = 1890 + 397 + 411 + 847 = 3545 ps
+#
+# Min total Board delay = 1636 + 299 + 197 = 2132 ps
+
+# This leaves the worst-case clock arrival values for the different ICs as:
+
+# Max total Board delay + (Max LMK Skew) + (Max DB ADCLK Prop)
+# 3.545 + .1 + .130 = 3.775 ns,
+set db_cpld_prc_clock_prop_max 3.775
+
+# Min total Board delay - (Max LMK Skew) + (Min DB ADCLK Prop)
+# 2.132 - .1 + .07 = 2.102 ns,
+set db_cpld_prc_clock_prop_min 2.102
+
+# Board delay + (Max LMK Skew)
+# 1.329 + .1 = 1.429 ns
+set fpga_prc_clock_prop_max 1.429
+
+# Board delay - (Max LMK Skew)
+# .815 - .1 = 0.715 ns
+set fpga_prc_clock_prop_min 0.715
+
+# When combining these values, the LMK Skew will be accounted for twice,
+# which will just result in more conservative constraints.
diff --git a/fpga/usrp3/top/x400/coregen_dsp/Makefile.srcs b/fpga/usrp3/top/x400/coregen_dsp/Makefile.srcs
new file mode 100644
index 000000000..41f3147bf
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/Makefile.srcs
@@ -0,0 +1,22 @@
+#
+# Copyright 2021 Ettus Research, A National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+##################################################
+# Coregen Sources
+##################################################
+
+COREGEN_DSP_SRCS = $(abspath $(addprefix $(IP_DIR)/../coregen_dsp/, \
+hbdec1.v \
+hbdec1.edif \
+hbdec1_stub.v \
+hbdec2.v \
+hbdec2.edif \
+hbdec2_stub.v \
+hbdec3.v \
+hbdec3.edif \
+hbdec3_stub.v \
+))
+
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec1.edif b/fpga/usrp3/top/x400/coregen_dsp/hbdec1.edif
new file mode 100644
index 000000000..3d2a17bc6
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec1.edif
@@ -0,0 +1,69922 @@
+(edif hbdec1
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2018 8 29 14 59 34)
+ (program "Xilinx ngc2edif" (version "P_INT.20171106"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: hbdec1.ngc hbdec1.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDRE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY_D
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XORCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port LI
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FD
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell DSP48E1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port PATTERNBDETECT
+ (direction OUTPUT)
+ )
+ (port RSTC
+ (direction INPUT)
+ )
+ (port CEB1
+ (direction INPUT)
+ )
+ (port CEAD
+ (direction INPUT)
+ )
+ (port MULTSIGNOUT
+ (direction OUTPUT)
+ )
+ (port CEC
+ (direction INPUT)
+ )
+ (port RSTM
+ (direction INPUT)
+ )
+ (port MULTSIGNIN
+ (direction INPUT)
+ )
+ (port CEB2
+ (direction INPUT)
+ )
+ (port RSTCTRL
+ (direction INPUT)
+ )
+ (port CEP
+ (direction INPUT)
+ )
+ (port CARRYCASCOUT
+ (direction OUTPUT)
+ )
+ (port RSTA
+ (direction INPUT)
+ )
+ (port CECARRYIN
+ (direction INPUT)
+ )
+ (port UNDERFLOW
+ (direction OUTPUT)
+ )
+ (port PATTERNDETECT
+ (direction OUTPUT)
+ )
+ (port RSTALUMODE
+ (direction INPUT)
+ )
+ (port RSTALLCARRYIN
+ (direction INPUT)
+ )
+ (port CED
+ (direction INPUT)
+ )
+ (port RSTD
+ (direction INPUT)
+ )
+ (port CEALUMODE
+ (direction INPUT)
+ )
+ (port CEA2
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port CEA1
+ (direction INPUT)
+ )
+ (port RSTB
+ (direction INPUT)
+ )
+ (port OVERFLOW
+ (direction OUTPUT)
+ )
+ (port CECTRL
+ (direction INPUT)
+ )
+ (port CEM
+ (direction INPUT)
+ )
+ (port CARRYIN
+ (direction INPUT)
+ )
+ (port CARRYCASCIN
+ (direction INPUT)
+ )
+ (port RSTINMODE
+ (direction INPUT)
+ )
+ (port CEINMODE
+ (direction INPUT)
+ )
+ (port RSTP
+ (direction INPUT)
+ )
+ (port (rename ACOUT_29_ "ACOUT<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_28_ "ACOUT<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_27_ "ACOUT<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_26_ "ACOUT<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_25_ "ACOUT<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_24_ "ACOUT<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_23_ "ACOUT<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_22_ "ACOUT<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_21_ "ACOUT<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_20_ "ACOUT<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_19_ "ACOUT<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_18_ "ACOUT<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_17_ "ACOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_16_ "ACOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_15_ "ACOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_14_ "ACOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_13_ "ACOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_12_ "ACOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_11_ "ACOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_10_ "ACOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_9_ "ACOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_8_ "ACOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_7_ "ACOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_6_ "ACOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_5_ "ACOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_4_ "ACOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_3_ "ACOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_2_ "ACOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_1_ "ACOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_0_ "ACOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_6_ "OPMODE<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_5_ "OPMODE<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_4_ "OPMODE<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_3_ "OPMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_2_ "OPMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_1_ "OPMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_0_ "OPMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCIN_47_ "PCIN<47>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename PCIN_46_ "PCIN<46>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename PCIN_45_ "PCIN<45>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename PCIN_44_ "PCIN<44>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename PCIN_43_ "PCIN<43>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename PCIN_42_ "PCIN<42>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename PCIN_41_ "PCIN<41>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCIN_40_ "PCIN<40>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename PCIN_39_ "PCIN<39>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename PCIN_38_ "PCIN<38>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename PCIN_37_ "PCIN<37>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename PCIN_36_ "PCIN<36>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename PCIN_35_ "PCIN<35>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename PCIN_34_ "PCIN<34>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename PCIN_33_ "PCIN<33>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename PCIN_32_ "PCIN<32>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename PCIN_31_ "PCIN<31>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename PCIN_30_ "PCIN<30>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename PCIN_29_ "PCIN<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename PCIN_28_ "PCIN<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename PCIN_27_ "PCIN<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename PCIN_26_ "PCIN<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename PCIN_25_ "PCIN<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename PCIN_24_ "PCIN<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename PCIN_23_ "PCIN<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename PCIN_22_ "PCIN<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename PCIN_21_ "PCIN<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename PCIN_20_ "PCIN<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename PCIN_19_ "PCIN<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename PCIN_18_ "PCIN<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCIN_17_ "PCIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename PCIN_16_ "PCIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename PCIN_15_ "PCIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename PCIN_14_ "PCIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename PCIN_13_ "PCIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename PCIN_12_ "PCIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename PCIN_11_ "PCIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename PCIN_10_ "PCIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename PCIN_9_ "PCIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename PCIN_8_ "PCIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename PCIN_7_ "PCIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename PCIN_6_ "PCIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename PCIN_5_ "PCIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename PCIN_4_ "PCIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename PCIN_3_ "PCIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename PCIN_2_ "PCIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename PCIN_1_ "PCIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename PCIN_0_ "PCIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_3_ "ALUMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_2_ "ALUMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_1_ "ALUMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_0_ "ALUMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename C_47_ "C<47>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename C_46_ "C<46>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename C_45_ "C<45>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename C_44_ "C<44>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename C_43_ "C<43>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename C_42_ "C<42>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename C_41_ "C<41>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename C_40_ "C<40>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename C_39_ "C<39>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename C_38_ "C<38>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename C_37_ "C<37>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename C_36_ "C<36>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename C_35_ "C<35>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename C_34_ "C<34>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename C_33_ "C<33>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename C_32_ "C<32>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename C_31_ "C<31>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename C_30_ "C<30>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename C_29_ "C<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename C_28_ "C<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename C_27_ "C<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename C_26_ "C<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename C_25_ "C<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename C_24_ "C<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename C_23_ "C<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename C_22_ "C<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename C_21_ "C<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename C_20_ "C<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename C_19_ "C<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename C_18_ "C<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename C_17_ "C<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename C_16_ "C<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename C_15_ "C<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename C_14_ "C<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename C_13_ "C<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename C_12_ "C<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename C_11_ "C<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename C_10_ "C<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename C_9_ "C<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename C_8_ "C<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename C_7_ "C<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename C_6_ "C<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename C_5_ "C<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename C_4_ "C<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename C_3_ "C<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename C_2_ "C<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename C_1_ "C<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename C_0_ "C<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_3_ "CARRYOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_2_ "CARRYOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_1_ "CARRYOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_0_ "CARRYOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename INMODE_4_ "INMODE<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename INMODE_3_ "INMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename INMODE_2_ "INMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename INMODE_1_ "INMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename INMODE_0_ "INMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCIN_17_ "BCIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename BCIN_16_ "BCIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename BCIN_15_ "BCIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename BCIN_14_ "BCIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename BCIN_13_ "BCIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCIN_12_ "BCIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename BCIN_11_ "BCIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename BCIN_10_ "BCIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename BCIN_9_ "BCIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename BCIN_8_ "BCIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename BCIN_7_ "BCIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename BCIN_6_ "BCIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename BCIN_5_ "BCIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename BCIN_4_ "BCIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename BCIN_3_ "BCIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename BCIN_2_ "BCIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename BCIN_1_ "BCIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename BCIN_0_ "BCIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename B_17_ "B<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename B_16_ "B<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename B_15_ "B<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename B_14_ "B<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename B_13_ "B<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename B_12_ "B<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename B_11_ "B<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename B_10_ "B<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename B_9_ "B<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename B_8_ "B<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename B_7_ "B<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename B_6_ "B<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename B_5_ "B<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename B_4_ "B<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename B_3_ "B<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename B_2_ "B<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename B_1_ "B<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename B_0_ "B<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_17_ "BCOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_16_ "BCOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_15_ "BCOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_14_ "BCOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_13_ "BCOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_12_ "BCOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_11_ "BCOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_10_ "BCOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_9_ "BCOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_8_ "BCOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_7_ "BCOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_6_ "BCOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_5_ "BCOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_4_ "BCOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_3_ "BCOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_2_ "BCOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_1_ "BCOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_0_ "BCOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename D_24_ "D<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename D_23_ "D<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename D_22_ "D<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename D_21_ "D<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename D_20_ "D<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename D_19_ "D<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename D_18_ "D<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename D_17_ "D<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename D_16_ "D<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename D_15_ "D<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename D_14_ "D<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename D_13_ "D<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename D_12_ "D<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename D_11_ "D<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename D_10_ "D<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename D_9_ "D<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename D_8_ "D<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename D_7_ "D<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename D_6_ "D<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename D_5_ "D<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename D_4_ "D<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename D_3_ "D<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename D_2_ "D<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename D_1_ "D<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename D_0_ "D<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename P_47_ "P<47>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename P_46_ "P<46>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename P_45_ "P<45>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename P_44_ "P<44>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename P_43_ "P<43>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename P_42_ "P<42>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename P_41_ "P<41>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename P_40_ "P<40>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename P_39_ "P<39>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename P_38_ "P<38>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename P_37_ "P<37>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename P_36_ "P<36>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename P_35_ "P<35>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename P_34_ "P<34>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename P_33_ "P<33>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename P_32_ "P<32>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename P_31_ "P<31>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename P_30_ "P<30>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename P_29_ "P<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename P_28_ "P<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename P_27_ "P<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename P_26_ "P<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename P_25_ "P<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename P_24_ "P<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename P_23_ "P<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename P_22_ "P<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename P_21_ "P<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename P_20_ "P<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename P_19_ "P<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename P_18_ "P<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename P_17_ "P<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename P_16_ "P<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename P_15_ "P<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename P_14_ "P<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename P_13_ "P<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename P_12_ "P<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename P_11_ "P<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename P_10_ "P<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename P_9_ "P<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename P_8_ "P<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename P_7_ "P<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename P_6_ "P<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename P_5_ "P<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename P_4_ "P<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename P_3_ "P<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename P_2_ "P<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename P_1_ "P<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename P_0_ "P<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename A_29_ "A<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename A_28_ "A<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename A_27_ "A<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename A_26_ "A<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename A_25_ "A<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename A_24_ "A<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename A_23_ "A<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename A_22_ "A<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename A_21_ "A<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename A_20_ "A<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename A_19_ "A<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename A_18_ "A<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename A_17_ "A<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename A_16_ "A<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename A_15_ "A<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename A_14_ "A<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename A_13_ "A<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename A_12_ "A<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename A_11_ "A<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename A_10_ "A<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename A_9_ "A<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename A_8_ "A<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename A_7_ "A<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename A_6_ "A<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename A_5_ "A<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename A_4_ "A<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename A_3_ "A<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename A_2_ "A<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename A_1_ "A<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename A_0_ "A<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_47_ "PCOUT<47>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_46_ "PCOUT<46>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_45_ "PCOUT<45>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_44_ "PCOUT<44>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_43_ "PCOUT<43>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_42_ "PCOUT<42>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_41_ "PCOUT<41>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_40_ "PCOUT<40>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_39_ "PCOUT<39>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_38_ "PCOUT<38>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_37_ "PCOUT<37>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_36_ "PCOUT<36>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_35_ "PCOUT<35>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_34_ "PCOUT<34>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_33_ "PCOUT<33>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_32_ "PCOUT<32>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_31_ "PCOUT<31>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_30_ "PCOUT<30>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_29_ "PCOUT<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_28_ "PCOUT<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_27_ "PCOUT<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_26_ "PCOUT<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_25_ "PCOUT<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_24_ "PCOUT<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_23_ "PCOUT<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_22_ "PCOUT<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_21_ "PCOUT<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_20_ "PCOUT<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_19_ "PCOUT<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_18_ "PCOUT<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_17_ "PCOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_16_ "PCOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_15_ "PCOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_14_ "PCOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_13_ "PCOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_12_ "PCOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_11_ "PCOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_10_ "PCOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_9_ "PCOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_8_ "PCOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_7_ "PCOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_6_ "PCOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_5_ "PCOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_4_ "PCOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_3_ "PCOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_2_ "PCOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_1_ "PCOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_0_ "PCOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename ACIN_29_ "ACIN<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ACIN_28_ "ACIN<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ACIN_27_ "ACIN<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ACIN_26_ "ACIN<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename ACIN_25_ "ACIN<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename ACIN_24_ "ACIN<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename ACIN_23_ "ACIN<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename ACIN_22_ "ACIN<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename ACIN_21_ "ACIN<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename ACIN_20_ "ACIN<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename ACIN_19_ "ACIN<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename ACIN_18_ "ACIN<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename ACIN_17_ "ACIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename ACIN_16_ "ACIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename ACIN_15_ "ACIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename ACIN_14_ "ACIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename ACIN_13_ "ACIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename ACIN_12_ "ACIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename ACIN_11_ "ACIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename ACIN_10_ "ACIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename ACIN_9_ "ACIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename ACIN_8_ "ACIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename ACIN_7_ "ACIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename ACIN_6_ "ACIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename ACIN_5_ "ACIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename ACIN_4_ "ACIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename ACIN_3_ "ACIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename ACIN_2_ "ACIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename ACIN_1_ "ACIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename ACIN_0_ "ACIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_2_ "CARRYINSEL<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_1_ "CARRYINSEL<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_0_ "CARRYINSEL<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ )
+ )
+ )
+ (cell FDSE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDR
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell RAM32X1D
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A0
+ (direction INPUT)
+ )
+ (port A1
+ (direction INPUT)
+ )
+ (port A2
+ (direction INPUT)
+ )
+ (port A3
+ (direction INPUT)
+ )
+ (port A4
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port DPRA0
+ (direction INPUT)
+ )
+ (port DPRA1
+ (direction INPUT)
+ )
+ (port DPRA2
+ (direction INPUT)
+ )
+ (port DPRA3
+ (direction INPUT)
+ )
+ (port DPRA4
+ (direction INPUT)
+ )
+ (port WCLK
+ (direction INPUT)
+ )
+ (port WE
+ (direction INPUT)
+ )
+ (port SPO
+ (direction OUTPUT)
+ )
+ (port DPO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY_L
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell SRLC16E
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A0
+ (direction INPUT)
+ )
+ (port A1
+ (direction INPUT)
+ )
+ (port A2
+ (direction INPUT)
+ )
+ (port A3
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ (port Q15
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT5
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library hbdec1_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell (rename dpr_ram_8_blk0000075c "dpr_ram_8")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000075d
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000075e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000760
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000761
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000762
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000763
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000764
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000765
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000766
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000767
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000768
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000769
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000770
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000771
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000772
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000773
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000774
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000775
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000776
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000777
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000778
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000779
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000077a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000077b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000077c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000077d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000077e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000077f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000780
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000781
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000782
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000015a8
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A0 (instanceRef blk00000770))
+ (portRef A0 (instanceRef blk00000771))
+ (portRef A0 (instanceRef blk00000772))
+ (portRef A0 (instanceRef blk00000773))
+ (portRef A0 (instanceRef blk00000774))
+ (portRef A0 (instanceRef blk00000775))
+ (portRef A0 (instanceRef blk00000776))
+ (portRef A0 (instanceRef blk00000777))
+ (portRef A0 (instanceRef blk00000778))
+ (portRef A0 (instanceRef blk00000779))
+ (portRef A0 (instanceRef blk0000077a))
+ (portRef A0 (instanceRef blk0000077b))
+ (portRef A0 (instanceRef blk0000077c))
+ (portRef A0 (instanceRef blk0000077d))
+ (portRef A0 (instanceRef blk0000077e))
+ (portRef A0 (instanceRef blk0000077f))
+ (portRef A0 (instanceRef blk00000780))
+ (portRef A0 (instanceRef blk00000781))
+ )
+ )
+ (net sig000015a9
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000781))
+ )
+ )
+ (net sig000015aa
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000780))
+ )
+ )
+ (net sig000015ab
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000077f))
+ )
+ )
+ (net sig000015ac
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk0000077e))
+ )
+ )
+ (net sig000015ad
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk0000077d))
+ )
+ )
+ (net sig000015ae
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk0000077c))
+ )
+ )
+ (net sig000015af
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000779))
+ )
+ )
+ (net sig000015b0
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk0000077b))
+ )
+ )
+ (net sig000015b1
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk0000077a))
+ )
+ )
+ (net sig000015b2
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000778))
+ )
+ )
+ (net sig000015b3
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000777))
+ )
+ )
+ (net sig000015b4
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000776))
+ )
+ )
+ (net sig000015b5
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk00000775))
+ )
+ )
+ (net sig000015b6
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000774))
+ )
+ )
+ (net sig000015b7
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000773))
+ )
+ )
+ (net sig000015b8
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk00000770))
+ )
+ )
+ (net sig000015b9
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000772))
+ )
+ )
+ (net sig000015ba
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk00000771))
+ )
+ )
+ (net sig000015bb
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA0 (instanceRef blk00000770))
+ (portRef DPRA0 (instanceRef blk00000771))
+ (portRef DPRA0 (instanceRef blk00000772))
+ (portRef DPRA0 (instanceRef blk00000773))
+ (portRef DPRA0 (instanceRef blk00000774))
+ (portRef DPRA0 (instanceRef blk00000775))
+ (portRef DPRA0 (instanceRef blk00000776))
+ (portRef DPRA0 (instanceRef blk00000777))
+ (portRef DPRA0 (instanceRef blk00000778))
+ (portRef DPRA0 (instanceRef blk00000779))
+ (portRef DPRA0 (instanceRef blk0000077a))
+ (portRef DPRA0 (instanceRef blk0000077b))
+ (portRef DPRA0 (instanceRef blk0000077c))
+ (portRef DPRA0 (instanceRef blk0000077d))
+ (portRef DPRA0 (instanceRef blk0000077e))
+ (portRef DPRA0 (instanceRef blk0000077f))
+ (portRef DPRA0 (instanceRef blk00000780))
+ (portRef DPRA0 (instanceRef blk00000781))
+ )
+ )
+ (net sig000015bc
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000782))
+ )
+ )
+ (net sig000015bd
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000075e))
+ (portRef CE (instanceRef blk0000075f))
+ (portRef CE (instanceRef blk00000760))
+ (portRef CE (instanceRef blk00000761))
+ (portRef CE (instanceRef blk00000762))
+ (portRef CE (instanceRef blk00000763))
+ (portRef CE (instanceRef blk00000764))
+ (portRef CE (instanceRef blk00000765))
+ (portRef CE (instanceRef blk00000766))
+ (portRef CE (instanceRef blk00000767))
+ (portRef CE (instanceRef blk00000768))
+ (portRef CE (instanceRef blk00000769))
+ (portRef CE (instanceRef blk0000076a))
+ (portRef CE (instanceRef blk0000076b))
+ (portRef CE (instanceRef blk0000076c))
+ (portRef CE (instanceRef blk0000076d))
+ (portRef CE (instanceRef blk0000076e))
+ (portRef CE (instanceRef blk0000076f))
+ (portRef I0 (instanceRef blk00000782))
+ )
+ )
+ (net sig000015be
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000075e))
+ (portRef C (instanceRef blk0000075f))
+ (portRef C (instanceRef blk00000760))
+ (portRef C (instanceRef blk00000761))
+ (portRef C (instanceRef blk00000762))
+ (portRef C (instanceRef blk00000763))
+ (portRef C (instanceRef blk00000764))
+ (portRef C (instanceRef blk00000765))
+ (portRef C (instanceRef blk00000766))
+ (portRef C (instanceRef blk00000767))
+ (portRef C (instanceRef blk00000768))
+ (portRef C (instanceRef blk00000769))
+ (portRef C (instanceRef blk0000076a))
+ (portRef C (instanceRef blk0000076b))
+ (portRef C (instanceRef blk0000076c))
+ (portRef C (instanceRef blk0000076d))
+ (portRef C (instanceRef blk0000076e))
+ (portRef C (instanceRef blk0000076f))
+ (portRef WCLK (instanceRef blk00000770))
+ (portRef WCLK (instanceRef blk00000771))
+ (portRef WCLK (instanceRef blk00000772))
+ (portRef WCLK (instanceRef blk00000773))
+ (portRef WCLK (instanceRef blk00000774))
+ (portRef WCLK (instanceRef blk00000775))
+ (portRef WCLK (instanceRef blk00000776))
+ (portRef WCLK (instanceRef blk00000777))
+ (portRef WCLK (instanceRef blk00000778))
+ (portRef WCLK (instanceRef blk00000779))
+ (portRef WCLK (instanceRef blk0000077a))
+ (portRef WCLK (instanceRef blk0000077b))
+ (portRef WCLK (instanceRef blk0000077c))
+ (portRef WCLK (instanceRef blk0000077d))
+ (portRef WCLK (instanceRef blk0000077e))
+ (portRef WCLK (instanceRef blk0000077f))
+ (portRef WCLK (instanceRef blk00000780))
+ (portRef WCLK (instanceRef blk00000781))
+ )
+ )
+ (net sig000015bf
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk0000076f))
+ )
+ )
+ (net sig000015c0
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000076e))
+ )
+ )
+ (net sig000015c1
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000076d))
+ )
+ )
+ (net sig000015c2
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk0000076c))
+ )
+ )
+ (net sig000015c3
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk0000076b))
+ )
+ )
+ (net sig000015c4
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk0000076a))
+ )
+ )
+ (net sig000015c5
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000769))
+ )
+ )
+ (net sig000015c6
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000768))
+ )
+ )
+ (net sig000015c7
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000767))
+ )
+ )
+ (net sig000015c8
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000766))
+ )
+ )
+ (net sig000015c9
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000765))
+ )
+ )
+ (net sig000015ca
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000764))
+ )
+ )
+ (net sig000015cb
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000763))
+ )
+ )
+ (net sig000015cc
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000762))
+ )
+ )
+ (net sig000015cd
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk00000761))
+ )
+ )
+ (net sig000015ce
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000760))
+ )
+ )
+ (net sig000015cf
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk0000075f))
+ )
+ )
+ (net sig000015d0
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000075e))
+ )
+ )
+ (net sig000015d1
+ (joined
+ (portRef G (instanceRef blk0000075d))
+ (portRef A1 (instanceRef blk00000770))
+ (portRef A2 (instanceRef blk00000770))
+ (portRef A3 (instanceRef blk00000770))
+ (portRef A4 (instanceRef blk00000770))
+ (portRef DPRA1 (instanceRef blk00000770))
+ (portRef DPRA2 (instanceRef blk00000770))
+ (portRef DPRA3 (instanceRef blk00000770))
+ (portRef DPRA4 (instanceRef blk00000770))
+ (portRef A1 (instanceRef blk00000771))
+ (portRef A2 (instanceRef blk00000771))
+ (portRef A3 (instanceRef blk00000771))
+ (portRef A4 (instanceRef blk00000771))
+ (portRef DPRA1 (instanceRef blk00000771))
+ (portRef DPRA2 (instanceRef blk00000771))
+ (portRef DPRA3 (instanceRef blk00000771))
+ (portRef DPRA4 (instanceRef blk00000771))
+ (portRef A1 (instanceRef blk00000772))
+ (portRef A2 (instanceRef blk00000772))
+ (portRef A3 (instanceRef blk00000772))
+ (portRef A4 (instanceRef blk00000772))
+ (portRef DPRA1 (instanceRef blk00000772))
+ (portRef DPRA2 (instanceRef blk00000772))
+ (portRef DPRA3 (instanceRef blk00000772))
+ (portRef DPRA4 (instanceRef blk00000772))
+ (portRef A1 (instanceRef blk00000773))
+ (portRef A2 (instanceRef blk00000773))
+ (portRef A3 (instanceRef blk00000773))
+ (portRef A4 (instanceRef blk00000773))
+ (portRef DPRA1 (instanceRef blk00000773))
+ (portRef DPRA2 (instanceRef blk00000773))
+ (portRef DPRA3 (instanceRef blk00000773))
+ (portRef DPRA4 (instanceRef blk00000773))
+ (portRef A1 (instanceRef blk00000774))
+ (portRef A2 (instanceRef blk00000774))
+ (portRef A3 (instanceRef blk00000774))
+ (portRef A4 (instanceRef blk00000774))
+ (portRef DPRA1 (instanceRef blk00000774))
+ (portRef DPRA2 (instanceRef blk00000774))
+ (portRef DPRA3 (instanceRef blk00000774))
+ (portRef DPRA4 (instanceRef blk00000774))
+ (portRef A1 (instanceRef blk00000775))
+ (portRef A2 (instanceRef blk00000775))
+ (portRef A3 (instanceRef blk00000775))
+ (portRef A4 (instanceRef blk00000775))
+ (portRef DPRA1 (instanceRef blk00000775))
+ (portRef DPRA2 (instanceRef blk00000775))
+ (portRef DPRA3 (instanceRef blk00000775))
+ (portRef DPRA4 (instanceRef blk00000775))
+ (portRef A1 (instanceRef blk00000776))
+ (portRef A2 (instanceRef blk00000776))
+ (portRef A3 (instanceRef blk00000776))
+ (portRef A4 (instanceRef blk00000776))
+ (portRef DPRA1 (instanceRef blk00000776))
+ (portRef DPRA2 (instanceRef blk00000776))
+ (portRef DPRA3 (instanceRef blk00000776))
+ (portRef DPRA4 (instanceRef blk00000776))
+ (portRef A1 (instanceRef blk00000777))
+ (portRef A2 (instanceRef blk00000777))
+ (portRef A3 (instanceRef blk00000777))
+ (portRef A4 (instanceRef blk00000777))
+ (portRef DPRA1 (instanceRef blk00000777))
+ (portRef DPRA2 (instanceRef blk00000777))
+ (portRef DPRA3 (instanceRef blk00000777))
+ (portRef DPRA4 (instanceRef blk00000777))
+ (portRef A1 (instanceRef blk00000778))
+ (portRef A2 (instanceRef blk00000778))
+ (portRef A3 (instanceRef blk00000778))
+ (portRef A4 (instanceRef blk00000778))
+ (portRef DPRA1 (instanceRef blk00000778))
+ (portRef DPRA2 (instanceRef blk00000778))
+ (portRef DPRA3 (instanceRef blk00000778))
+ (portRef DPRA4 (instanceRef blk00000778))
+ (portRef A1 (instanceRef blk00000779))
+ (portRef A2 (instanceRef blk00000779))
+ (portRef A3 (instanceRef blk00000779))
+ (portRef A4 (instanceRef blk00000779))
+ (portRef DPRA1 (instanceRef blk00000779))
+ (portRef DPRA2 (instanceRef blk00000779))
+ (portRef DPRA3 (instanceRef blk00000779))
+ (portRef DPRA4 (instanceRef blk00000779))
+ (portRef A1 (instanceRef blk0000077a))
+ (portRef A2 (instanceRef blk0000077a))
+ (portRef A3 (instanceRef blk0000077a))
+ (portRef A4 (instanceRef blk0000077a))
+ (portRef DPRA1 (instanceRef blk0000077a))
+ (portRef DPRA2 (instanceRef blk0000077a))
+ (portRef DPRA3 (instanceRef blk0000077a))
+ (portRef DPRA4 (instanceRef blk0000077a))
+ (portRef A1 (instanceRef blk0000077b))
+ (portRef A2 (instanceRef blk0000077b))
+ (portRef A3 (instanceRef blk0000077b))
+ (portRef A4 (instanceRef blk0000077b))
+ (portRef DPRA1 (instanceRef blk0000077b))
+ (portRef DPRA2 (instanceRef blk0000077b))
+ (portRef DPRA3 (instanceRef blk0000077b))
+ (portRef DPRA4 (instanceRef blk0000077b))
+ (portRef A1 (instanceRef blk0000077c))
+ (portRef A2 (instanceRef blk0000077c))
+ (portRef A3 (instanceRef blk0000077c))
+ (portRef A4 (instanceRef blk0000077c))
+ (portRef DPRA1 (instanceRef blk0000077c))
+ (portRef DPRA2 (instanceRef blk0000077c))
+ (portRef DPRA3 (instanceRef blk0000077c))
+ (portRef DPRA4 (instanceRef blk0000077c))
+ (portRef A1 (instanceRef blk0000077d))
+ (portRef A2 (instanceRef blk0000077d))
+ (portRef A3 (instanceRef blk0000077d))
+ (portRef A4 (instanceRef blk0000077d))
+ (portRef DPRA1 (instanceRef blk0000077d))
+ (portRef DPRA2 (instanceRef blk0000077d))
+ (portRef DPRA3 (instanceRef blk0000077d))
+ (portRef DPRA4 (instanceRef blk0000077d))
+ (portRef A1 (instanceRef blk0000077e))
+ (portRef A2 (instanceRef blk0000077e))
+ (portRef A3 (instanceRef blk0000077e))
+ (portRef A4 (instanceRef blk0000077e))
+ (portRef DPRA1 (instanceRef blk0000077e))
+ (portRef DPRA2 (instanceRef blk0000077e))
+ (portRef DPRA3 (instanceRef blk0000077e))
+ (portRef DPRA4 (instanceRef blk0000077e))
+ (portRef A1 (instanceRef blk0000077f))
+ (portRef A2 (instanceRef blk0000077f))
+ (portRef A3 (instanceRef blk0000077f))
+ (portRef A4 (instanceRef blk0000077f))
+ (portRef DPRA1 (instanceRef blk0000077f))
+ (portRef DPRA2 (instanceRef blk0000077f))
+ (portRef DPRA3 (instanceRef blk0000077f))
+ (portRef DPRA4 (instanceRef blk0000077f))
+ (portRef A1 (instanceRef blk00000780))
+ (portRef A2 (instanceRef blk00000780))
+ (portRef A3 (instanceRef blk00000780))
+ (portRef A4 (instanceRef blk00000780))
+ (portRef DPRA1 (instanceRef blk00000780))
+ (portRef DPRA2 (instanceRef blk00000780))
+ (portRef DPRA3 (instanceRef blk00000780))
+ (portRef DPRA4 (instanceRef blk00000780))
+ (portRef A1 (instanceRef blk00000781))
+ (portRef A2 (instanceRef blk00000781))
+ (portRef A3 (instanceRef blk00000781))
+ (portRef A4 (instanceRef blk00000781))
+ (portRef DPRA1 (instanceRef blk00000781))
+ (portRef DPRA2 (instanceRef blk00000781))
+ (portRef DPRA3 (instanceRef blk00000781))
+ (portRef DPRA4 (instanceRef blk00000781))
+ )
+ )
+ (net sig000015d2
+ (joined
+ (portRef D (instanceRef blk0000075e))
+ (portRef DPO (instanceRef blk00000771))
+ )
+ )
+ (net sig000015d3
+ (joined
+ (portRef D (instanceRef blk0000075f))
+ (portRef DPO (instanceRef blk00000772))
+ )
+ )
+ (net sig000015d4
+ (joined
+ (portRef D (instanceRef blk00000760))
+ (portRef DPO (instanceRef blk00000770))
+ )
+ )
+ (net sig000015d5
+ (joined
+ (portRef D (instanceRef blk00000761))
+ (portRef DPO (instanceRef blk00000773))
+ )
+ )
+ (net sig000015d6
+ (joined
+ (portRef D (instanceRef blk00000762))
+ (portRef DPO (instanceRef blk00000774))
+ )
+ )
+ (net sig000015d7
+ (joined
+ (portRef D (instanceRef blk00000763))
+ (portRef DPO (instanceRef blk00000775))
+ )
+ )
+ (net sig000015d8
+ (joined
+ (portRef D (instanceRef blk00000764))
+ (portRef DPO (instanceRef blk00000776))
+ )
+ )
+ (net sig000015d9
+ (joined
+ (portRef D (instanceRef blk00000765))
+ (portRef DPO (instanceRef blk00000777))
+ )
+ )
+ (net sig000015da
+ (joined
+ (portRef D (instanceRef blk00000766))
+ (portRef DPO (instanceRef blk00000778))
+ )
+ )
+ (net sig000015db
+ (joined
+ (portRef D (instanceRef blk00000767))
+ (portRef DPO (instanceRef blk0000077a))
+ )
+ )
+ (net sig000015dc
+ (joined
+ (portRef D (instanceRef blk00000768))
+ (portRef DPO (instanceRef blk0000077b))
+ )
+ )
+ (net sig000015dd
+ (joined
+ (portRef D (instanceRef blk00000769))
+ (portRef DPO (instanceRef blk00000779))
+ )
+ )
+ (net sig000015de
+ (joined
+ (portRef D (instanceRef blk0000076a))
+ (portRef DPO (instanceRef blk0000077c))
+ )
+ )
+ (net sig000015df
+ (joined
+ (portRef D (instanceRef blk0000076b))
+ (portRef DPO (instanceRef blk0000077d))
+ )
+ )
+ (net sig000015e0
+ (joined
+ (portRef D (instanceRef blk0000076c))
+ (portRef DPO (instanceRef blk0000077e))
+ )
+ )
+ (net sig000015e1
+ (joined
+ (portRef D (instanceRef blk0000076d))
+ (portRef DPO (instanceRef blk0000077f))
+ )
+ )
+ (net sig000015e2
+ (joined
+ (portRef D (instanceRef blk0000076e))
+ (portRef DPO (instanceRef blk00000780))
+ )
+ )
+ (net sig000015e3
+ (joined
+ (portRef D (instanceRef blk0000076f))
+ (portRef DPO (instanceRef blk00000781))
+ )
+ )
+ (net sig000015e4
+ (joined
+ (portRef WE (instanceRef blk00000770))
+ (portRef WE (instanceRef blk00000771))
+ (portRef WE (instanceRef blk00000772))
+ (portRef WE (instanceRef blk00000773))
+ (portRef WE (instanceRef blk00000774))
+ (portRef WE (instanceRef blk00000775))
+ (portRef WE (instanceRef blk00000776))
+ (portRef WE (instanceRef blk00000777))
+ (portRef WE (instanceRef blk00000778))
+ (portRef WE (instanceRef blk00000779))
+ (portRef WE (instanceRef blk0000077a))
+ (portRef WE (instanceRef blk0000077b))
+ (portRef WE (instanceRef blk0000077c))
+ (portRef WE (instanceRef blk0000077d))
+ (portRef WE (instanceRef blk0000077e))
+ (portRef WE (instanceRef blk0000077f))
+ (portRef WE (instanceRef blk00000780))
+ (portRef WE (instanceRef blk00000781))
+ (portRef O (instanceRef blk00000782))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_7_blk00000705 "dpr_ram_7")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000706
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000707
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000708
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000709
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000710
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000711
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000712
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000713
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000714
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000715
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000716
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000717
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000718
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000719
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000071a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk0000071b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000071c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000071d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000071e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000071f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000720
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000721
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000722
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000723
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000724
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000725
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000726
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000727
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000728
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000729
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk0000072a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000072b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001569
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk00000719))
+ (portRef A1 (instanceRef blk0000071a))
+ (portRef A1 (instanceRef blk0000071b))
+ (portRef A1 (instanceRef blk0000071c))
+ (portRef A1 (instanceRef blk0000071d))
+ (portRef A1 (instanceRef blk0000071e))
+ (portRef A1 (instanceRef blk0000071f))
+ (portRef A1 (instanceRef blk00000720))
+ (portRef A1 (instanceRef blk00000721))
+ (portRef A1 (instanceRef blk00000722))
+ (portRef A1 (instanceRef blk00000723))
+ (portRef A1 (instanceRef blk00000724))
+ (portRef A1 (instanceRef blk00000725))
+ (portRef A1 (instanceRef blk00000726))
+ (portRef A1 (instanceRef blk00000727))
+ (portRef A1 (instanceRef blk00000728))
+ (portRef A1 (instanceRef blk00000729))
+ (portRef A1 (instanceRef blk0000072a))
+ )
+ )
+ (net sig0000156a
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk00000719))
+ (portRef A0 (instanceRef blk0000071a))
+ (portRef A0 (instanceRef blk0000071b))
+ (portRef A0 (instanceRef blk0000071c))
+ (portRef A0 (instanceRef blk0000071d))
+ (portRef A0 (instanceRef blk0000071e))
+ (portRef A0 (instanceRef blk0000071f))
+ (portRef A0 (instanceRef blk00000720))
+ (portRef A0 (instanceRef blk00000721))
+ (portRef A0 (instanceRef blk00000722))
+ (portRef A0 (instanceRef blk00000723))
+ (portRef A0 (instanceRef blk00000724))
+ (portRef A0 (instanceRef blk00000725))
+ (portRef A0 (instanceRef blk00000726))
+ (portRef A0 (instanceRef blk00000727))
+ (portRef A0 (instanceRef blk00000728))
+ (portRef A0 (instanceRef blk00000729))
+ (portRef A0 (instanceRef blk0000072a))
+ )
+ )
+ (net sig0000156b
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk0000072a))
+ )
+ )
+ (net sig0000156c
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000729))
+ )
+ )
+ (net sig0000156d
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk00000728))
+ )
+ )
+ (net sig0000156e
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000727))
+ )
+ )
+ (net sig0000156f
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk00000726))
+ )
+ )
+ (net sig00001570
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk00000725))
+ )
+ )
+ (net sig00001571
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000722))
+ )
+ )
+ (net sig00001572
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000724))
+ )
+ )
+ (net sig00001573
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000723))
+ )
+ )
+ (net sig00001574
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000721))
+ )
+ )
+ (net sig00001575
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000720))
+ )
+ )
+ (net sig00001576
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk0000071f))
+ )
+ )
+ (net sig00001577
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk0000071e))
+ )
+ )
+ (net sig00001578
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk0000071d))
+ )
+ )
+ (net sig00001579
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk0000071c))
+ )
+ )
+ (net sig0000157a
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk00000719))
+ )
+ )
+ (net sig0000157b
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk0000071b))
+ )
+ )
+ (net sig0000157c
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk0000071a))
+ )
+ )
+ (net sig0000157d
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk00000719))
+ (portRef DPRA1 (instanceRef blk0000071a))
+ (portRef DPRA1 (instanceRef blk0000071b))
+ (portRef DPRA1 (instanceRef blk0000071c))
+ (portRef DPRA1 (instanceRef blk0000071d))
+ (portRef DPRA1 (instanceRef blk0000071e))
+ (portRef DPRA1 (instanceRef blk0000071f))
+ (portRef DPRA1 (instanceRef blk00000720))
+ (portRef DPRA1 (instanceRef blk00000721))
+ (portRef DPRA1 (instanceRef blk00000722))
+ (portRef DPRA1 (instanceRef blk00000723))
+ (portRef DPRA1 (instanceRef blk00000724))
+ (portRef DPRA1 (instanceRef blk00000725))
+ (portRef DPRA1 (instanceRef blk00000726))
+ (portRef DPRA1 (instanceRef blk00000727))
+ (portRef DPRA1 (instanceRef blk00000728))
+ (portRef DPRA1 (instanceRef blk00000729))
+ (portRef DPRA1 (instanceRef blk0000072a))
+ )
+ )
+ (net sig0000157e
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk00000719))
+ (portRef DPRA0 (instanceRef blk0000071a))
+ (portRef DPRA0 (instanceRef blk0000071b))
+ (portRef DPRA0 (instanceRef blk0000071c))
+ (portRef DPRA0 (instanceRef blk0000071d))
+ (portRef DPRA0 (instanceRef blk0000071e))
+ (portRef DPRA0 (instanceRef blk0000071f))
+ (portRef DPRA0 (instanceRef blk00000720))
+ (portRef DPRA0 (instanceRef blk00000721))
+ (portRef DPRA0 (instanceRef blk00000722))
+ (portRef DPRA0 (instanceRef blk00000723))
+ (portRef DPRA0 (instanceRef blk00000724))
+ (portRef DPRA0 (instanceRef blk00000725))
+ (portRef DPRA0 (instanceRef blk00000726))
+ (portRef DPRA0 (instanceRef blk00000727))
+ (portRef DPRA0 (instanceRef blk00000728))
+ (portRef DPRA0 (instanceRef blk00000729))
+ (portRef DPRA0 (instanceRef blk0000072a))
+ )
+ )
+ (net sig0000157f
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk0000072b))
+ )
+ )
+ (net sig00001580
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000707))
+ (portRef CE (instanceRef blk00000708))
+ (portRef CE (instanceRef blk00000709))
+ (portRef CE (instanceRef blk0000070a))
+ (portRef CE (instanceRef blk0000070b))
+ (portRef CE (instanceRef blk0000070c))
+ (portRef CE (instanceRef blk0000070d))
+ (portRef CE (instanceRef blk0000070e))
+ (portRef CE (instanceRef blk0000070f))
+ (portRef CE (instanceRef blk00000710))
+ (portRef CE (instanceRef blk00000711))
+ (portRef CE (instanceRef blk00000712))
+ (portRef CE (instanceRef blk00000713))
+ (portRef CE (instanceRef blk00000714))
+ (portRef CE (instanceRef blk00000715))
+ (portRef CE (instanceRef blk00000716))
+ (portRef CE (instanceRef blk00000717))
+ (portRef CE (instanceRef blk00000718))
+ (portRef I0 (instanceRef blk0000072b))
+ )
+ )
+ (net sig00001581
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000707))
+ (portRef C (instanceRef blk00000708))
+ (portRef C (instanceRef blk00000709))
+ (portRef C (instanceRef blk0000070a))
+ (portRef C (instanceRef blk0000070b))
+ (portRef C (instanceRef blk0000070c))
+ (portRef C (instanceRef blk0000070d))
+ (portRef C (instanceRef blk0000070e))
+ (portRef C (instanceRef blk0000070f))
+ (portRef C (instanceRef blk00000710))
+ (portRef C (instanceRef blk00000711))
+ (portRef C (instanceRef blk00000712))
+ (portRef C (instanceRef blk00000713))
+ (portRef C (instanceRef blk00000714))
+ (portRef C (instanceRef blk00000715))
+ (portRef C (instanceRef blk00000716))
+ (portRef C (instanceRef blk00000717))
+ (portRef C (instanceRef blk00000718))
+ (portRef WCLK (instanceRef blk00000719))
+ (portRef WCLK (instanceRef blk0000071a))
+ (portRef WCLK (instanceRef blk0000071b))
+ (portRef WCLK (instanceRef blk0000071c))
+ (portRef WCLK (instanceRef blk0000071d))
+ (portRef WCLK (instanceRef blk0000071e))
+ (portRef WCLK (instanceRef blk0000071f))
+ (portRef WCLK (instanceRef blk00000720))
+ (portRef WCLK (instanceRef blk00000721))
+ (portRef WCLK (instanceRef blk00000722))
+ (portRef WCLK (instanceRef blk00000723))
+ (portRef WCLK (instanceRef blk00000724))
+ (portRef WCLK (instanceRef blk00000725))
+ (portRef WCLK (instanceRef blk00000726))
+ (portRef WCLK (instanceRef blk00000727))
+ (portRef WCLK (instanceRef blk00000728))
+ (portRef WCLK (instanceRef blk00000729))
+ (portRef WCLK (instanceRef blk0000072a))
+ )
+ )
+ (net sig00001582
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk00000718))
+ )
+ )
+ (net sig00001583
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk00000717))
+ )
+ )
+ (net sig00001584
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk00000716))
+ )
+ )
+ (net sig00001585
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk00000715))
+ )
+ )
+ (net sig00001586
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000714))
+ )
+ )
+ (net sig00001587
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk00000713))
+ )
+ )
+ (net sig00001588
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000712))
+ )
+ )
+ (net sig00001589
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000711))
+ )
+ )
+ (net sig0000158a
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000710))
+ )
+ )
+ (net sig0000158b
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk0000070f))
+ )
+ )
+ (net sig0000158c
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk0000070e))
+ )
+ )
+ (net sig0000158d
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk0000070d))
+ )
+ )
+ (net sig0000158e
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk0000070c))
+ )
+ )
+ (net sig0000158f
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk0000070b))
+ )
+ )
+ (net sig00001590
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk0000070a))
+ )
+ )
+ (net sig00001591
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000709))
+ )
+ )
+ (net sig00001592
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk00000708))
+ )
+ )
+ (net sig00001593
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk00000707))
+ )
+ )
+ (net sig00001594
+ (joined
+ (portRef G (instanceRef blk00000706))
+ (portRef A2 (instanceRef blk00000719))
+ (portRef A3 (instanceRef blk00000719))
+ (portRef A4 (instanceRef blk00000719))
+ (portRef DPRA2 (instanceRef blk00000719))
+ (portRef DPRA3 (instanceRef blk00000719))
+ (portRef DPRA4 (instanceRef blk00000719))
+ (portRef A2 (instanceRef blk0000071a))
+ (portRef A3 (instanceRef blk0000071a))
+ (portRef A4 (instanceRef blk0000071a))
+ (portRef DPRA2 (instanceRef blk0000071a))
+ (portRef DPRA3 (instanceRef blk0000071a))
+ (portRef DPRA4 (instanceRef blk0000071a))
+ (portRef A2 (instanceRef blk0000071b))
+ (portRef A3 (instanceRef blk0000071b))
+ (portRef A4 (instanceRef blk0000071b))
+ (portRef DPRA2 (instanceRef blk0000071b))
+ (portRef DPRA3 (instanceRef blk0000071b))
+ (portRef DPRA4 (instanceRef blk0000071b))
+ (portRef A2 (instanceRef blk0000071c))
+ (portRef A3 (instanceRef blk0000071c))
+ (portRef A4 (instanceRef blk0000071c))
+ (portRef DPRA2 (instanceRef blk0000071c))
+ (portRef DPRA3 (instanceRef blk0000071c))
+ (portRef DPRA4 (instanceRef blk0000071c))
+ (portRef A2 (instanceRef blk0000071d))
+ (portRef A3 (instanceRef blk0000071d))
+ (portRef A4 (instanceRef blk0000071d))
+ (portRef DPRA2 (instanceRef blk0000071d))
+ (portRef DPRA3 (instanceRef blk0000071d))
+ (portRef DPRA4 (instanceRef blk0000071d))
+ (portRef A2 (instanceRef blk0000071e))
+ (portRef A3 (instanceRef blk0000071e))
+ (portRef A4 (instanceRef blk0000071e))
+ (portRef DPRA2 (instanceRef blk0000071e))
+ (portRef DPRA3 (instanceRef blk0000071e))
+ (portRef DPRA4 (instanceRef blk0000071e))
+ (portRef A2 (instanceRef blk0000071f))
+ (portRef A3 (instanceRef blk0000071f))
+ (portRef A4 (instanceRef blk0000071f))
+ (portRef DPRA2 (instanceRef blk0000071f))
+ (portRef DPRA3 (instanceRef blk0000071f))
+ (portRef DPRA4 (instanceRef blk0000071f))
+ (portRef A2 (instanceRef blk00000720))
+ (portRef A3 (instanceRef blk00000720))
+ (portRef A4 (instanceRef blk00000720))
+ (portRef DPRA2 (instanceRef blk00000720))
+ (portRef DPRA3 (instanceRef blk00000720))
+ (portRef DPRA4 (instanceRef blk00000720))
+ (portRef A2 (instanceRef blk00000721))
+ (portRef A3 (instanceRef blk00000721))
+ (portRef A4 (instanceRef blk00000721))
+ (portRef DPRA2 (instanceRef blk00000721))
+ (portRef DPRA3 (instanceRef blk00000721))
+ (portRef DPRA4 (instanceRef blk00000721))
+ (portRef A2 (instanceRef blk00000722))
+ (portRef A3 (instanceRef blk00000722))
+ (portRef A4 (instanceRef blk00000722))
+ (portRef DPRA2 (instanceRef blk00000722))
+ (portRef DPRA3 (instanceRef blk00000722))
+ (portRef DPRA4 (instanceRef blk00000722))
+ (portRef A2 (instanceRef blk00000723))
+ (portRef A3 (instanceRef blk00000723))
+ (portRef A4 (instanceRef blk00000723))
+ (portRef DPRA2 (instanceRef blk00000723))
+ (portRef DPRA3 (instanceRef blk00000723))
+ (portRef DPRA4 (instanceRef blk00000723))
+ (portRef A2 (instanceRef blk00000724))
+ (portRef A3 (instanceRef blk00000724))
+ (portRef A4 (instanceRef blk00000724))
+ (portRef DPRA2 (instanceRef blk00000724))
+ (portRef DPRA3 (instanceRef blk00000724))
+ (portRef DPRA4 (instanceRef blk00000724))
+ (portRef A2 (instanceRef blk00000725))
+ (portRef A3 (instanceRef blk00000725))
+ (portRef A4 (instanceRef blk00000725))
+ (portRef DPRA2 (instanceRef blk00000725))
+ (portRef DPRA3 (instanceRef blk00000725))
+ (portRef DPRA4 (instanceRef blk00000725))
+ (portRef A2 (instanceRef blk00000726))
+ (portRef A3 (instanceRef blk00000726))
+ (portRef A4 (instanceRef blk00000726))
+ (portRef DPRA2 (instanceRef blk00000726))
+ (portRef DPRA3 (instanceRef blk00000726))
+ (portRef DPRA4 (instanceRef blk00000726))
+ (portRef A2 (instanceRef blk00000727))
+ (portRef A3 (instanceRef blk00000727))
+ (portRef A4 (instanceRef blk00000727))
+ (portRef DPRA2 (instanceRef blk00000727))
+ (portRef DPRA3 (instanceRef blk00000727))
+ (portRef DPRA4 (instanceRef blk00000727))
+ (portRef A2 (instanceRef blk00000728))
+ (portRef A3 (instanceRef blk00000728))
+ (portRef A4 (instanceRef blk00000728))
+ (portRef DPRA2 (instanceRef blk00000728))
+ (portRef DPRA3 (instanceRef blk00000728))
+ (portRef DPRA4 (instanceRef blk00000728))
+ (portRef A2 (instanceRef blk00000729))
+ (portRef A3 (instanceRef blk00000729))
+ (portRef A4 (instanceRef blk00000729))
+ (portRef DPRA2 (instanceRef blk00000729))
+ (portRef DPRA3 (instanceRef blk00000729))
+ (portRef DPRA4 (instanceRef blk00000729))
+ (portRef A2 (instanceRef blk0000072a))
+ (portRef A3 (instanceRef blk0000072a))
+ (portRef A4 (instanceRef blk0000072a))
+ (portRef DPRA2 (instanceRef blk0000072a))
+ (portRef DPRA3 (instanceRef blk0000072a))
+ (portRef DPRA4 (instanceRef blk0000072a))
+ )
+ )
+ (net sig00001595
+ (joined
+ (portRef D (instanceRef blk00000707))
+ (portRef DPO (instanceRef blk0000071a))
+ )
+ )
+ (net sig00001596
+ (joined
+ (portRef D (instanceRef blk00000708))
+ (portRef DPO (instanceRef blk0000071b))
+ )
+ )
+ (net sig00001597
+ (joined
+ (portRef D (instanceRef blk00000709))
+ (portRef DPO (instanceRef blk00000719))
+ )
+ )
+ (net sig00001598
+ (joined
+ (portRef D (instanceRef blk0000070a))
+ (portRef DPO (instanceRef blk0000071c))
+ )
+ )
+ (net sig00001599
+ (joined
+ (portRef D (instanceRef blk0000070b))
+ (portRef DPO (instanceRef blk0000071d))
+ )
+ )
+ (net sig0000159a
+ (joined
+ (portRef D (instanceRef blk0000070c))
+ (portRef DPO (instanceRef blk0000071e))
+ )
+ )
+ (net sig0000159b
+ (joined
+ (portRef D (instanceRef blk0000070d))
+ (portRef DPO (instanceRef blk0000071f))
+ )
+ )
+ (net sig0000159c
+ (joined
+ (portRef D (instanceRef blk0000070e))
+ (portRef DPO (instanceRef blk00000720))
+ )
+ )
+ (net sig0000159d
+ (joined
+ (portRef D (instanceRef blk0000070f))
+ (portRef DPO (instanceRef blk00000721))
+ )
+ )
+ (net sig0000159e
+ (joined
+ (portRef D (instanceRef blk00000710))
+ (portRef DPO (instanceRef blk00000723))
+ )
+ )
+ (net sig0000159f
+ (joined
+ (portRef D (instanceRef blk00000711))
+ (portRef DPO (instanceRef blk00000724))
+ )
+ )
+ (net sig000015a0
+ (joined
+ (portRef D (instanceRef blk00000712))
+ (portRef DPO (instanceRef blk00000722))
+ )
+ )
+ (net sig000015a1
+ (joined
+ (portRef D (instanceRef blk00000713))
+ (portRef DPO (instanceRef blk00000725))
+ )
+ )
+ (net sig000015a2
+ (joined
+ (portRef D (instanceRef blk00000714))
+ (portRef DPO (instanceRef blk00000726))
+ )
+ )
+ (net sig000015a3
+ (joined
+ (portRef D (instanceRef blk00000715))
+ (portRef DPO (instanceRef blk00000727))
+ )
+ )
+ (net sig000015a4
+ (joined
+ (portRef D (instanceRef blk00000716))
+ (portRef DPO (instanceRef blk00000728))
+ )
+ )
+ (net sig000015a5
+ (joined
+ (portRef D (instanceRef blk00000717))
+ (portRef DPO (instanceRef blk00000729))
+ )
+ )
+ (net sig000015a6
+ (joined
+ (portRef D (instanceRef blk00000718))
+ (portRef DPO (instanceRef blk0000072a))
+ )
+ )
+ (net sig000015a7
+ (joined
+ (portRef WE (instanceRef blk00000719))
+ (portRef WE (instanceRef blk0000071a))
+ (portRef WE (instanceRef blk0000071b))
+ (portRef WE (instanceRef blk0000071c))
+ (portRef WE (instanceRef blk0000071d))
+ (portRef WE (instanceRef blk0000071e))
+ (portRef WE (instanceRef blk0000071f))
+ (portRef WE (instanceRef blk00000720))
+ (portRef WE (instanceRef blk00000721))
+ (portRef WE (instanceRef blk00000722))
+ (portRef WE (instanceRef blk00000723))
+ (portRef WE (instanceRef blk00000724))
+ (portRef WE (instanceRef blk00000725))
+ (portRef WE (instanceRef blk00000726))
+ (portRef WE (instanceRef blk00000727))
+ (portRef WE (instanceRef blk00000728))
+ (portRef WE (instanceRef blk00000729))
+ (portRef WE (instanceRef blk0000072a))
+ (portRef O (instanceRef blk0000072b))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_6_blk000006cc "dpr_ram_6")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000006cd
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000006ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006da
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006db
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006dc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006dd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006de
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006df
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ea
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006f3
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006f4
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006f5
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000006f6
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006f7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006f8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006f9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006fa
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006fb
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000006fc
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006fd
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006fe
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000006ff
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000700
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000701
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000702
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000703
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000704
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001506
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk000006f2))
+ (portRef A1 (instanceRef blk000006f3))
+ (portRef A1 (instanceRef blk000006f4))
+ (portRef A1 (instanceRef blk000006f5))
+ (portRef A1 (instanceRef blk000006f6))
+ (portRef A1 (instanceRef blk000006f7))
+ (portRef A1 (instanceRef blk000006f8))
+ (portRef A1 (instanceRef blk000006f9))
+ (portRef A1 (instanceRef blk000006fa))
+ (portRef A1 (instanceRef blk000006fb))
+ (portRef A1 (instanceRef blk000006fc))
+ (portRef A1 (instanceRef blk000006fd))
+ (portRef A1 (instanceRef blk000006fe))
+ (portRef A1 (instanceRef blk000006ff))
+ (portRef A1 (instanceRef blk00000700))
+ (portRef A1 (instanceRef blk00000701))
+ (portRef A1 (instanceRef blk00000702))
+ (portRef A1 (instanceRef blk00000703))
+ )
+ )
+ (net sig00001507
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk000006f2))
+ (portRef A0 (instanceRef blk000006f3))
+ (portRef A0 (instanceRef blk000006f4))
+ (portRef A0 (instanceRef blk000006f5))
+ (portRef A0 (instanceRef blk000006f6))
+ (portRef A0 (instanceRef blk000006f7))
+ (portRef A0 (instanceRef blk000006f8))
+ (portRef A0 (instanceRef blk000006f9))
+ (portRef A0 (instanceRef blk000006fa))
+ (portRef A0 (instanceRef blk000006fb))
+ (portRef A0 (instanceRef blk000006fc))
+ (portRef A0 (instanceRef blk000006fd))
+ (portRef A0 (instanceRef blk000006fe))
+ (portRef A0 (instanceRef blk000006ff))
+ (portRef A0 (instanceRef blk00000700))
+ (portRef A0 (instanceRef blk00000701))
+ (portRef A0 (instanceRef blk00000702))
+ (portRef A0 (instanceRef blk00000703))
+ )
+ )
+ (net sig00001508
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000703))
+ )
+ )
+ (net sig00001509
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000702))
+ )
+ )
+ (net sig0000150a
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk00000701))
+ )
+ )
+ (net sig0000150b
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000700))
+ )
+ )
+ (net sig0000150c
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk000006ff))
+ )
+ )
+ (net sig0000150d
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk000006fe))
+ )
+ )
+ (net sig0000150e
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk000006fb))
+ )
+ )
+ (net sig0000150f
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk000006fd))
+ )
+ )
+ (net sig00001510
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk000006fc))
+ )
+ )
+ (net sig00001511
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk000006fa))
+ )
+ )
+ (net sig00001512
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk000006f9))
+ )
+ )
+ (net sig00001513
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk000006f8))
+ )
+ )
+ (net sig00001514
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk000006f7))
+ )
+ )
+ (net sig00001515
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk000006f6))
+ )
+ )
+ (net sig00001516
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk000006f5))
+ )
+ )
+ (net sig00001517
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000006f2))
+ )
+ )
+ (net sig00001518
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk000006f4))
+ )
+ )
+ (net sig00001519
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000006f3))
+ )
+ )
+ (net sig0000151a
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk000006f2))
+ (portRef DPRA1 (instanceRef blk000006f3))
+ (portRef DPRA1 (instanceRef blk000006f4))
+ (portRef DPRA1 (instanceRef blk000006f5))
+ (portRef DPRA1 (instanceRef blk000006f6))
+ (portRef DPRA1 (instanceRef blk000006f7))
+ (portRef DPRA1 (instanceRef blk000006f8))
+ (portRef DPRA1 (instanceRef blk000006f9))
+ (portRef DPRA1 (instanceRef blk000006fa))
+ (portRef DPRA1 (instanceRef blk000006fb))
+ (portRef DPRA1 (instanceRef blk000006fc))
+ (portRef DPRA1 (instanceRef blk000006fd))
+ (portRef DPRA1 (instanceRef blk000006fe))
+ (portRef DPRA1 (instanceRef blk000006ff))
+ (portRef DPRA1 (instanceRef blk00000700))
+ (portRef DPRA1 (instanceRef blk00000701))
+ (portRef DPRA1 (instanceRef blk00000702))
+ (portRef DPRA1 (instanceRef blk00000703))
+ )
+ )
+ (net sig0000151b
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk000006f2))
+ (portRef DPRA0 (instanceRef blk000006f3))
+ (portRef DPRA0 (instanceRef blk000006f4))
+ (portRef DPRA0 (instanceRef blk000006f5))
+ (portRef DPRA0 (instanceRef blk000006f6))
+ (portRef DPRA0 (instanceRef blk000006f7))
+ (portRef DPRA0 (instanceRef blk000006f8))
+ (portRef DPRA0 (instanceRef blk000006f9))
+ (portRef DPRA0 (instanceRef blk000006fa))
+ (portRef DPRA0 (instanceRef blk000006fb))
+ (portRef DPRA0 (instanceRef blk000006fc))
+ (portRef DPRA0 (instanceRef blk000006fd))
+ (portRef DPRA0 (instanceRef blk000006fe))
+ (portRef DPRA0 (instanceRef blk000006ff))
+ (portRef DPRA0 (instanceRef blk00000700))
+ (portRef DPRA0 (instanceRef blk00000701))
+ (portRef DPRA0 (instanceRef blk00000702))
+ (portRef DPRA0 (instanceRef blk00000703))
+ )
+ )
+ (net sig0000151c
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000704))
+ )
+ )
+ (net sig0000151d
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000006ce))
+ (portRef CE (instanceRef blk000006cf))
+ (portRef CE (instanceRef blk000006d0))
+ (portRef CE (instanceRef blk000006d1))
+ (portRef CE (instanceRef blk000006d2))
+ (portRef CE (instanceRef blk000006d3))
+ (portRef CE (instanceRef blk000006d4))
+ (portRef CE (instanceRef blk000006d5))
+ (portRef CE (instanceRef blk000006d6))
+ (portRef CE (instanceRef blk000006d7))
+ (portRef CE (instanceRef blk000006d8))
+ (portRef CE (instanceRef blk000006d9))
+ (portRef CE (instanceRef blk000006da))
+ (portRef CE (instanceRef blk000006db))
+ (portRef CE (instanceRef blk000006dc))
+ (portRef CE (instanceRef blk000006dd))
+ (portRef CE (instanceRef blk000006de))
+ (portRef CE (instanceRef blk000006df))
+ (portRef CE (instanceRef blk000006e0))
+ (portRef CE (instanceRef blk000006e1))
+ (portRef CE (instanceRef blk000006e2))
+ (portRef CE (instanceRef blk000006e3))
+ (portRef CE (instanceRef blk000006e4))
+ (portRef CE (instanceRef blk000006e5))
+ (portRef CE (instanceRef blk000006e6))
+ (portRef CE (instanceRef blk000006e7))
+ (portRef CE (instanceRef blk000006e8))
+ (portRef CE (instanceRef blk000006e9))
+ (portRef CE (instanceRef blk000006ea))
+ (portRef CE (instanceRef blk000006eb))
+ (portRef CE (instanceRef blk000006ec))
+ (portRef CE (instanceRef blk000006ed))
+ (portRef CE (instanceRef blk000006ee))
+ (portRef CE (instanceRef blk000006ef))
+ (portRef CE (instanceRef blk000006f0))
+ (portRef CE (instanceRef blk000006f1))
+ (portRef I0 (instanceRef blk00000704))
+ )
+ )
+ (net sig0000151e
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000006ce))
+ (portRef C (instanceRef blk000006cf))
+ (portRef C (instanceRef blk000006d0))
+ (portRef C (instanceRef blk000006d1))
+ (portRef C (instanceRef blk000006d2))
+ (portRef C (instanceRef blk000006d3))
+ (portRef C (instanceRef blk000006d4))
+ (portRef C (instanceRef blk000006d5))
+ (portRef C (instanceRef blk000006d6))
+ (portRef C (instanceRef blk000006d7))
+ (portRef C (instanceRef blk000006d8))
+ (portRef C (instanceRef blk000006d9))
+ (portRef C (instanceRef blk000006da))
+ (portRef C (instanceRef blk000006db))
+ (portRef C (instanceRef blk000006dc))
+ (portRef C (instanceRef blk000006dd))
+ (portRef C (instanceRef blk000006de))
+ (portRef C (instanceRef blk000006df))
+ (portRef C (instanceRef blk000006e0))
+ (portRef C (instanceRef blk000006e1))
+ (portRef C (instanceRef blk000006e2))
+ (portRef C (instanceRef blk000006e3))
+ (portRef C (instanceRef blk000006e4))
+ (portRef C (instanceRef blk000006e5))
+ (portRef C (instanceRef blk000006e6))
+ (portRef C (instanceRef blk000006e7))
+ (portRef C (instanceRef blk000006e8))
+ (portRef C (instanceRef blk000006e9))
+ (portRef C (instanceRef blk000006ea))
+ (portRef C (instanceRef blk000006eb))
+ (portRef C (instanceRef blk000006ec))
+ (portRef C (instanceRef blk000006ed))
+ (portRef C (instanceRef blk000006ee))
+ (portRef C (instanceRef blk000006ef))
+ (portRef C (instanceRef blk000006f0))
+ (portRef C (instanceRef blk000006f1))
+ (portRef WCLK (instanceRef blk000006f2))
+ (portRef WCLK (instanceRef blk000006f3))
+ (portRef WCLK (instanceRef blk000006f4))
+ (portRef WCLK (instanceRef blk000006f5))
+ (portRef WCLK (instanceRef blk000006f6))
+ (portRef WCLK (instanceRef blk000006f7))
+ (portRef WCLK (instanceRef blk000006f8))
+ (portRef WCLK (instanceRef blk000006f9))
+ (portRef WCLK (instanceRef blk000006fa))
+ (portRef WCLK (instanceRef blk000006fb))
+ (portRef WCLK (instanceRef blk000006fc))
+ (portRef WCLK (instanceRef blk000006fd))
+ (portRef WCLK (instanceRef blk000006fe))
+ (portRef WCLK (instanceRef blk000006ff))
+ (portRef WCLK (instanceRef blk00000700))
+ (portRef WCLK (instanceRef blk00000701))
+ (portRef WCLK (instanceRef blk00000702))
+ (portRef WCLK (instanceRef blk00000703))
+ )
+ )
+ (net sig0000151f
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk000006df))
+ )
+ )
+ (net sig00001520
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk000006de))
+ )
+ )
+ (net sig00001521
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk000006dd))
+ )
+ )
+ (net sig00001522
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk000006dc))
+ )
+ )
+ (net sig00001523
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk000006db))
+ )
+ )
+ (net sig00001524
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk000006da))
+ )
+ )
+ (net sig00001525
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk000006d9))
+ )
+ )
+ (net sig00001526
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk000006d8))
+ )
+ )
+ (net sig00001527
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk000006d7))
+ )
+ )
+ (net sig00001528
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk000006d6))
+ )
+ )
+ (net sig00001529
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk000006d5))
+ )
+ )
+ (net sig0000152a
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk000006d4))
+ )
+ )
+ (net sig0000152b
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk000006d3))
+ )
+ )
+ (net sig0000152c
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk000006d2))
+ )
+ )
+ (net sig0000152d
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk000006d1))
+ )
+ )
+ (net sig0000152e
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk000006d0))
+ )
+ )
+ (net sig0000152f
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk000006cf))
+ )
+ )
+ (net sig00001530
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk000006ce))
+ )
+ )
+ (net sig00001531
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000006f1))
+ )
+ )
+ (net sig00001532
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk000006f0))
+ )
+ )
+ (net sig00001533
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk000006ef))
+ )
+ )
+ (net sig00001534
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk000006ee))
+ )
+ )
+ (net sig00001535
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk000006ed))
+ )
+ )
+ (net sig00001536
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk000006ec))
+ )
+ )
+ (net sig00001537
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk000006eb))
+ )
+ )
+ (net sig00001538
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk000006ea))
+ )
+ )
+ (net sig00001539
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk000006e9))
+ )
+ )
+ (net sig0000153a
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk000006e8))
+ )
+ )
+ (net sig0000153b
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk000006e7))
+ )
+ )
+ (net sig0000153c
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk000006e6))
+ )
+ )
+ (net sig0000153d
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk000006e5))
+ )
+ )
+ (net sig0000153e
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk000006e4))
+ )
+ )
+ (net sig0000153f
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000006e3))
+ )
+ )
+ (net sig00001540
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000006e2))
+ )
+ )
+ (net sig00001541
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000006e1))
+ )
+ )
+ (net sig00001542
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000006e0))
+ )
+ )
+ (net sig00001543
+ (joined
+ (portRef G (instanceRef blk000006cd))
+ (portRef A2 (instanceRef blk000006f2))
+ (portRef A3 (instanceRef blk000006f2))
+ (portRef A4 (instanceRef blk000006f2))
+ (portRef DPRA2 (instanceRef blk000006f2))
+ (portRef DPRA3 (instanceRef blk000006f2))
+ (portRef DPRA4 (instanceRef blk000006f2))
+ (portRef A2 (instanceRef blk000006f3))
+ (portRef A3 (instanceRef blk000006f3))
+ (portRef A4 (instanceRef blk000006f3))
+ (portRef DPRA2 (instanceRef blk000006f3))
+ (portRef DPRA3 (instanceRef blk000006f3))
+ (portRef DPRA4 (instanceRef blk000006f3))
+ (portRef A2 (instanceRef blk000006f4))
+ (portRef A3 (instanceRef blk000006f4))
+ (portRef A4 (instanceRef blk000006f4))
+ (portRef DPRA2 (instanceRef blk000006f4))
+ (portRef DPRA3 (instanceRef blk000006f4))
+ (portRef DPRA4 (instanceRef blk000006f4))
+ (portRef A2 (instanceRef blk000006f5))
+ (portRef A3 (instanceRef blk000006f5))
+ (portRef A4 (instanceRef blk000006f5))
+ (portRef DPRA2 (instanceRef blk000006f5))
+ (portRef DPRA3 (instanceRef blk000006f5))
+ (portRef DPRA4 (instanceRef blk000006f5))
+ (portRef A2 (instanceRef blk000006f6))
+ (portRef A3 (instanceRef blk000006f6))
+ (portRef A4 (instanceRef blk000006f6))
+ (portRef DPRA2 (instanceRef blk000006f6))
+ (portRef DPRA3 (instanceRef blk000006f6))
+ (portRef DPRA4 (instanceRef blk000006f6))
+ (portRef A2 (instanceRef blk000006f7))
+ (portRef A3 (instanceRef blk000006f7))
+ (portRef A4 (instanceRef blk000006f7))
+ (portRef DPRA2 (instanceRef blk000006f7))
+ (portRef DPRA3 (instanceRef blk000006f7))
+ (portRef DPRA4 (instanceRef blk000006f7))
+ (portRef A2 (instanceRef blk000006f8))
+ (portRef A3 (instanceRef blk000006f8))
+ (portRef A4 (instanceRef blk000006f8))
+ (portRef DPRA2 (instanceRef blk000006f8))
+ (portRef DPRA3 (instanceRef blk000006f8))
+ (portRef DPRA4 (instanceRef blk000006f8))
+ (portRef A2 (instanceRef blk000006f9))
+ (portRef A3 (instanceRef blk000006f9))
+ (portRef A4 (instanceRef blk000006f9))
+ (portRef DPRA2 (instanceRef blk000006f9))
+ (portRef DPRA3 (instanceRef blk000006f9))
+ (portRef DPRA4 (instanceRef blk000006f9))
+ (portRef A2 (instanceRef blk000006fa))
+ (portRef A3 (instanceRef blk000006fa))
+ (portRef A4 (instanceRef blk000006fa))
+ (portRef DPRA2 (instanceRef blk000006fa))
+ (portRef DPRA3 (instanceRef blk000006fa))
+ (portRef DPRA4 (instanceRef blk000006fa))
+ (portRef A2 (instanceRef blk000006fb))
+ (portRef A3 (instanceRef blk000006fb))
+ (portRef A4 (instanceRef blk000006fb))
+ (portRef DPRA2 (instanceRef blk000006fb))
+ (portRef DPRA3 (instanceRef blk000006fb))
+ (portRef DPRA4 (instanceRef blk000006fb))
+ (portRef A2 (instanceRef blk000006fc))
+ (portRef A3 (instanceRef blk000006fc))
+ (portRef A4 (instanceRef blk000006fc))
+ (portRef DPRA2 (instanceRef blk000006fc))
+ (portRef DPRA3 (instanceRef blk000006fc))
+ (portRef DPRA4 (instanceRef blk000006fc))
+ (portRef A2 (instanceRef blk000006fd))
+ (portRef A3 (instanceRef blk000006fd))
+ (portRef A4 (instanceRef blk000006fd))
+ (portRef DPRA2 (instanceRef blk000006fd))
+ (portRef DPRA3 (instanceRef blk000006fd))
+ (portRef DPRA4 (instanceRef blk000006fd))
+ (portRef A2 (instanceRef blk000006fe))
+ (portRef A3 (instanceRef blk000006fe))
+ (portRef A4 (instanceRef blk000006fe))
+ (portRef DPRA2 (instanceRef blk000006fe))
+ (portRef DPRA3 (instanceRef blk000006fe))
+ (portRef DPRA4 (instanceRef blk000006fe))
+ (portRef A2 (instanceRef blk000006ff))
+ (portRef A3 (instanceRef blk000006ff))
+ (portRef A4 (instanceRef blk000006ff))
+ (portRef DPRA2 (instanceRef blk000006ff))
+ (portRef DPRA3 (instanceRef blk000006ff))
+ (portRef DPRA4 (instanceRef blk000006ff))
+ (portRef A2 (instanceRef blk00000700))
+ (portRef A3 (instanceRef blk00000700))
+ (portRef A4 (instanceRef blk00000700))
+ (portRef DPRA2 (instanceRef blk00000700))
+ (portRef DPRA3 (instanceRef blk00000700))
+ (portRef DPRA4 (instanceRef blk00000700))
+ (portRef A2 (instanceRef blk00000701))
+ (portRef A3 (instanceRef blk00000701))
+ (portRef A4 (instanceRef blk00000701))
+ (portRef DPRA2 (instanceRef blk00000701))
+ (portRef DPRA3 (instanceRef blk00000701))
+ (portRef DPRA4 (instanceRef blk00000701))
+ (portRef A2 (instanceRef blk00000702))
+ (portRef A3 (instanceRef blk00000702))
+ (portRef A4 (instanceRef blk00000702))
+ (portRef DPRA2 (instanceRef blk00000702))
+ (portRef DPRA3 (instanceRef blk00000702))
+ (portRef DPRA4 (instanceRef blk00000702))
+ (portRef A2 (instanceRef blk00000703))
+ (portRef A3 (instanceRef blk00000703))
+ (portRef A4 (instanceRef blk00000703))
+ (portRef DPRA2 (instanceRef blk00000703))
+ (portRef DPRA3 (instanceRef blk00000703))
+ (portRef DPRA4 (instanceRef blk00000703))
+ )
+ )
+ (net sig00001544
+ (joined
+ (portRef D (instanceRef blk000006ce))
+ (portRef SPO (instanceRef blk000006f3))
+ )
+ )
+ (net sig00001545
+ (joined
+ (portRef D (instanceRef blk000006cf))
+ (portRef SPO (instanceRef blk000006f4))
+ )
+ )
+ (net sig00001546
+ (joined
+ (portRef D (instanceRef blk000006d0))
+ (portRef SPO (instanceRef blk000006f2))
+ )
+ )
+ (net sig00001547
+ (joined
+ (portRef D (instanceRef blk000006d1))
+ (portRef SPO (instanceRef blk000006f5))
+ )
+ )
+ (net sig00001548
+ (joined
+ (portRef D (instanceRef blk000006d2))
+ (portRef SPO (instanceRef blk000006f6))
+ )
+ )
+ (net sig00001549
+ (joined
+ (portRef D (instanceRef blk000006d3))
+ (portRef SPO (instanceRef blk000006f7))
+ )
+ )
+ (net sig0000154a
+ (joined
+ (portRef D (instanceRef blk000006d4))
+ (portRef SPO (instanceRef blk000006f8))
+ )
+ )
+ (net sig0000154b
+ (joined
+ (portRef D (instanceRef blk000006d5))
+ (portRef SPO (instanceRef blk000006f9))
+ )
+ )
+ (net sig0000154c
+ (joined
+ (portRef D (instanceRef blk000006d6))
+ (portRef SPO (instanceRef blk000006fa))
+ )
+ )
+ (net sig0000154d
+ (joined
+ (portRef D (instanceRef blk000006d7))
+ (portRef SPO (instanceRef blk000006fc))
+ )
+ )
+ (net sig0000154e
+ (joined
+ (portRef D (instanceRef blk000006d8))
+ (portRef SPO (instanceRef blk000006fd))
+ )
+ )
+ (net sig0000154f
+ (joined
+ (portRef D (instanceRef blk000006d9))
+ (portRef SPO (instanceRef blk000006fb))
+ )
+ )
+ (net sig00001550
+ (joined
+ (portRef D (instanceRef blk000006da))
+ (portRef SPO (instanceRef blk000006fe))
+ )
+ )
+ (net sig00001551
+ (joined
+ (portRef D (instanceRef blk000006db))
+ (portRef SPO (instanceRef blk000006ff))
+ )
+ )
+ (net sig00001552
+ (joined
+ (portRef D (instanceRef blk000006dc))
+ (portRef SPO (instanceRef blk00000700))
+ )
+ )
+ (net sig00001553
+ (joined
+ (portRef D (instanceRef blk000006dd))
+ (portRef SPO (instanceRef blk00000701))
+ )
+ )
+ (net sig00001554
+ (joined
+ (portRef D (instanceRef blk000006de))
+ (portRef SPO (instanceRef blk00000702))
+ )
+ )
+ (net sig00001555
+ (joined
+ (portRef D (instanceRef blk000006df))
+ (portRef SPO (instanceRef blk00000703))
+ )
+ )
+ (net sig00001556
+ (joined
+ (portRef D (instanceRef blk000006e0))
+ (portRef DPO (instanceRef blk000006f3))
+ )
+ )
+ (net sig00001557
+ (joined
+ (portRef D (instanceRef blk000006e1))
+ (portRef DPO (instanceRef blk000006f4))
+ )
+ )
+ (net sig00001558
+ (joined
+ (portRef D (instanceRef blk000006e2))
+ (portRef DPO (instanceRef blk000006f2))
+ )
+ )
+ (net sig00001559
+ (joined
+ (portRef D (instanceRef blk000006e3))
+ (portRef DPO (instanceRef blk000006f5))
+ )
+ )
+ (net sig0000155a
+ (joined
+ (portRef D (instanceRef blk000006e4))
+ (portRef DPO (instanceRef blk000006f6))
+ )
+ )
+ (net sig0000155b
+ (joined
+ (portRef D (instanceRef blk000006e5))
+ (portRef DPO (instanceRef blk000006f7))
+ )
+ )
+ (net sig0000155c
+ (joined
+ (portRef D (instanceRef blk000006e6))
+ (portRef DPO (instanceRef blk000006f8))
+ )
+ )
+ (net sig0000155d
+ (joined
+ (portRef D (instanceRef blk000006e7))
+ (portRef DPO (instanceRef blk000006f9))
+ )
+ )
+ (net sig0000155e
+ (joined
+ (portRef D (instanceRef blk000006e8))
+ (portRef DPO (instanceRef blk000006fa))
+ )
+ )
+ (net sig0000155f
+ (joined
+ (portRef D (instanceRef blk000006e9))
+ (portRef DPO (instanceRef blk000006fc))
+ )
+ )
+ (net sig00001560
+ (joined
+ (portRef D (instanceRef blk000006ea))
+ (portRef DPO (instanceRef blk000006fd))
+ )
+ )
+ (net sig00001561
+ (joined
+ (portRef D (instanceRef blk000006eb))
+ (portRef DPO (instanceRef blk000006fb))
+ )
+ )
+ (net sig00001562
+ (joined
+ (portRef D (instanceRef blk000006ec))
+ (portRef DPO (instanceRef blk000006fe))
+ )
+ )
+ (net sig00001563
+ (joined
+ (portRef D (instanceRef blk000006ed))
+ (portRef DPO (instanceRef blk000006ff))
+ )
+ )
+ (net sig00001564
+ (joined
+ (portRef D (instanceRef blk000006ee))
+ (portRef DPO (instanceRef blk00000700))
+ )
+ )
+ (net sig00001565
+ (joined
+ (portRef D (instanceRef blk000006ef))
+ (portRef DPO (instanceRef blk00000701))
+ )
+ )
+ (net sig00001566
+ (joined
+ (portRef D (instanceRef blk000006f0))
+ (portRef DPO (instanceRef blk00000702))
+ )
+ )
+ (net sig00001567
+ (joined
+ (portRef D (instanceRef blk000006f1))
+ (portRef DPO (instanceRef blk00000703))
+ )
+ )
+ (net sig00001568
+ (joined
+ (portRef WE (instanceRef blk000006f2))
+ (portRef WE (instanceRef blk000006f3))
+ (portRef WE (instanceRef blk000006f4))
+ (portRef WE (instanceRef blk000006f5))
+ (portRef WE (instanceRef blk000006f6))
+ (portRef WE (instanceRef blk000006f7))
+ (portRef WE (instanceRef blk000006f8))
+ (portRef WE (instanceRef blk000006f9))
+ (portRef WE (instanceRef blk000006fa))
+ (portRef WE (instanceRef blk000006fb))
+ (portRef WE (instanceRef blk000006fc))
+ (portRef WE (instanceRef blk000006fd))
+ (portRef WE (instanceRef blk000006fe))
+ (portRef WE (instanceRef blk000006ff))
+ (portRef WE (instanceRef blk00000700))
+ (portRef WE (instanceRef blk00000701))
+ (portRef WE (instanceRef blk00000702))
+ (portRef WE (instanceRef blk00000703))
+ (portRef O (instanceRef blk00000704))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_5_blk00000693 "dpr_ram_5")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000694
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000695
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000696
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000697
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000698
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000699
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006aa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ac
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ae
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000006ba
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006bb
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006bc
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006bd
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006be
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006bf
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000006c0
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006c1
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006c2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000006c3
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006c4
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk000006c5
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000006c6
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006c7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006c8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006c9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006ca
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000006cb
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000014a3
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk000006b9))
+ (portRef A1 (instanceRef blk000006ba))
+ (portRef A1 (instanceRef blk000006bb))
+ (portRef A1 (instanceRef blk000006bc))
+ (portRef A1 (instanceRef blk000006bd))
+ (portRef A1 (instanceRef blk000006be))
+ (portRef A1 (instanceRef blk000006bf))
+ (portRef A1 (instanceRef blk000006c0))
+ (portRef A1 (instanceRef blk000006c1))
+ (portRef A1 (instanceRef blk000006c2))
+ (portRef A1 (instanceRef blk000006c3))
+ (portRef A1 (instanceRef blk000006c4))
+ (portRef A1 (instanceRef blk000006c5))
+ (portRef A1 (instanceRef blk000006c6))
+ (portRef A1 (instanceRef blk000006c7))
+ (portRef A1 (instanceRef blk000006c8))
+ (portRef A1 (instanceRef blk000006c9))
+ (portRef A1 (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014a4
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk000006b9))
+ (portRef A0 (instanceRef blk000006ba))
+ (portRef A0 (instanceRef blk000006bb))
+ (portRef A0 (instanceRef blk000006bc))
+ (portRef A0 (instanceRef blk000006bd))
+ (portRef A0 (instanceRef blk000006be))
+ (portRef A0 (instanceRef blk000006bf))
+ (portRef A0 (instanceRef blk000006c0))
+ (portRef A0 (instanceRef blk000006c1))
+ (portRef A0 (instanceRef blk000006c2))
+ (portRef A0 (instanceRef blk000006c3))
+ (portRef A0 (instanceRef blk000006c4))
+ (portRef A0 (instanceRef blk000006c5))
+ (portRef A0 (instanceRef blk000006c6))
+ (portRef A0 (instanceRef blk000006c7))
+ (portRef A0 (instanceRef blk000006c8))
+ (portRef A0 (instanceRef blk000006c9))
+ (portRef A0 (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014a5
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014a6
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk000006c9))
+ )
+ )
+ (net sig000014a7
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk000006c8))
+ )
+ )
+ (net sig000014a8
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk000006c7))
+ )
+ )
+ (net sig000014a9
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk000006c6))
+ )
+ )
+ (net sig000014aa
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk000006c5))
+ )
+ )
+ (net sig000014ab
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk000006c2))
+ )
+ )
+ (net sig000014ac
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk000006c4))
+ )
+ )
+ (net sig000014ad
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk000006c3))
+ )
+ )
+ (net sig000014ae
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk000006c1))
+ )
+ )
+ (net sig000014af
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk000006c0))
+ )
+ )
+ (net sig000014b0
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk000006bf))
+ )
+ )
+ (net sig000014b1
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk000006be))
+ )
+ )
+ (net sig000014b2
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk000006bd))
+ )
+ )
+ (net sig000014b3
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk000006bc))
+ )
+ )
+ (net sig000014b4
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000006b9))
+ )
+ )
+ (net sig000014b5
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk000006bb))
+ )
+ )
+ (net sig000014b6
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000006ba))
+ )
+ )
+ (net sig000014b7
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk000006b9))
+ (portRef DPRA1 (instanceRef blk000006ba))
+ (portRef DPRA1 (instanceRef blk000006bb))
+ (portRef DPRA1 (instanceRef blk000006bc))
+ (portRef DPRA1 (instanceRef blk000006bd))
+ (portRef DPRA1 (instanceRef blk000006be))
+ (portRef DPRA1 (instanceRef blk000006bf))
+ (portRef DPRA1 (instanceRef blk000006c0))
+ (portRef DPRA1 (instanceRef blk000006c1))
+ (portRef DPRA1 (instanceRef blk000006c2))
+ (portRef DPRA1 (instanceRef blk000006c3))
+ (portRef DPRA1 (instanceRef blk000006c4))
+ (portRef DPRA1 (instanceRef blk000006c5))
+ (portRef DPRA1 (instanceRef blk000006c6))
+ (portRef DPRA1 (instanceRef blk000006c7))
+ (portRef DPRA1 (instanceRef blk000006c8))
+ (portRef DPRA1 (instanceRef blk000006c9))
+ (portRef DPRA1 (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014b8
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk000006b9))
+ (portRef DPRA0 (instanceRef blk000006ba))
+ (portRef DPRA0 (instanceRef blk000006bb))
+ (portRef DPRA0 (instanceRef blk000006bc))
+ (portRef DPRA0 (instanceRef blk000006bd))
+ (portRef DPRA0 (instanceRef blk000006be))
+ (portRef DPRA0 (instanceRef blk000006bf))
+ (portRef DPRA0 (instanceRef blk000006c0))
+ (portRef DPRA0 (instanceRef blk000006c1))
+ (portRef DPRA0 (instanceRef blk000006c2))
+ (portRef DPRA0 (instanceRef blk000006c3))
+ (portRef DPRA0 (instanceRef blk000006c4))
+ (portRef DPRA0 (instanceRef blk000006c5))
+ (portRef DPRA0 (instanceRef blk000006c6))
+ (portRef DPRA0 (instanceRef blk000006c7))
+ (portRef DPRA0 (instanceRef blk000006c8))
+ (portRef DPRA0 (instanceRef blk000006c9))
+ (portRef DPRA0 (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014b9
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk000006cb))
+ )
+ )
+ (net sig000014ba
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000695))
+ (portRef CE (instanceRef blk00000696))
+ (portRef CE (instanceRef blk00000697))
+ (portRef CE (instanceRef blk00000698))
+ (portRef CE (instanceRef blk00000699))
+ (portRef CE (instanceRef blk0000069a))
+ (portRef CE (instanceRef blk0000069b))
+ (portRef CE (instanceRef blk0000069c))
+ (portRef CE (instanceRef blk0000069d))
+ (portRef CE (instanceRef blk0000069e))
+ (portRef CE (instanceRef blk0000069f))
+ (portRef CE (instanceRef blk000006a0))
+ (portRef CE (instanceRef blk000006a1))
+ (portRef CE (instanceRef blk000006a2))
+ (portRef CE (instanceRef blk000006a3))
+ (portRef CE (instanceRef blk000006a4))
+ (portRef CE (instanceRef blk000006a5))
+ (portRef CE (instanceRef blk000006a6))
+ (portRef CE (instanceRef blk000006a7))
+ (portRef CE (instanceRef blk000006a8))
+ (portRef CE (instanceRef blk000006a9))
+ (portRef CE (instanceRef blk000006aa))
+ (portRef CE (instanceRef blk000006ab))
+ (portRef CE (instanceRef blk000006ac))
+ (portRef CE (instanceRef blk000006ad))
+ (portRef CE (instanceRef blk000006ae))
+ (portRef CE (instanceRef blk000006af))
+ (portRef CE (instanceRef blk000006b0))
+ (portRef CE (instanceRef blk000006b1))
+ (portRef CE (instanceRef blk000006b2))
+ (portRef CE (instanceRef blk000006b3))
+ (portRef CE (instanceRef blk000006b4))
+ (portRef CE (instanceRef blk000006b5))
+ (portRef CE (instanceRef blk000006b6))
+ (portRef CE (instanceRef blk000006b7))
+ (portRef CE (instanceRef blk000006b8))
+ (portRef I0 (instanceRef blk000006cb))
+ )
+ )
+ (net sig000014bb
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000695))
+ (portRef C (instanceRef blk00000696))
+ (portRef C (instanceRef blk00000697))
+ (portRef C (instanceRef blk00000698))
+ (portRef C (instanceRef blk00000699))
+ (portRef C (instanceRef blk0000069a))
+ (portRef C (instanceRef blk0000069b))
+ (portRef C (instanceRef blk0000069c))
+ (portRef C (instanceRef blk0000069d))
+ (portRef C (instanceRef blk0000069e))
+ (portRef C (instanceRef blk0000069f))
+ (portRef C (instanceRef blk000006a0))
+ (portRef C (instanceRef blk000006a1))
+ (portRef C (instanceRef blk000006a2))
+ (portRef C (instanceRef blk000006a3))
+ (portRef C (instanceRef blk000006a4))
+ (portRef C (instanceRef blk000006a5))
+ (portRef C (instanceRef blk000006a6))
+ (portRef C (instanceRef blk000006a7))
+ (portRef C (instanceRef blk000006a8))
+ (portRef C (instanceRef blk000006a9))
+ (portRef C (instanceRef blk000006aa))
+ (portRef C (instanceRef blk000006ab))
+ (portRef C (instanceRef blk000006ac))
+ (portRef C (instanceRef blk000006ad))
+ (portRef C (instanceRef blk000006ae))
+ (portRef C (instanceRef blk000006af))
+ (portRef C (instanceRef blk000006b0))
+ (portRef C (instanceRef blk000006b1))
+ (portRef C (instanceRef blk000006b2))
+ (portRef C (instanceRef blk000006b3))
+ (portRef C (instanceRef blk000006b4))
+ (portRef C (instanceRef blk000006b5))
+ (portRef C (instanceRef blk000006b6))
+ (portRef C (instanceRef blk000006b7))
+ (portRef C (instanceRef blk000006b8))
+ (portRef WCLK (instanceRef blk000006b9))
+ (portRef WCLK (instanceRef blk000006ba))
+ (portRef WCLK (instanceRef blk000006bb))
+ (portRef WCLK (instanceRef blk000006bc))
+ (portRef WCLK (instanceRef blk000006bd))
+ (portRef WCLK (instanceRef blk000006be))
+ (portRef WCLK (instanceRef blk000006bf))
+ (portRef WCLK (instanceRef blk000006c0))
+ (portRef WCLK (instanceRef blk000006c1))
+ (portRef WCLK (instanceRef blk000006c2))
+ (portRef WCLK (instanceRef blk000006c3))
+ (portRef WCLK (instanceRef blk000006c4))
+ (portRef WCLK (instanceRef blk000006c5))
+ (portRef WCLK (instanceRef blk000006c6))
+ (portRef WCLK (instanceRef blk000006c7))
+ (portRef WCLK (instanceRef blk000006c8))
+ (portRef WCLK (instanceRef blk000006c9))
+ (portRef WCLK (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014bc
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk000006a6))
+ )
+ )
+ (net sig000014bd
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk000006a5))
+ )
+ )
+ (net sig000014be
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk000006a4))
+ )
+ )
+ (net sig000014bf
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk000006a3))
+ )
+ )
+ (net sig000014c0
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk000006a2))
+ )
+ )
+ (net sig000014c1
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk000006a1))
+ )
+ )
+ (net sig000014c2
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk000006a0))
+ )
+ )
+ (net sig000014c3
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk0000069f))
+ )
+ )
+ (net sig000014c4
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk0000069e))
+ )
+ )
+ (net sig000014c5
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk0000069d))
+ )
+ )
+ (net sig000014c6
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk0000069c))
+ )
+ )
+ (net sig000014c7
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk0000069b))
+ )
+ )
+ (net sig000014c8
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk0000069a))
+ )
+ )
+ (net sig000014c9
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk00000699))
+ )
+ )
+ (net sig000014ca
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk00000698))
+ )
+ )
+ (net sig000014cb
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk00000697))
+ )
+ )
+ (net sig000014cc
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk00000696))
+ )
+ )
+ (net sig000014cd
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk00000695))
+ )
+ )
+ (net sig000014ce
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000006b8))
+ )
+ )
+ (net sig000014cf
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk000006b7))
+ )
+ )
+ (net sig000014d0
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk000006b6))
+ )
+ )
+ (net sig000014d1
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk000006b5))
+ )
+ )
+ (net sig000014d2
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk000006b4))
+ )
+ )
+ (net sig000014d3
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk000006b3))
+ )
+ )
+ (net sig000014d4
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk000006b2))
+ )
+ )
+ (net sig000014d5
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk000006b1))
+ )
+ )
+ (net sig000014d6
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk000006b0))
+ )
+ )
+ (net sig000014d7
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk000006af))
+ )
+ )
+ (net sig000014d8
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk000006ae))
+ )
+ )
+ (net sig000014d9
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk000006ad))
+ )
+ )
+ (net sig000014da
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk000006ac))
+ )
+ )
+ (net sig000014db
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk000006ab))
+ )
+ )
+ (net sig000014dc
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000006aa))
+ )
+ )
+ (net sig000014dd
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000006a9))
+ )
+ )
+ (net sig000014de
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000006a8))
+ )
+ )
+ (net sig000014df
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000006a7))
+ )
+ )
+ (net sig000014e0
+ (joined
+ (portRef G (instanceRef blk00000694))
+ (portRef A2 (instanceRef blk000006b9))
+ (portRef A3 (instanceRef blk000006b9))
+ (portRef A4 (instanceRef blk000006b9))
+ (portRef DPRA2 (instanceRef blk000006b9))
+ (portRef DPRA3 (instanceRef blk000006b9))
+ (portRef DPRA4 (instanceRef blk000006b9))
+ (portRef A2 (instanceRef blk000006ba))
+ (portRef A3 (instanceRef blk000006ba))
+ (portRef A4 (instanceRef blk000006ba))
+ (portRef DPRA2 (instanceRef blk000006ba))
+ (portRef DPRA3 (instanceRef blk000006ba))
+ (portRef DPRA4 (instanceRef blk000006ba))
+ (portRef A2 (instanceRef blk000006bb))
+ (portRef A3 (instanceRef blk000006bb))
+ (portRef A4 (instanceRef blk000006bb))
+ (portRef DPRA2 (instanceRef blk000006bb))
+ (portRef DPRA3 (instanceRef blk000006bb))
+ (portRef DPRA4 (instanceRef blk000006bb))
+ (portRef A2 (instanceRef blk000006bc))
+ (portRef A3 (instanceRef blk000006bc))
+ (portRef A4 (instanceRef blk000006bc))
+ (portRef DPRA2 (instanceRef blk000006bc))
+ (portRef DPRA3 (instanceRef blk000006bc))
+ (portRef DPRA4 (instanceRef blk000006bc))
+ (portRef A2 (instanceRef blk000006bd))
+ (portRef A3 (instanceRef blk000006bd))
+ (portRef A4 (instanceRef blk000006bd))
+ (portRef DPRA2 (instanceRef blk000006bd))
+ (portRef DPRA3 (instanceRef blk000006bd))
+ (portRef DPRA4 (instanceRef blk000006bd))
+ (portRef A2 (instanceRef blk000006be))
+ (portRef A3 (instanceRef blk000006be))
+ (portRef A4 (instanceRef blk000006be))
+ (portRef DPRA2 (instanceRef blk000006be))
+ (portRef DPRA3 (instanceRef blk000006be))
+ (portRef DPRA4 (instanceRef blk000006be))
+ (portRef A2 (instanceRef blk000006bf))
+ (portRef A3 (instanceRef blk000006bf))
+ (portRef A4 (instanceRef blk000006bf))
+ (portRef DPRA2 (instanceRef blk000006bf))
+ (portRef DPRA3 (instanceRef blk000006bf))
+ (portRef DPRA4 (instanceRef blk000006bf))
+ (portRef A2 (instanceRef blk000006c0))
+ (portRef A3 (instanceRef blk000006c0))
+ (portRef A4 (instanceRef blk000006c0))
+ (portRef DPRA2 (instanceRef blk000006c0))
+ (portRef DPRA3 (instanceRef blk000006c0))
+ (portRef DPRA4 (instanceRef blk000006c0))
+ (portRef A2 (instanceRef blk000006c1))
+ (portRef A3 (instanceRef blk000006c1))
+ (portRef A4 (instanceRef blk000006c1))
+ (portRef DPRA2 (instanceRef blk000006c1))
+ (portRef DPRA3 (instanceRef blk000006c1))
+ (portRef DPRA4 (instanceRef blk000006c1))
+ (portRef A2 (instanceRef blk000006c2))
+ (portRef A3 (instanceRef blk000006c2))
+ (portRef A4 (instanceRef blk000006c2))
+ (portRef DPRA2 (instanceRef blk000006c2))
+ (portRef DPRA3 (instanceRef blk000006c2))
+ (portRef DPRA4 (instanceRef blk000006c2))
+ (portRef A2 (instanceRef blk000006c3))
+ (portRef A3 (instanceRef blk000006c3))
+ (portRef A4 (instanceRef blk000006c3))
+ (portRef DPRA2 (instanceRef blk000006c3))
+ (portRef DPRA3 (instanceRef blk000006c3))
+ (portRef DPRA4 (instanceRef blk000006c3))
+ (portRef A2 (instanceRef blk000006c4))
+ (portRef A3 (instanceRef blk000006c4))
+ (portRef A4 (instanceRef blk000006c4))
+ (portRef DPRA2 (instanceRef blk000006c4))
+ (portRef DPRA3 (instanceRef blk000006c4))
+ (portRef DPRA4 (instanceRef blk000006c4))
+ (portRef A2 (instanceRef blk000006c5))
+ (portRef A3 (instanceRef blk000006c5))
+ (portRef A4 (instanceRef blk000006c5))
+ (portRef DPRA2 (instanceRef blk000006c5))
+ (portRef DPRA3 (instanceRef blk000006c5))
+ (portRef DPRA4 (instanceRef blk000006c5))
+ (portRef A2 (instanceRef blk000006c6))
+ (portRef A3 (instanceRef blk000006c6))
+ (portRef A4 (instanceRef blk000006c6))
+ (portRef DPRA2 (instanceRef blk000006c6))
+ (portRef DPRA3 (instanceRef blk000006c6))
+ (portRef DPRA4 (instanceRef blk000006c6))
+ (portRef A2 (instanceRef blk000006c7))
+ (portRef A3 (instanceRef blk000006c7))
+ (portRef A4 (instanceRef blk000006c7))
+ (portRef DPRA2 (instanceRef blk000006c7))
+ (portRef DPRA3 (instanceRef blk000006c7))
+ (portRef DPRA4 (instanceRef blk000006c7))
+ (portRef A2 (instanceRef blk000006c8))
+ (portRef A3 (instanceRef blk000006c8))
+ (portRef A4 (instanceRef blk000006c8))
+ (portRef DPRA2 (instanceRef blk000006c8))
+ (portRef DPRA3 (instanceRef blk000006c8))
+ (portRef DPRA4 (instanceRef blk000006c8))
+ (portRef A2 (instanceRef blk000006c9))
+ (portRef A3 (instanceRef blk000006c9))
+ (portRef A4 (instanceRef blk000006c9))
+ (portRef DPRA2 (instanceRef blk000006c9))
+ (portRef DPRA3 (instanceRef blk000006c9))
+ (portRef DPRA4 (instanceRef blk000006c9))
+ (portRef A2 (instanceRef blk000006ca))
+ (portRef A3 (instanceRef blk000006ca))
+ (portRef A4 (instanceRef blk000006ca))
+ (portRef DPRA2 (instanceRef blk000006ca))
+ (portRef DPRA3 (instanceRef blk000006ca))
+ (portRef DPRA4 (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014e1
+ (joined
+ (portRef D (instanceRef blk00000695))
+ (portRef SPO (instanceRef blk000006ba))
+ )
+ )
+ (net sig000014e2
+ (joined
+ (portRef D (instanceRef blk00000696))
+ (portRef SPO (instanceRef blk000006bb))
+ )
+ )
+ (net sig000014e3
+ (joined
+ (portRef D (instanceRef blk00000697))
+ (portRef SPO (instanceRef blk000006b9))
+ )
+ )
+ (net sig000014e4
+ (joined
+ (portRef D (instanceRef blk00000698))
+ (portRef SPO (instanceRef blk000006bc))
+ )
+ )
+ (net sig000014e5
+ (joined
+ (portRef D (instanceRef blk00000699))
+ (portRef SPO (instanceRef blk000006bd))
+ )
+ )
+ (net sig000014e6
+ (joined
+ (portRef D (instanceRef blk0000069a))
+ (portRef SPO (instanceRef blk000006be))
+ )
+ )
+ (net sig000014e7
+ (joined
+ (portRef D (instanceRef blk0000069b))
+ (portRef SPO (instanceRef blk000006bf))
+ )
+ )
+ (net sig000014e8
+ (joined
+ (portRef D (instanceRef blk0000069c))
+ (portRef SPO (instanceRef blk000006c0))
+ )
+ )
+ (net sig000014e9
+ (joined
+ (portRef D (instanceRef blk0000069d))
+ (portRef SPO (instanceRef blk000006c1))
+ )
+ )
+ (net sig000014ea
+ (joined
+ (portRef D (instanceRef blk0000069e))
+ (portRef SPO (instanceRef blk000006c3))
+ )
+ )
+ (net sig000014eb
+ (joined
+ (portRef D (instanceRef blk0000069f))
+ (portRef SPO (instanceRef blk000006c4))
+ )
+ )
+ (net sig000014ec
+ (joined
+ (portRef D (instanceRef blk000006a0))
+ (portRef SPO (instanceRef blk000006c2))
+ )
+ )
+ (net sig000014ed
+ (joined
+ (portRef D (instanceRef blk000006a1))
+ (portRef SPO (instanceRef blk000006c5))
+ )
+ )
+ (net sig000014ee
+ (joined
+ (portRef D (instanceRef blk000006a2))
+ (portRef SPO (instanceRef blk000006c6))
+ )
+ )
+ (net sig000014ef
+ (joined
+ (portRef D (instanceRef blk000006a3))
+ (portRef SPO (instanceRef blk000006c7))
+ )
+ )
+ (net sig000014f0
+ (joined
+ (portRef D (instanceRef blk000006a4))
+ (portRef SPO (instanceRef blk000006c8))
+ )
+ )
+ (net sig000014f1
+ (joined
+ (portRef D (instanceRef blk000006a5))
+ (portRef SPO (instanceRef blk000006c9))
+ )
+ )
+ (net sig000014f2
+ (joined
+ (portRef D (instanceRef blk000006a6))
+ (portRef SPO (instanceRef blk000006ca))
+ )
+ )
+ (net sig000014f3
+ (joined
+ (portRef D (instanceRef blk000006a7))
+ (portRef DPO (instanceRef blk000006ba))
+ )
+ )
+ (net sig000014f4
+ (joined
+ (portRef D (instanceRef blk000006a8))
+ (portRef DPO (instanceRef blk000006bb))
+ )
+ )
+ (net sig000014f5
+ (joined
+ (portRef D (instanceRef blk000006a9))
+ (portRef DPO (instanceRef blk000006b9))
+ )
+ )
+ (net sig000014f6
+ (joined
+ (portRef D (instanceRef blk000006aa))
+ (portRef DPO (instanceRef blk000006bc))
+ )
+ )
+ (net sig000014f7
+ (joined
+ (portRef D (instanceRef blk000006ab))
+ (portRef DPO (instanceRef blk000006bd))
+ )
+ )
+ (net sig000014f8
+ (joined
+ (portRef D (instanceRef blk000006ac))
+ (portRef DPO (instanceRef blk000006be))
+ )
+ )
+ (net sig000014f9
+ (joined
+ (portRef D (instanceRef blk000006ad))
+ (portRef DPO (instanceRef blk000006bf))
+ )
+ )
+ (net sig000014fa
+ (joined
+ (portRef D (instanceRef blk000006ae))
+ (portRef DPO (instanceRef blk000006c0))
+ )
+ )
+ (net sig000014fb
+ (joined
+ (portRef D (instanceRef blk000006af))
+ (portRef DPO (instanceRef blk000006c1))
+ )
+ )
+ (net sig000014fc
+ (joined
+ (portRef D (instanceRef blk000006b0))
+ (portRef DPO (instanceRef blk000006c3))
+ )
+ )
+ (net sig000014fd
+ (joined
+ (portRef D (instanceRef blk000006b1))
+ (portRef DPO (instanceRef blk000006c4))
+ )
+ )
+ (net sig000014fe
+ (joined
+ (portRef D (instanceRef blk000006b2))
+ (portRef DPO (instanceRef blk000006c2))
+ )
+ )
+ (net sig000014ff
+ (joined
+ (portRef D (instanceRef blk000006b3))
+ (portRef DPO (instanceRef blk000006c5))
+ )
+ )
+ (net sig00001500
+ (joined
+ (portRef D (instanceRef blk000006b4))
+ (portRef DPO (instanceRef blk000006c6))
+ )
+ )
+ (net sig00001501
+ (joined
+ (portRef D (instanceRef blk000006b5))
+ (portRef DPO (instanceRef blk000006c7))
+ )
+ )
+ (net sig00001502
+ (joined
+ (portRef D (instanceRef blk000006b6))
+ (portRef DPO (instanceRef blk000006c8))
+ )
+ )
+ (net sig00001503
+ (joined
+ (portRef D (instanceRef blk000006b7))
+ (portRef DPO (instanceRef blk000006c9))
+ )
+ )
+ (net sig00001504
+ (joined
+ (portRef D (instanceRef blk000006b8))
+ (portRef DPO (instanceRef blk000006ca))
+ )
+ )
+ (net sig00001505
+ (joined
+ (portRef WE (instanceRef blk000006b9))
+ (portRef WE (instanceRef blk000006ba))
+ (portRef WE (instanceRef blk000006bb))
+ (portRef WE (instanceRef blk000006bc))
+ (portRef WE (instanceRef blk000006bd))
+ (portRef WE (instanceRef blk000006be))
+ (portRef WE (instanceRef blk000006bf))
+ (portRef WE (instanceRef blk000006c0))
+ (portRef WE (instanceRef blk000006c1))
+ (portRef WE (instanceRef blk000006c2))
+ (portRef WE (instanceRef blk000006c3))
+ (portRef WE (instanceRef blk000006c4))
+ (portRef WE (instanceRef blk000006c5))
+ (portRef WE (instanceRef blk000006c6))
+ (portRef WE (instanceRef blk000006c7))
+ (portRef WE (instanceRef blk000006c8))
+ (portRef WE (instanceRef blk000006c9))
+ (portRef WE (instanceRef blk000006ca))
+ (portRef O (instanceRef blk000006cb))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_4_blk0000065a "dpr_ram_4")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000065b
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000065c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000660
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000661
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000662
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000663
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000664
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000665
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000666
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000667
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000668
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000669
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000670
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000671
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000672
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000673
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000674
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000675
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000676
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000677
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000678
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000679
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000680
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000681
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000682
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000683
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000684
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000685
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000686
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000687
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000688
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000689
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk0000068a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000068b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000068c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000068d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000068e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000068f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000690
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000691
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000692
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001440
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk00000680))
+ (portRef A1 (instanceRef blk00000681))
+ (portRef A1 (instanceRef blk00000682))
+ (portRef A1 (instanceRef blk00000683))
+ (portRef A1 (instanceRef blk00000684))
+ (portRef A1 (instanceRef blk00000685))
+ (portRef A1 (instanceRef blk00000686))
+ (portRef A1 (instanceRef blk00000687))
+ (portRef A1 (instanceRef blk00000688))
+ (portRef A1 (instanceRef blk00000689))
+ (portRef A1 (instanceRef blk0000068a))
+ (portRef A1 (instanceRef blk0000068b))
+ (portRef A1 (instanceRef blk0000068c))
+ (portRef A1 (instanceRef blk0000068d))
+ (portRef A1 (instanceRef blk0000068e))
+ (portRef A1 (instanceRef blk0000068f))
+ (portRef A1 (instanceRef blk00000690))
+ (portRef A1 (instanceRef blk00000691))
+ )
+ )
+ (net sig00001441
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk00000680))
+ (portRef A0 (instanceRef blk00000681))
+ (portRef A0 (instanceRef blk00000682))
+ (portRef A0 (instanceRef blk00000683))
+ (portRef A0 (instanceRef blk00000684))
+ (portRef A0 (instanceRef blk00000685))
+ (portRef A0 (instanceRef blk00000686))
+ (portRef A0 (instanceRef blk00000687))
+ (portRef A0 (instanceRef blk00000688))
+ (portRef A0 (instanceRef blk00000689))
+ (portRef A0 (instanceRef blk0000068a))
+ (portRef A0 (instanceRef blk0000068b))
+ (portRef A0 (instanceRef blk0000068c))
+ (portRef A0 (instanceRef blk0000068d))
+ (portRef A0 (instanceRef blk0000068e))
+ (portRef A0 (instanceRef blk0000068f))
+ (portRef A0 (instanceRef blk00000690))
+ (portRef A0 (instanceRef blk00000691))
+ )
+ )
+ (net sig00001442
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000691))
+ )
+ )
+ (net sig00001443
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000690))
+ )
+ )
+ (net sig00001444
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000068f))
+ )
+ )
+ (net sig00001445
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk0000068e))
+ )
+ )
+ (net sig00001446
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk0000068d))
+ )
+ )
+ (net sig00001447
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk0000068c))
+ )
+ )
+ (net sig00001448
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000689))
+ )
+ )
+ (net sig00001449
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk0000068b))
+ )
+ )
+ (net sig0000144a
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk0000068a))
+ )
+ )
+ (net sig0000144b
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000688))
+ )
+ )
+ (net sig0000144c
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000687))
+ )
+ )
+ (net sig0000144d
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000686))
+ )
+ )
+ (net sig0000144e
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk00000685))
+ )
+ )
+ (net sig0000144f
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000684))
+ )
+ )
+ (net sig00001450
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000683))
+ )
+ )
+ (net sig00001451
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk00000680))
+ )
+ )
+ (net sig00001452
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000682))
+ )
+ )
+ (net sig00001453
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk00000681))
+ )
+ )
+ (net sig00001454
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk00000680))
+ (portRef DPRA1 (instanceRef blk00000681))
+ (portRef DPRA1 (instanceRef blk00000682))
+ (portRef DPRA1 (instanceRef blk00000683))
+ (portRef DPRA1 (instanceRef blk00000684))
+ (portRef DPRA1 (instanceRef blk00000685))
+ (portRef DPRA1 (instanceRef blk00000686))
+ (portRef DPRA1 (instanceRef blk00000687))
+ (portRef DPRA1 (instanceRef blk00000688))
+ (portRef DPRA1 (instanceRef blk00000689))
+ (portRef DPRA1 (instanceRef blk0000068a))
+ (portRef DPRA1 (instanceRef blk0000068b))
+ (portRef DPRA1 (instanceRef blk0000068c))
+ (portRef DPRA1 (instanceRef blk0000068d))
+ (portRef DPRA1 (instanceRef blk0000068e))
+ (portRef DPRA1 (instanceRef blk0000068f))
+ (portRef DPRA1 (instanceRef blk00000690))
+ (portRef DPRA1 (instanceRef blk00000691))
+ )
+ )
+ (net sig00001455
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk00000680))
+ (portRef DPRA0 (instanceRef blk00000681))
+ (portRef DPRA0 (instanceRef blk00000682))
+ (portRef DPRA0 (instanceRef blk00000683))
+ (portRef DPRA0 (instanceRef blk00000684))
+ (portRef DPRA0 (instanceRef blk00000685))
+ (portRef DPRA0 (instanceRef blk00000686))
+ (portRef DPRA0 (instanceRef blk00000687))
+ (portRef DPRA0 (instanceRef blk00000688))
+ (portRef DPRA0 (instanceRef blk00000689))
+ (portRef DPRA0 (instanceRef blk0000068a))
+ (portRef DPRA0 (instanceRef blk0000068b))
+ (portRef DPRA0 (instanceRef blk0000068c))
+ (portRef DPRA0 (instanceRef blk0000068d))
+ (portRef DPRA0 (instanceRef blk0000068e))
+ (portRef DPRA0 (instanceRef blk0000068f))
+ (portRef DPRA0 (instanceRef blk00000690))
+ (portRef DPRA0 (instanceRef blk00000691))
+ )
+ )
+ (net sig00001456
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000692))
+ )
+ )
+ (net sig00001457
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000065c))
+ (portRef CE (instanceRef blk0000065d))
+ (portRef CE (instanceRef blk0000065e))
+ (portRef CE (instanceRef blk0000065f))
+ (portRef CE (instanceRef blk00000660))
+ (portRef CE (instanceRef blk00000661))
+ (portRef CE (instanceRef blk00000662))
+ (portRef CE (instanceRef blk00000663))
+ (portRef CE (instanceRef blk00000664))
+ (portRef CE (instanceRef blk00000665))
+ (portRef CE (instanceRef blk00000666))
+ (portRef CE (instanceRef blk00000667))
+ (portRef CE (instanceRef blk00000668))
+ (portRef CE (instanceRef blk00000669))
+ (portRef CE (instanceRef blk0000066a))
+ (portRef CE (instanceRef blk0000066b))
+ (portRef CE (instanceRef blk0000066c))
+ (portRef CE (instanceRef blk0000066d))
+ (portRef CE (instanceRef blk0000066e))
+ (portRef CE (instanceRef blk0000066f))
+ (portRef CE (instanceRef blk00000670))
+ (portRef CE (instanceRef blk00000671))
+ (portRef CE (instanceRef blk00000672))
+ (portRef CE (instanceRef blk00000673))
+ (portRef CE (instanceRef blk00000674))
+ (portRef CE (instanceRef blk00000675))
+ (portRef CE (instanceRef blk00000676))
+ (portRef CE (instanceRef blk00000677))
+ (portRef CE (instanceRef blk00000678))
+ (portRef CE (instanceRef blk00000679))
+ (portRef CE (instanceRef blk0000067a))
+ (portRef CE (instanceRef blk0000067b))
+ (portRef CE (instanceRef blk0000067c))
+ (portRef CE (instanceRef blk0000067d))
+ (portRef CE (instanceRef blk0000067e))
+ (portRef CE (instanceRef blk0000067f))
+ (portRef I0 (instanceRef blk00000692))
+ )
+ )
+ (net sig00001458
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000065c))
+ (portRef C (instanceRef blk0000065d))
+ (portRef C (instanceRef blk0000065e))
+ (portRef C (instanceRef blk0000065f))
+ (portRef C (instanceRef blk00000660))
+ (portRef C (instanceRef blk00000661))
+ (portRef C (instanceRef blk00000662))
+ (portRef C (instanceRef blk00000663))
+ (portRef C (instanceRef blk00000664))
+ (portRef C (instanceRef blk00000665))
+ (portRef C (instanceRef blk00000666))
+ (portRef C (instanceRef blk00000667))
+ (portRef C (instanceRef blk00000668))
+ (portRef C (instanceRef blk00000669))
+ (portRef C (instanceRef blk0000066a))
+ (portRef C (instanceRef blk0000066b))
+ (portRef C (instanceRef blk0000066c))
+ (portRef C (instanceRef blk0000066d))
+ (portRef C (instanceRef blk0000066e))
+ (portRef C (instanceRef blk0000066f))
+ (portRef C (instanceRef blk00000670))
+ (portRef C (instanceRef blk00000671))
+ (portRef C (instanceRef blk00000672))
+ (portRef C (instanceRef blk00000673))
+ (portRef C (instanceRef blk00000674))
+ (portRef C (instanceRef blk00000675))
+ (portRef C (instanceRef blk00000676))
+ (portRef C (instanceRef blk00000677))
+ (portRef C (instanceRef blk00000678))
+ (portRef C (instanceRef blk00000679))
+ (portRef C (instanceRef blk0000067a))
+ (portRef C (instanceRef blk0000067b))
+ (portRef C (instanceRef blk0000067c))
+ (portRef C (instanceRef blk0000067d))
+ (portRef C (instanceRef blk0000067e))
+ (portRef C (instanceRef blk0000067f))
+ (portRef WCLK (instanceRef blk00000680))
+ (portRef WCLK (instanceRef blk00000681))
+ (portRef WCLK (instanceRef blk00000682))
+ (portRef WCLK (instanceRef blk00000683))
+ (portRef WCLK (instanceRef blk00000684))
+ (portRef WCLK (instanceRef blk00000685))
+ (portRef WCLK (instanceRef blk00000686))
+ (portRef WCLK (instanceRef blk00000687))
+ (portRef WCLK (instanceRef blk00000688))
+ (portRef WCLK (instanceRef blk00000689))
+ (portRef WCLK (instanceRef blk0000068a))
+ (portRef WCLK (instanceRef blk0000068b))
+ (portRef WCLK (instanceRef blk0000068c))
+ (portRef WCLK (instanceRef blk0000068d))
+ (portRef WCLK (instanceRef blk0000068e))
+ (portRef WCLK (instanceRef blk0000068f))
+ (portRef WCLK (instanceRef blk00000690))
+ (portRef WCLK (instanceRef blk00000691))
+ )
+ )
+ (net sig00001459
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk0000066d))
+ )
+ )
+ (net sig0000145a
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk0000066c))
+ )
+ )
+ (net sig0000145b
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk0000066b))
+ )
+ )
+ (net sig0000145c
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk0000066a))
+ )
+ )
+ (net sig0000145d
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk00000669))
+ )
+ )
+ (net sig0000145e
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk00000668))
+ )
+ )
+ (net sig0000145f
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk00000667))
+ )
+ )
+ (net sig00001460
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk00000666))
+ )
+ )
+ (net sig00001461
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk00000665))
+ )
+ )
+ (net sig00001462
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk00000664))
+ )
+ )
+ (net sig00001463
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk00000663))
+ )
+ )
+ (net sig00001464
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk00000662))
+ )
+ )
+ (net sig00001465
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk00000661))
+ )
+ )
+ (net sig00001466
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk00000660))
+ )
+ )
+ (net sig00001467
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk0000065f))
+ )
+ )
+ (net sig00001468
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk0000065e))
+ )
+ )
+ (net sig00001469
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk0000065d))
+ )
+ )
+ (net sig0000146a
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk0000065c))
+ )
+ )
+ (net sig0000146b
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk0000067f))
+ )
+ )
+ (net sig0000146c
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000067e))
+ )
+ )
+ (net sig0000146d
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000067d))
+ )
+ )
+ (net sig0000146e
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk0000067c))
+ )
+ )
+ (net sig0000146f
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk0000067b))
+ )
+ )
+ (net sig00001470
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk0000067a))
+ )
+ )
+ (net sig00001471
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000679))
+ )
+ )
+ (net sig00001472
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000678))
+ )
+ )
+ (net sig00001473
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000677))
+ )
+ )
+ (net sig00001474
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000676))
+ )
+ )
+ (net sig00001475
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000675))
+ )
+ )
+ (net sig00001476
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000674))
+ )
+ )
+ (net sig00001477
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000673))
+ )
+ )
+ (net sig00001478
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000672))
+ )
+ )
+ (net sig00001479
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk00000671))
+ )
+ )
+ (net sig0000147a
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000670))
+ )
+ )
+ (net sig0000147b
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk0000066f))
+ )
+ )
+ (net sig0000147c
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000066e))
+ )
+ )
+ (net sig0000147d
+ (joined
+ (portRef G (instanceRef blk0000065b))
+ (portRef A2 (instanceRef blk00000680))
+ (portRef A3 (instanceRef blk00000680))
+ (portRef A4 (instanceRef blk00000680))
+ (portRef DPRA2 (instanceRef blk00000680))
+ (portRef DPRA3 (instanceRef blk00000680))
+ (portRef DPRA4 (instanceRef blk00000680))
+ (portRef A2 (instanceRef blk00000681))
+ (portRef A3 (instanceRef blk00000681))
+ (portRef A4 (instanceRef blk00000681))
+ (portRef DPRA2 (instanceRef blk00000681))
+ (portRef DPRA3 (instanceRef blk00000681))
+ (portRef DPRA4 (instanceRef blk00000681))
+ (portRef A2 (instanceRef blk00000682))
+ (portRef A3 (instanceRef blk00000682))
+ (portRef A4 (instanceRef blk00000682))
+ (portRef DPRA2 (instanceRef blk00000682))
+ (portRef DPRA3 (instanceRef blk00000682))
+ (portRef DPRA4 (instanceRef blk00000682))
+ (portRef A2 (instanceRef blk00000683))
+ (portRef A3 (instanceRef blk00000683))
+ (portRef A4 (instanceRef blk00000683))
+ (portRef DPRA2 (instanceRef blk00000683))
+ (portRef DPRA3 (instanceRef blk00000683))
+ (portRef DPRA4 (instanceRef blk00000683))
+ (portRef A2 (instanceRef blk00000684))
+ (portRef A3 (instanceRef blk00000684))
+ (portRef A4 (instanceRef blk00000684))
+ (portRef DPRA2 (instanceRef blk00000684))
+ (portRef DPRA3 (instanceRef blk00000684))
+ (portRef DPRA4 (instanceRef blk00000684))
+ (portRef A2 (instanceRef blk00000685))
+ (portRef A3 (instanceRef blk00000685))
+ (portRef A4 (instanceRef blk00000685))
+ (portRef DPRA2 (instanceRef blk00000685))
+ (portRef DPRA3 (instanceRef blk00000685))
+ (portRef DPRA4 (instanceRef blk00000685))
+ (portRef A2 (instanceRef blk00000686))
+ (portRef A3 (instanceRef blk00000686))
+ (portRef A4 (instanceRef blk00000686))
+ (portRef DPRA2 (instanceRef blk00000686))
+ (portRef DPRA3 (instanceRef blk00000686))
+ (portRef DPRA4 (instanceRef blk00000686))
+ (portRef A2 (instanceRef blk00000687))
+ (portRef A3 (instanceRef blk00000687))
+ (portRef A4 (instanceRef blk00000687))
+ (portRef DPRA2 (instanceRef blk00000687))
+ (portRef DPRA3 (instanceRef blk00000687))
+ (portRef DPRA4 (instanceRef blk00000687))
+ (portRef A2 (instanceRef blk00000688))
+ (portRef A3 (instanceRef blk00000688))
+ (portRef A4 (instanceRef blk00000688))
+ (portRef DPRA2 (instanceRef blk00000688))
+ (portRef DPRA3 (instanceRef blk00000688))
+ (portRef DPRA4 (instanceRef blk00000688))
+ (portRef A2 (instanceRef blk00000689))
+ (portRef A3 (instanceRef blk00000689))
+ (portRef A4 (instanceRef blk00000689))
+ (portRef DPRA2 (instanceRef blk00000689))
+ (portRef DPRA3 (instanceRef blk00000689))
+ (portRef DPRA4 (instanceRef blk00000689))
+ (portRef A2 (instanceRef blk0000068a))
+ (portRef A3 (instanceRef blk0000068a))
+ (portRef A4 (instanceRef blk0000068a))
+ (portRef DPRA2 (instanceRef blk0000068a))
+ (portRef DPRA3 (instanceRef blk0000068a))
+ (portRef DPRA4 (instanceRef blk0000068a))
+ (portRef A2 (instanceRef blk0000068b))
+ (portRef A3 (instanceRef blk0000068b))
+ (portRef A4 (instanceRef blk0000068b))
+ (portRef DPRA2 (instanceRef blk0000068b))
+ (portRef DPRA3 (instanceRef blk0000068b))
+ (portRef DPRA4 (instanceRef blk0000068b))
+ (portRef A2 (instanceRef blk0000068c))
+ (portRef A3 (instanceRef blk0000068c))
+ (portRef A4 (instanceRef blk0000068c))
+ (portRef DPRA2 (instanceRef blk0000068c))
+ (portRef DPRA3 (instanceRef blk0000068c))
+ (portRef DPRA4 (instanceRef blk0000068c))
+ (portRef A2 (instanceRef blk0000068d))
+ (portRef A3 (instanceRef blk0000068d))
+ (portRef A4 (instanceRef blk0000068d))
+ (portRef DPRA2 (instanceRef blk0000068d))
+ (portRef DPRA3 (instanceRef blk0000068d))
+ (portRef DPRA4 (instanceRef blk0000068d))
+ (portRef A2 (instanceRef blk0000068e))
+ (portRef A3 (instanceRef blk0000068e))
+ (portRef A4 (instanceRef blk0000068e))
+ (portRef DPRA2 (instanceRef blk0000068e))
+ (portRef DPRA3 (instanceRef blk0000068e))
+ (portRef DPRA4 (instanceRef blk0000068e))
+ (portRef A2 (instanceRef blk0000068f))
+ (portRef A3 (instanceRef blk0000068f))
+ (portRef A4 (instanceRef blk0000068f))
+ (portRef DPRA2 (instanceRef blk0000068f))
+ (portRef DPRA3 (instanceRef blk0000068f))
+ (portRef DPRA4 (instanceRef blk0000068f))
+ (portRef A2 (instanceRef blk00000690))
+ (portRef A3 (instanceRef blk00000690))
+ (portRef A4 (instanceRef blk00000690))
+ (portRef DPRA2 (instanceRef blk00000690))
+ (portRef DPRA3 (instanceRef blk00000690))
+ (portRef DPRA4 (instanceRef blk00000690))
+ (portRef A2 (instanceRef blk00000691))
+ (portRef A3 (instanceRef blk00000691))
+ (portRef A4 (instanceRef blk00000691))
+ (portRef DPRA2 (instanceRef blk00000691))
+ (portRef DPRA3 (instanceRef blk00000691))
+ (portRef DPRA4 (instanceRef blk00000691))
+ )
+ )
+ (net sig0000147e
+ (joined
+ (portRef D (instanceRef blk0000065c))
+ (portRef SPO (instanceRef blk00000681))
+ )
+ )
+ (net sig0000147f
+ (joined
+ (portRef D (instanceRef blk0000065d))
+ (portRef SPO (instanceRef blk00000682))
+ )
+ )
+ (net sig00001480
+ (joined
+ (portRef D (instanceRef blk0000065e))
+ (portRef SPO (instanceRef blk00000680))
+ )
+ )
+ (net sig00001481
+ (joined
+ (portRef D (instanceRef blk0000065f))
+ (portRef SPO (instanceRef blk00000683))
+ )
+ )
+ (net sig00001482
+ (joined
+ (portRef D (instanceRef blk00000660))
+ (portRef SPO (instanceRef blk00000684))
+ )
+ )
+ (net sig00001483
+ (joined
+ (portRef D (instanceRef blk00000661))
+ (portRef SPO (instanceRef blk00000685))
+ )
+ )
+ (net sig00001484
+ (joined
+ (portRef D (instanceRef blk00000662))
+ (portRef SPO (instanceRef blk00000686))
+ )
+ )
+ (net sig00001485
+ (joined
+ (portRef D (instanceRef blk00000663))
+ (portRef SPO (instanceRef blk00000687))
+ )
+ )
+ (net sig00001486
+ (joined
+ (portRef D (instanceRef blk00000664))
+ (portRef SPO (instanceRef blk00000688))
+ )
+ )
+ (net sig00001487
+ (joined
+ (portRef D (instanceRef blk00000665))
+ (portRef SPO (instanceRef blk0000068a))
+ )
+ )
+ (net sig00001488
+ (joined
+ (portRef D (instanceRef blk00000666))
+ (portRef SPO (instanceRef blk0000068b))
+ )
+ )
+ (net sig00001489
+ (joined
+ (portRef D (instanceRef blk00000667))
+ (portRef SPO (instanceRef blk00000689))
+ )
+ )
+ (net sig0000148a
+ (joined
+ (portRef D (instanceRef blk00000668))
+ (portRef SPO (instanceRef blk0000068c))
+ )
+ )
+ (net sig0000148b
+ (joined
+ (portRef D (instanceRef blk00000669))
+ (portRef SPO (instanceRef blk0000068d))
+ )
+ )
+ (net sig0000148c
+ (joined
+ (portRef D (instanceRef blk0000066a))
+ (portRef SPO (instanceRef blk0000068e))
+ )
+ )
+ (net sig0000148d
+ (joined
+ (portRef D (instanceRef blk0000066b))
+ (portRef SPO (instanceRef blk0000068f))
+ )
+ )
+ (net sig0000148e
+ (joined
+ (portRef D (instanceRef blk0000066c))
+ (portRef SPO (instanceRef blk00000690))
+ )
+ )
+ (net sig0000148f
+ (joined
+ (portRef D (instanceRef blk0000066d))
+ (portRef SPO (instanceRef blk00000691))
+ )
+ )
+ (net sig00001490
+ (joined
+ (portRef D (instanceRef blk0000066e))
+ (portRef DPO (instanceRef blk00000681))
+ )
+ )
+ (net sig00001491
+ (joined
+ (portRef D (instanceRef blk0000066f))
+ (portRef DPO (instanceRef blk00000682))
+ )
+ )
+ (net sig00001492
+ (joined
+ (portRef D (instanceRef blk00000670))
+ (portRef DPO (instanceRef blk00000680))
+ )
+ )
+ (net sig00001493
+ (joined
+ (portRef D (instanceRef blk00000671))
+ (portRef DPO (instanceRef blk00000683))
+ )
+ )
+ (net sig00001494
+ (joined
+ (portRef D (instanceRef blk00000672))
+ (portRef DPO (instanceRef blk00000684))
+ )
+ )
+ (net sig00001495
+ (joined
+ (portRef D (instanceRef blk00000673))
+ (portRef DPO (instanceRef blk00000685))
+ )
+ )
+ (net sig00001496
+ (joined
+ (portRef D (instanceRef blk00000674))
+ (portRef DPO (instanceRef blk00000686))
+ )
+ )
+ (net sig00001497
+ (joined
+ (portRef D (instanceRef blk00000675))
+ (portRef DPO (instanceRef blk00000687))
+ )
+ )
+ (net sig00001498
+ (joined
+ (portRef D (instanceRef blk00000676))
+ (portRef DPO (instanceRef blk00000688))
+ )
+ )
+ (net sig00001499
+ (joined
+ (portRef D (instanceRef blk00000677))
+ (portRef DPO (instanceRef blk0000068a))
+ )
+ )
+ (net sig0000149a
+ (joined
+ (portRef D (instanceRef blk00000678))
+ (portRef DPO (instanceRef blk0000068b))
+ )
+ )
+ (net sig0000149b
+ (joined
+ (portRef D (instanceRef blk00000679))
+ (portRef DPO (instanceRef blk00000689))
+ )
+ )
+ (net sig0000149c
+ (joined
+ (portRef D (instanceRef blk0000067a))
+ (portRef DPO (instanceRef blk0000068c))
+ )
+ )
+ (net sig0000149d
+ (joined
+ (portRef D (instanceRef blk0000067b))
+ (portRef DPO (instanceRef blk0000068d))
+ )
+ )
+ (net sig0000149e
+ (joined
+ (portRef D (instanceRef blk0000067c))
+ (portRef DPO (instanceRef blk0000068e))
+ )
+ )
+ (net sig0000149f
+ (joined
+ (portRef D (instanceRef blk0000067d))
+ (portRef DPO (instanceRef blk0000068f))
+ )
+ )
+ (net sig000014a0
+ (joined
+ (portRef D (instanceRef blk0000067e))
+ (portRef DPO (instanceRef blk00000690))
+ )
+ )
+ (net sig000014a1
+ (joined
+ (portRef D (instanceRef blk0000067f))
+ (portRef DPO (instanceRef blk00000691))
+ )
+ )
+ (net sig000014a2
+ (joined
+ (portRef WE (instanceRef blk00000680))
+ (portRef WE (instanceRef blk00000681))
+ (portRef WE (instanceRef blk00000682))
+ (portRef WE (instanceRef blk00000683))
+ (portRef WE (instanceRef blk00000684))
+ (portRef WE (instanceRef blk00000685))
+ (portRef WE (instanceRef blk00000686))
+ (portRef WE (instanceRef blk00000687))
+ (portRef WE (instanceRef blk00000688))
+ (portRef WE (instanceRef blk00000689))
+ (portRef WE (instanceRef blk0000068a))
+ (portRef WE (instanceRef blk0000068b))
+ (portRef WE (instanceRef blk0000068c))
+ (portRef WE (instanceRef blk0000068d))
+ (portRef WE (instanceRef blk0000068e))
+ (portRef WE (instanceRef blk0000068f))
+ (portRef WE (instanceRef blk00000690))
+ (portRef WE (instanceRef blk00000691))
+ (portRef O (instanceRef blk00000692))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_3_blk00000621 "dpr_ram_3")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000622
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000623
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000624
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000625
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000626
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000627
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000628
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000629
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000630
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000631
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000632
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000633
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000634
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000635
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000636
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000637
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000638
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000639
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000640
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000641
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000642
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000643
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000644
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000645
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000646
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000647
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000648
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000649
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk0000064a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000064b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk0000064c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000064d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk0000064e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000064f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000650
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000651
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000652
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000653
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000654
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000655
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000656
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000657
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000658
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000659
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000013dd
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk00000647))
+ (portRef A1 (instanceRef blk00000648))
+ (portRef A1 (instanceRef blk00000649))
+ (portRef A1 (instanceRef blk0000064a))
+ (portRef A1 (instanceRef blk0000064b))
+ (portRef A1 (instanceRef blk0000064c))
+ (portRef A1 (instanceRef blk0000064d))
+ (portRef A1 (instanceRef blk0000064e))
+ (portRef A1 (instanceRef blk0000064f))
+ (portRef A1 (instanceRef blk00000650))
+ (portRef A1 (instanceRef blk00000651))
+ (portRef A1 (instanceRef blk00000652))
+ (portRef A1 (instanceRef blk00000653))
+ (portRef A1 (instanceRef blk00000654))
+ (portRef A1 (instanceRef blk00000655))
+ (portRef A1 (instanceRef blk00000656))
+ (portRef A1 (instanceRef blk00000657))
+ (portRef A1 (instanceRef blk00000658))
+ )
+ )
+ (net sig000013de
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk00000647))
+ (portRef A0 (instanceRef blk00000648))
+ (portRef A0 (instanceRef blk00000649))
+ (portRef A0 (instanceRef blk0000064a))
+ (portRef A0 (instanceRef blk0000064b))
+ (portRef A0 (instanceRef blk0000064c))
+ (portRef A0 (instanceRef blk0000064d))
+ (portRef A0 (instanceRef blk0000064e))
+ (portRef A0 (instanceRef blk0000064f))
+ (portRef A0 (instanceRef blk00000650))
+ (portRef A0 (instanceRef blk00000651))
+ (portRef A0 (instanceRef blk00000652))
+ (portRef A0 (instanceRef blk00000653))
+ (portRef A0 (instanceRef blk00000654))
+ (portRef A0 (instanceRef blk00000655))
+ (portRef A0 (instanceRef blk00000656))
+ (portRef A0 (instanceRef blk00000657))
+ (portRef A0 (instanceRef blk00000658))
+ )
+ )
+ (net sig000013df
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000658))
+ )
+ )
+ (net sig000013e0
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000657))
+ )
+ )
+ (net sig000013e1
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk00000656))
+ )
+ )
+ (net sig000013e2
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000655))
+ )
+ )
+ (net sig000013e3
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk00000654))
+ )
+ )
+ (net sig000013e4
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk00000653))
+ )
+ )
+ (net sig000013e5
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000650))
+ )
+ )
+ (net sig000013e6
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000652))
+ )
+ )
+ (net sig000013e7
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000651))
+ )
+ )
+ (net sig000013e8
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk0000064f))
+ )
+ )
+ (net sig000013e9
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk0000064e))
+ )
+ )
+ (net sig000013ea
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk0000064d))
+ )
+ )
+ (net sig000013eb
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk0000064c))
+ )
+ )
+ (net sig000013ec
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk0000064b))
+ )
+ )
+ (net sig000013ed
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk0000064a))
+ )
+ )
+ (net sig000013ee
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk00000647))
+ )
+ )
+ (net sig000013ef
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000649))
+ )
+ )
+ (net sig000013f0
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk00000648))
+ )
+ )
+ (net sig000013f1
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk00000647))
+ (portRef DPRA1 (instanceRef blk00000648))
+ (portRef DPRA1 (instanceRef blk00000649))
+ (portRef DPRA1 (instanceRef blk0000064a))
+ (portRef DPRA1 (instanceRef blk0000064b))
+ (portRef DPRA1 (instanceRef blk0000064c))
+ (portRef DPRA1 (instanceRef blk0000064d))
+ (portRef DPRA1 (instanceRef blk0000064e))
+ (portRef DPRA1 (instanceRef blk0000064f))
+ (portRef DPRA1 (instanceRef blk00000650))
+ (portRef DPRA1 (instanceRef blk00000651))
+ (portRef DPRA1 (instanceRef blk00000652))
+ (portRef DPRA1 (instanceRef blk00000653))
+ (portRef DPRA1 (instanceRef blk00000654))
+ (portRef DPRA1 (instanceRef blk00000655))
+ (portRef DPRA1 (instanceRef blk00000656))
+ (portRef DPRA1 (instanceRef blk00000657))
+ (portRef DPRA1 (instanceRef blk00000658))
+ )
+ )
+ (net sig000013f2
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk00000647))
+ (portRef DPRA0 (instanceRef blk00000648))
+ (portRef DPRA0 (instanceRef blk00000649))
+ (portRef DPRA0 (instanceRef blk0000064a))
+ (portRef DPRA0 (instanceRef blk0000064b))
+ (portRef DPRA0 (instanceRef blk0000064c))
+ (portRef DPRA0 (instanceRef blk0000064d))
+ (portRef DPRA0 (instanceRef blk0000064e))
+ (portRef DPRA0 (instanceRef blk0000064f))
+ (portRef DPRA0 (instanceRef blk00000650))
+ (portRef DPRA0 (instanceRef blk00000651))
+ (portRef DPRA0 (instanceRef blk00000652))
+ (portRef DPRA0 (instanceRef blk00000653))
+ (portRef DPRA0 (instanceRef blk00000654))
+ (portRef DPRA0 (instanceRef blk00000655))
+ (portRef DPRA0 (instanceRef blk00000656))
+ (portRef DPRA0 (instanceRef blk00000657))
+ (portRef DPRA0 (instanceRef blk00000658))
+ )
+ )
+ (net sig000013f3
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000659))
+ )
+ )
+ (net sig000013f4
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000623))
+ (portRef CE (instanceRef blk00000624))
+ (portRef CE (instanceRef blk00000625))
+ (portRef CE (instanceRef blk00000626))
+ (portRef CE (instanceRef blk00000627))
+ (portRef CE (instanceRef blk00000628))
+ (portRef CE (instanceRef blk00000629))
+ (portRef CE (instanceRef blk0000062a))
+ (portRef CE (instanceRef blk0000062b))
+ (portRef CE (instanceRef blk0000062c))
+ (portRef CE (instanceRef blk0000062d))
+ (portRef CE (instanceRef blk0000062e))
+ (portRef CE (instanceRef blk0000062f))
+ (portRef CE (instanceRef blk00000630))
+ (portRef CE (instanceRef blk00000631))
+ (portRef CE (instanceRef blk00000632))
+ (portRef CE (instanceRef blk00000633))
+ (portRef CE (instanceRef blk00000634))
+ (portRef CE (instanceRef blk00000635))
+ (portRef CE (instanceRef blk00000636))
+ (portRef CE (instanceRef blk00000637))
+ (portRef CE (instanceRef blk00000638))
+ (portRef CE (instanceRef blk00000639))
+ (portRef CE (instanceRef blk0000063a))
+ (portRef CE (instanceRef blk0000063b))
+ (portRef CE (instanceRef blk0000063c))
+ (portRef CE (instanceRef blk0000063d))
+ (portRef CE (instanceRef blk0000063e))
+ (portRef CE (instanceRef blk0000063f))
+ (portRef CE (instanceRef blk00000640))
+ (portRef CE (instanceRef blk00000641))
+ (portRef CE (instanceRef blk00000642))
+ (portRef CE (instanceRef blk00000643))
+ (portRef CE (instanceRef blk00000644))
+ (portRef CE (instanceRef blk00000645))
+ (portRef CE (instanceRef blk00000646))
+ (portRef I0 (instanceRef blk00000659))
+ )
+ )
+ (net sig000013f5
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000623))
+ (portRef C (instanceRef blk00000624))
+ (portRef C (instanceRef blk00000625))
+ (portRef C (instanceRef blk00000626))
+ (portRef C (instanceRef blk00000627))
+ (portRef C (instanceRef blk00000628))
+ (portRef C (instanceRef blk00000629))
+ (portRef C (instanceRef blk0000062a))
+ (portRef C (instanceRef blk0000062b))
+ (portRef C (instanceRef blk0000062c))
+ (portRef C (instanceRef blk0000062d))
+ (portRef C (instanceRef blk0000062e))
+ (portRef C (instanceRef blk0000062f))
+ (portRef C (instanceRef blk00000630))
+ (portRef C (instanceRef blk00000631))
+ (portRef C (instanceRef blk00000632))
+ (portRef C (instanceRef blk00000633))
+ (portRef C (instanceRef blk00000634))
+ (portRef C (instanceRef blk00000635))
+ (portRef C (instanceRef blk00000636))
+ (portRef C (instanceRef blk00000637))
+ (portRef C (instanceRef blk00000638))
+ (portRef C (instanceRef blk00000639))
+ (portRef C (instanceRef blk0000063a))
+ (portRef C (instanceRef blk0000063b))
+ (portRef C (instanceRef blk0000063c))
+ (portRef C (instanceRef blk0000063d))
+ (portRef C (instanceRef blk0000063e))
+ (portRef C (instanceRef blk0000063f))
+ (portRef C (instanceRef blk00000640))
+ (portRef C (instanceRef blk00000641))
+ (portRef C (instanceRef blk00000642))
+ (portRef C (instanceRef blk00000643))
+ (portRef C (instanceRef blk00000644))
+ (portRef C (instanceRef blk00000645))
+ (portRef C (instanceRef blk00000646))
+ (portRef WCLK (instanceRef blk00000647))
+ (portRef WCLK (instanceRef blk00000648))
+ (portRef WCLK (instanceRef blk00000649))
+ (portRef WCLK (instanceRef blk0000064a))
+ (portRef WCLK (instanceRef blk0000064b))
+ (portRef WCLK (instanceRef blk0000064c))
+ (portRef WCLK (instanceRef blk0000064d))
+ (portRef WCLK (instanceRef blk0000064e))
+ (portRef WCLK (instanceRef blk0000064f))
+ (portRef WCLK (instanceRef blk00000650))
+ (portRef WCLK (instanceRef blk00000651))
+ (portRef WCLK (instanceRef blk00000652))
+ (portRef WCLK (instanceRef blk00000653))
+ (portRef WCLK (instanceRef blk00000654))
+ (portRef WCLK (instanceRef blk00000655))
+ (portRef WCLK (instanceRef blk00000656))
+ (portRef WCLK (instanceRef blk00000657))
+ (portRef WCLK (instanceRef blk00000658))
+ )
+ )
+ (net sig000013f6
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk00000634))
+ )
+ )
+ (net sig000013f7
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk00000633))
+ )
+ )
+ (net sig000013f8
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk00000632))
+ )
+ )
+ (net sig000013f9
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk00000631))
+ )
+ )
+ (net sig000013fa
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk00000630))
+ )
+ )
+ (net sig000013fb
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk0000062f))
+ )
+ )
+ (net sig000013fc
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk0000062e))
+ )
+ )
+ (net sig000013fd
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk0000062d))
+ )
+ )
+ (net sig000013fe
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk0000062c))
+ )
+ )
+ (net sig000013ff
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk0000062b))
+ )
+ )
+ (net sig00001400
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk0000062a))
+ )
+ )
+ (net sig00001401
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk00000629))
+ )
+ )
+ (net sig00001402
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk00000628))
+ )
+ )
+ (net sig00001403
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk00000627))
+ )
+ )
+ (net sig00001404
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk00000626))
+ )
+ )
+ (net sig00001405
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk00000625))
+ )
+ )
+ (net sig00001406
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk00000624))
+ )
+ )
+ (net sig00001407
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk00000623))
+ )
+ )
+ (net sig00001408
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk00000646))
+ )
+ )
+ (net sig00001409
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk00000645))
+ )
+ )
+ (net sig0000140a
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk00000644))
+ )
+ )
+ (net sig0000140b
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk00000643))
+ )
+ )
+ (net sig0000140c
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000642))
+ )
+ )
+ (net sig0000140d
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk00000641))
+ )
+ )
+ (net sig0000140e
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000640))
+ )
+ )
+ (net sig0000140f
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk0000063f))
+ )
+ )
+ (net sig00001410
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk0000063e))
+ )
+ )
+ (net sig00001411
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk0000063d))
+ )
+ )
+ (net sig00001412
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk0000063c))
+ )
+ )
+ (net sig00001413
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk0000063b))
+ )
+ )
+ (net sig00001414
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk0000063a))
+ )
+ )
+ (net sig00001415
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000639))
+ )
+ )
+ (net sig00001416
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk00000638))
+ )
+ )
+ (net sig00001417
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000637))
+ )
+ )
+ (net sig00001418
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk00000636))
+ )
+ )
+ (net sig00001419
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk00000635))
+ )
+ )
+ (net sig0000141a
+ (joined
+ (portRef G (instanceRef blk00000622))
+ (portRef A2 (instanceRef blk00000647))
+ (portRef A3 (instanceRef blk00000647))
+ (portRef A4 (instanceRef blk00000647))
+ (portRef DPRA2 (instanceRef blk00000647))
+ (portRef DPRA3 (instanceRef blk00000647))
+ (portRef DPRA4 (instanceRef blk00000647))
+ (portRef A2 (instanceRef blk00000648))
+ (portRef A3 (instanceRef blk00000648))
+ (portRef A4 (instanceRef blk00000648))
+ (portRef DPRA2 (instanceRef blk00000648))
+ (portRef DPRA3 (instanceRef blk00000648))
+ (portRef DPRA4 (instanceRef blk00000648))
+ (portRef A2 (instanceRef blk00000649))
+ (portRef A3 (instanceRef blk00000649))
+ (portRef A4 (instanceRef blk00000649))
+ (portRef DPRA2 (instanceRef blk00000649))
+ (portRef DPRA3 (instanceRef blk00000649))
+ (portRef DPRA4 (instanceRef blk00000649))
+ (portRef A2 (instanceRef blk0000064a))
+ (portRef A3 (instanceRef blk0000064a))
+ (portRef A4 (instanceRef blk0000064a))
+ (portRef DPRA2 (instanceRef blk0000064a))
+ (portRef DPRA3 (instanceRef blk0000064a))
+ (portRef DPRA4 (instanceRef blk0000064a))
+ (portRef A2 (instanceRef blk0000064b))
+ (portRef A3 (instanceRef blk0000064b))
+ (portRef A4 (instanceRef blk0000064b))
+ (portRef DPRA2 (instanceRef blk0000064b))
+ (portRef DPRA3 (instanceRef blk0000064b))
+ (portRef DPRA4 (instanceRef blk0000064b))
+ (portRef A2 (instanceRef blk0000064c))
+ (portRef A3 (instanceRef blk0000064c))
+ (portRef A4 (instanceRef blk0000064c))
+ (portRef DPRA2 (instanceRef blk0000064c))
+ (portRef DPRA3 (instanceRef blk0000064c))
+ (portRef DPRA4 (instanceRef blk0000064c))
+ (portRef A2 (instanceRef blk0000064d))
+ (portRef A3 (instanceRef blk0000064d))
+ (portRef A4 (instanceRef blk0000064d))
+ (portRef DPRA2 (instanceRef blk0000064d))
+ (portRef DPRA3 (instanceRef blk0000064d))
+ (portRef DPRA4 (instanceRef blk0000064d))
+ (portRef A2 (instanceRef blk0000064e))
+ (portRef A3 (instanceRef blk0000064e))
+ (portRef A4 (instanceRef blk0000064e))
+ (portRef DPRA2 (instanceRef blk0000064e))
+ (portRef DPRA3 (instanceRef blk0000064e))
+ (portRef DPRA4 (instanceRef blk0000064e))
+ (portRef A2 (instanceRef blk0000064f))
+ (portRef A3 (instanceRef blk0000064f))
+ (portRef A4 (instanceRef blk0000064f))
+ (portRef DPRA2 (instanceRef blk0000064f))
+ (portRef DPRA3 (instanceRef blk0000064f))
+ (portRef DPRA4 (instanceRef blk0000064f))
+ (portRef A2 (instanceRef blk00000650))
+ (portRef A3 (instanceRef blk00000650))
+ (portRef A4 (instanceRef blk00000650))
+ (portRef DPRA2 (instanceRef blk00000650))
+ (portRef DPRA3 (instanceRef blk00000650))
+ (portRef DPRA4 (instanceRef blk00000650))
+ (portRef A2 (instanceRef blk00000651))
+ (portRef A3 (instanceRef blk00000651))
+ (portRef A4 (instanceRef blk00000651))
+ (portRef DPRA2 (instanceRef blk00000651))
+ (portRef DPRA3 (instanceRef blk00000651))
+ (portRef DPRA4 (instanceRef blk00000651))
+ (portRef A2 (instanceRef blk00000652))
+ (portRef A3 (instanceRef blk00000652))
+ (portRef A4 (instanceRef blk00000652))
+ (portRef DPRA2 (instanceRef blk00000652))
+ (portRef DPRA3 (instanceRef blk00000652))
+ (portRef DPRA4 (instanceRef blk00000652))
+ (portRef A2 (instanceRef blk00000653))
+ (portRef A3 (instanceRef blk00000653))
+ (portRef A4 (instanceRef blk00000653))
+ (portRef DPRA2 (instanceRef blk00000653))
+ (portRef DPRA3 (instanceRef blk00000653))
+ (portRef DPRA4 (instanceRef blk00000653))
+ (portRef A2 (instanceRef blk00000654))
+ (portRef A3 (instanceRef blk00000654))
+ (portRef A4 (instanceRef blk00000654))
+ (portRef DPRA2 (instanceRef blk00000654))
+ (portRef DPRA3 (instanceRef blk00000654))
+ (portRef DPRA4 (instanceRef blk00000654))
+ (portRef A2 (instanceRef blk00000655))
+ (portRef A3 (instanceRef blk00000655))
+ (portRef A4 (instanceRef blk00000655))
+ (portRef DPRA2 (instanceRef blk00000655))
+ (portRef DPRA3 (instanceRef blk00000655))
+ (portRef DPRA4 (instanceRef blk00000655))
+ (portRef A2 (instanceRef blk00000656))
+ (portRef A3 (instanceRef blk00000656))
+ (portRef A4 (instanceRef blk00000656))
+ (portRef DPRA2 (instanceRef blk00000656))
+ (portRef DPRA3 (instanceRef blk00000656))
+ (portRef DPRA4 (instanceRef blk00000656))
+ (portRef A2 (instanceRef blk00000657))
+ (portRef A3 (instanceRef blk00000657))
+ (portRef A4 (instanceRef blk00000657))
+ (portRef DPRA2 (instanceRef blk00000657))
+ (portRef DPRA3 (instanceRef blk00000657))
+ (portRef DPRA4 (instanceRef blk00000657))
+ (portRef A2 (instanceRef blk00000658))
+ (portRef A3 (instanceRef blk00000658))
+ (portRef A4 (instanceRef blk00000658))
+ (portRef DPRA2 (instanceRef blk00000658))
+ (portRef DPRA3 (instanceRef blk00000658))
+ (portRef DPRA4 (instanceRef blk00000658))
+ )
+ )
+ (net sig0000141b
+ (joined
+ (portRef D (instanceRef blk00000623))
+ (portRef SPO (instanceRef blk00000648))
+ )
+ )
+ (net sig0000141c
+ (joined
+ (portRef D (instanceRef blk00000624))
+ (portRef SPO (instanceRef blk00000649))
+ )
+ )
+ (net sig0000141d
+ (joined
+ (portRef D (instanceRef blk00000625))
+ (portRef SPO (instanceRef blk00000647))
+ )
+ )
+ (net sig0000141e
+ (joined
+ (portRef D (instanceRef blk00000626))
+ (portRef SPO (instanceRef blk0000064a))
+ )
+ )
+ (net sig0000141f
+ (joined
+ (portRef D (instanceRef blk00000627))
+ (portRef SPO (instanceRef blk0000064b))
+ )
+ )
+ (net sig00001420
+ (joined
+ (portRef D (instanceRef blk00000628))
+ (portRef SPO (instanceRef blk0000064c))
+ )
+ )
+ (net sig00001421
+ (joined
+ (portRef D (instanceRef blk00000629))
+ (portRef SPO (instanceRef blk0000064d))
+ )
+ )
+ (net sig00001422
+ (joined
+ (portRef D (instanceRef blk0000062a))
+ (portRef SPO (instanceRef blk0000064e))
+ )
+ )
+ (net sig00001423
+ (joined
+ (portRef D (instanceRef blk0000062b))
+ (portRef SPO (instanceRef blk0000064f))
+ )
+ )
+ (net sig00001424
+ (joined
+ (portRef D (instanceRef blk0000062c))
+ (portRef SPO (instanceRef blk00000651))
+ )
+ )
+ (net sig00001425
+ (joined
+ (portRef D (instanceRef blk0000062d))
+ (portRef SPO (instanceRef blk00000652))
+ )
+ )
+ (net sig00001426
+ (joined
+ (portRef D (instanceRef blk0000062e))
+ (portRef SPO (instanceRef blk00000650))
+ )
+ )
+ (net sig00001427
+ (joined
+ (portRef D (instanceRef blk0000062f))
+ (portRef SPO (instanceRef blk00000653))
+ )
+ )
+ (net sig00001428
+ (joined
+ (portRef D (instanceRef blk00000630))
+ (portRef SPO (instanceRef blk00000654))
+ )
+ )
+ (net sig00001429
+ (joined
+ (portRef D (instanceRef blk00000631))
+ (portRef SPO (instanceRef blk00000655))
+ )
+ )
+ (net sig0000142a
+ (joined
+ (portRef D (instanceRef blk00000632))
+ (portRef SPO (instanceRef blk00000656))
+ )
+ )
+ (net sig0000142b
+ (joined
+ (portRef D (instanceRef blk00000633))
+ (portRef SPO (instanceRef blk00000657))
+ )
+ )
+ (net sig0000142c
+ (joined
+ (portRef D (instanceRef blk00000634))
+ (portRef SPO (instanceRef blk00000658))
+ )
+ )
+ (net sig0000142d
+ (joined
+ (portRef D (instanceRef blk00000635))
+ (portRef DPO (instanceRef blk00000648))
+ )
+ )
+ (net sig0000142e
+ (joined
+ (portRef D (instanceRef blk00000636))
+ (portRef DPO (instanceRef blk00000649))
+ )
+ )
+ (net sig0000142f
+ (joined
+ (portRef D (instanceRef blk00000637))
+ (portRef DPO (instanceRef blk00000647))
+ )
+ )
+ (net sig00001430
+ (joined
+ (portRef D (instanceRef blk00000638))
+ (portRef DPO (instanceRef blk0000064a))
+ )
+ )
+ (net sig00001431
+ (joined
+ (portRef D (instanceRef blk00000639))
+ (portRef DPO (instanceRef blk0000064b))
+ )
+ )
+ (net sig00001432
+ (joined
+ (portRef D (instanceRef blk0000063a))
+ (portRef DPO (instanceRef blk0000064c))
+ )
+ )
+ (net sig00001433
+ (joined
+ (portRef D (instanceRef blk0000063b))
+ (portRef DPO (instanceRef blk0000064d))
+ )
+ )
+ (net sig00001434
+ (joined
+ (portRef D (instanceRef blk0000063c))
+ (portRef DPO (instanceRef blk0000064e))
+ )
+ )
+ (net sig00001435
+ (joined
+ (portRef D (instanceRef blk0000063d))
+ (portRef DPO (instanceRef blk0000064f))
+ )
+ )
+ (net sig00001436
+ (joined
+ (portRef D (instanceRef blk0000063e))
+ (portRef DPO (instanceRef blk00000651))
+ )
+ )
+ (net sig00001437
+ (joined
+ (portRef D (instanceRef blk0000063f))
+ (portRef DPO (instanceRef blk00000652))
+ )
+ )
+ (net sig00001438
+ (joined
+ (portRef D (instanceRef blk00000640))
+ (portRef DPO (instanceRef blk00000650))
+ )
+ )
+ (net sig00001439
+ (joined
+ (portRef D (instanceRef blk00000641))
+ (portRef DPO (instanceRef blk00000653))
+ )
+ )
+ (net sig0000143a
+ (joined
+ (portRef D (instanceRef blk00000642))
+ (portRef DPO (instanceRef blk00000654))
+ )
+ )
+ (net sig0000143b
+ (joined
+ (portRef D (instanceRef blk00000643))
+ (portRef DPO (instanceRef blk00000655))
+ )
+ )
+ (net sig0000143c
+ (joined
+ (portRef D (instanceRef blk00000644))
+ (portRef DPO (instanceRef blk00000656))
+ )
+ )
+ (net sig0000143d
+ (joined
+ (portRef D (instanceRef blk00000645))
+ (portRef DPO (instanceRef blk00000657))
+ )
+ )
+ (net sig0000143e
+ (joined
+ (portRef D (instanceRef blk00000646))
+ (portRef DPO (instanceRef blk00000658))
+ )
+ )
+ (net sig0000143f
+ (joined
+ (portRef WE (instanceRef blk00000647))
+ (portRef WE (instanceRef blk00000648))
+ (portRef WE (instanceRef blk00000649))
+ (portRef WE (instanceRef blk0000064a))
+ (portRef WE (instanceRef blk0000064b))
+ (portRef WE (instanceRef blk0000064c))
+ (portRef WE (instanceRef blk0000064d))
+ (portRef WE (instanceRef blk0000064e))
+ (portRef WE (instanceRef blk0000064f))
+ (portRef WE (instanceRef blk00000650))
+ (portRef WE (instanceRef blk00000651))
+ (portRef WE (instanceRef blk00000652))
+ (portRef WE (instanceRef blk00000653))
+ (portRef WE (instanceRef blk00000654))
+ (portRef WE (instanceRef blk00000655))
+ (portRef WE (instanceRef blk00000656))
+ (portRef WE (instanceRef blk00000657))
+ (portRef WE (instanceRef blk00000658))
+ (portRef O (instanceRef blk00000659))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_2_blk000005e8 "dpr_ram_2")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000005e9
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000005ea
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fe
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000600
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000601
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000602
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000603
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000604
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000605
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000606
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000607
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000608
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000609
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000060f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000610
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000611
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000612
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000613
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000614
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000615
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk00000616
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000617
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000618
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000619
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000061a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000061b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000061c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000061d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000061e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000061f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000620
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000137a
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk0000060e))
+ (portRef A1 (instanceRef blk0000060f))
+ (portRef A1 (instanceRef blk00000610))
+ (portRef A1 (instanceRef blk00000611))
+ (portRef A1 (instanceRef blk00000612))
+ (portRef A1 (instanceRef blk00000613))
+ (portRef A1 (instanceRef blk00000614))
+ (portRef A1 (instanceRef blk00000615))
+ (portRef A1 (instanceRef blk00000616))
+ (portRef A1 (instanceRef blk00000617))
+ (portRef A1 (instanceRef blk00000618))
+ (portRef A1 (instanceRef blk00000619))
+ (portRef A1 (instanceRef blk0000061a))
+ (portRef A1 (instanceRef blk0000061b))
+ (portRef A1 (instanceRef blk0000061c))
+ (portRef A1 (instanceRef blk0000061d))
+ (portRef A1 (instanceRef blk0000061e))
+ (portRef A1 (instanceRef blk0000061f))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000137b
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk0000060e))
+ (portRef A0 (instanceRef blk0000060f))
+ (portRef A0 (instanceRef blk00000610))
+ (portRef A0 (instanceRef blk00000611))
+ (portRef A0 (instanceRef blk00000612))
+ (portRef A0 (instanceRef blk00000613))
+ (portRef A0 (instanceRef blk00000614))
+ (portRef A0 (instanceRef blk00000615))
+ (portRef A0 (instanceRef blk00000616))
+ (portRef A0 (instanceRef blk00000617))
+ (portRef A0 (instanceRef blk00000618))
+ (portRef A0 (instanceRef blk00000619))
+ (portRef A0 (instanceRef blk0000061a))
+ (portRef A0 (instanceRef blk0000061b))
+ (portRef A0 (instanceRef blk0000061c))
+ (portRef A0 (instanceRef blk0000061d))
+ (portRef A0 (instanceRef blk0000061e))
+ (portRef A0 (instanceRef blk0000061f))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000137c
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk0000061f))
+ )
+ )
+ (net sig0000137d
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk0000061e))
+ )
+ )
+ (net sig0000137e
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000061d))
+ )
+ )
+ (net sig0000137f
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk0000061c))
+ )
+ )
+ (net sig00001380
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk0000061b))
+ )
+ )
+ (net sig00001381
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk0000061a))
+ )
+ )
+ (net sig00001382
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000617))
+ )
+ )
+ (net sig00001383
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000619))
+ )
+ )
+ (net sig00001384
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000618))
+ )
+ )
+ (net sig00001385
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000616))
+ )
+ )
+ (net sig00001386
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000615))
+ )
+ )
+ (net sig00001387
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000614))
+ )
+ )
+ (net sig00001388
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk00000613))
+ )
+ )
+ (net sig00001389
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000612))
+ )
+ )
+ (net sig0000138a
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000611))
+ )
+ )
+ (net sig0000138b
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk0000060e))
+ )
+ )
+ (net sig0000138c
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000610))
+ )
+ )
+ (net sig0000138d
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk0000060f))
+ )
+ )
+ (net sig0000138e
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk0000060e))
+ (portRef DPRA1 (instanceRef blk0000060f))
+ (portRef DPRA1 (instanceRef blk00000610))
+ (portRef DPRA1 (instanceRef blk00000611))
+ (portRef DPRA1 (instanceRef blk00000612))
+ (portRef DPRA1 (instanceRef blk00000613))
+ (portRef DPRA1 (instanceRef blk00000614))
+ (portRef DPRA1 (instanceRef blk00000615))
+ (portRef DPRA1 (instanceRef blk00000616))
+ (portRef DPRA1 (instanceRef blk00000617))
+ (portRef DPRA1 (instanceRef blk00000618))
+ (portRef DPRA1 (instanceRef blk00000619))
+ (portRef DPRA1 (instanceRef blk0000061a))
+ (portRef DPRA1 (instanceRef blk0000061b))
+ (portRef DPRA1 (instanceRef blk0000061c))
+ (portRef DPRA1 (instanceRef blk0000061d))
+ (portRef DPRA1 (instanceRef blk0000061e))
+ (portRef DPRA1 (instanceRef blk0000061f))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000138f
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk0000060e))
+ (portRef DPRA0 (instanceRef blk0000060f))
+ (portRef DPRA0 (instanceRef blk00000610))
+ (portRef DPRA0 (instanceRef blk00000611))
+ (portRef DPRA0 (instanceRef blk00000612))
+ (portRef DPRA0 (instanceRef blk00000613))
+ (portRef DPRA0 (instanceRef blk00000614))
+ (portRef DPRA0 (instanceRef blk00000615))
+ (portRef DPRA0 (instanceRef blk00000616))
+ (portRef DPRA0 (instanceRef blk00000617))
+ (portRef DPRA0 (instanceRef blk00000618))
+ (portRef DPRA0 (instanceRef blk00000619))
+ (portRef DPRA0 (instanceRef blk0000061a))
+ (portRef DPRA0 (instanceRef blk0000061b))
+ (portRef DPRA0 (instanceRef blk0000061c))
+ (portRef DPRA0 (instanceRef blk0000061d))
+ (portRef DPRA0 (instanceRef blk0000061e))
+ (portRef DPRA0 (instanceRef blk0000061f))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00001390
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000620))
+ )
+ )
+ (net sig00001391
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000005ea))
+ (portRef CE (instanceRef blk000005eb))
+ (portRef CE (instanceRef blk000005ec))
+ (portRef CE (instanceRef blk000005ed))
+ (portRef CE (instanceRef blk000005ee))
+ (portRef CE (instanceRef blk000005ef))
+ (portRef CE (instanceRef blk000005f0))
+ (portRef CE (instanceRef blk000005f1))
+ (portRef CE (instanceRef blk000005f2))
+ (portRef CE (instanceRef blk000005f3))
+ (portRef CE (instanceRef blk000005f4))
+ (portRef CE (instanceRef blk000005f5))
+ (portRef CE (instanceRef blk000005f6))
+ (portRef CE (instanceRef blk000005f7))
+ (portRef CE (instanceRef blk000005f8))
+ (portRef CE (instanceRef blk000005f9))
+ (portRef CE (instanceRef blk000005fa))
+ (portRef CE (instanceRef blk000005fb))
+ (portRef CE (instanceRef blk000005fc))
+ (portRef CE (instanceRef blk000005fd))
+ (portRef CE (instanceRef blk000005fe))
+ (portRef CE (instanceRef blk000005ff))
+ (portRef CE (instanceRef blk00000600))
+ (portRef CE (instanceRef blk00000601))
+ (portRef CE (instanceRef blk00000602))
+ (portRef CE (instanceRef blk00000603))
+ (portRef CE (instanceRef blk00000604))
+ (portRef CE (instanceRef blk00000605))
+ (portRef CE (instanceRef blk00000606))
+ (portRef CE (instanceRef blk00000607))
+ (portRef CE (instanceRef blk00000608))
+ (portRef CE (instanceRef blk00000609))
+ (portRef CE (instanceRef blk0000060a))
+ (portRef CE (instanceRef blk0000060b))
+ (portRef CE (instanceRef blk0000060c))
+ (portRef CE (instanceRef blk0000060d))
+ (portRef I0 (instanceRef blk00000620))
+ )
+ )
+ (net sig00001392
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000005ea))
+ (portRef C (instanceRef blk000005eb))
+ (portRef C (instanceRef blk000005ec))
+ (portRef C (instanceRef blk000005ed))
+ (portRef C (instanceRef blk000005ee))
+ (portRef C (instanceRef blk000005ef))
+ (portRef C (instanceRef blk000005f0))
+ (portRef C (instanceRef blk000005f1))
+ (portRef C (instanceRef blk000005f2))
+ (portRef C (instanceRef blk000005f3))
+ (portRef C (instanceRef blk000005f4))
+ (portRef C (instanceRef blk000005f5))
+ (portRef C (instanceRef blk000005f6))
+ (portRef C (instanceRef blk000005f7))
+ (portRef C (instanceRef blk000005f8))
+ (portRef C (instanceRef blk000005f9))
+ (portRef C (instanceRef blk000005fa))
+ (portRef C (instanceRef blk000005fb))
+ (portRef C (instanceRef blk000005fc))
+ (portRef C (instanceRef blk000005fd))
+ (portRef C (instanceRef blk000005fe))
+ (portRef C (instanceRef blk000005ff))
+ (portRef C (instanceRef blk00000600))
+ (portRef C (instanceRef blk00000601))
+ (portRef C (instanceRef blk00000602))
+ (portRef C (instanceRef blk00000603))
+ (portRef C (instanceRef blk00000604))
+ (portRef C (instanceRef blk00000605))
+ (portRef C (instanceRef blk00000606))
+ (portRef C (instanceRef blk00000607))
+ (portRef C (instanceRef blk00000608))
+ (portRef C (instanceRef blk00000609))
+ (portRef C (instanceRef blk0000060a))
+ (portRef C (instanceRef blk0000060b))
+ (portRef C (instanceRef blk0000060c))
+ (portRef C (instanceRef blk0000060d))
+ (portRef WCLK (instanceRef blk0000060e))
+ (portRef WCLK (instanceRef blk0000060f))
+ (portRef WCLK (instanceRef blk00000610))
+ (portRef WCLK (instanceRef blk00000611))
+ (portRef WCLK (instanceRef blk00000612))
+ (portRef WCLK (instanceRef blk00000613))
+ (portRef WCLK (instanceRef blk00000614))
+ (portRef WCLK (instanceRef blk00000615))
+ (portRef WCLK (instanceRef blk00000616))
+ (portRef WCLK (instanceRef blk00000617))
+ (portRef WCLK (instanceRef blk00000618))
+ (portRef WCLK (instanceRef blk00000619))
+ (portRef WCLK (instanceRef blk0000061a))
+ (portRef WCLK (instanceRef blk0000061b))
+ (portRef WCLK (instanceRef blk0000061c))
+ (portRef WCLK (instanceRef blk0000061d))
+ (portRef WCLK (instanceRef blk0000061e))
+ (portRef WCLK (instanceRef blk0000061f))
+ )
+ )
+ (net sig00001393
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk000005fb))
+ )
+ )
+ (net sig00001394
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk000005fa))
+ )
+ )
+ (net sig00001395
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk000005f9))
+ )
+ )
+ (net sig00001396
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk000005f8))
+ )
+ )
+ (net sig00001397
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk000005f7))
+ )
+ )
+ (net sig00001398
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk000005f6))
+ )
+ )
+ (net sig00001399
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk000005f5))
+ )
+ )
+ (net sig0000139a
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk000005f4))
+ )
+ )
+ (net sig0000139b
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk000005f3))
+ )
+ )
+ (net sig0000139c
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk000005f2))
+ )
+ )
+ (net sig0000139d
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk000005f1))
+ )
+ )
+ (net sig0000139e
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk000005f0))
+ )
+ )
+ (net sig0000139f
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk000005ef))
+ )
+ )
+ (net sig000013a0
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk000005ee))
+ )
+ )
+ (net sig000013a1
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk000005ed))
+ )
+ )
+ (net sig000013a2
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk000005ec))
+ )
+ )
+ (net sig000013a3
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk000005eb))
+ )
+ )
+ (net sig000013a4
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk000005ea))
+ )
+ )
+ (net sig000013a5
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk0000060d))
+ )
+ )
+ (net sig000013a6
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000060c))
+ )
+ )
+ (net sig000013a7
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000060b))
+ )
+ )
+ (net sig000013a8
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk0000060a))
+ )
+ )
+ (net sig000013a9
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000609))
+ )
+ )
+ (net sig000013aa
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk00000608))
+ )
+ )
+ (net sig000013ab
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000607))
+ )
+ )
+ (net sig000013ac
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000606))
+ )
+ )
+ (net sig000013ad
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000605))
+ )
+ )
+ (net sig000013ae
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000604))
+ )
+ )
+ (net sig000013af
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000603))
+ )
+ )
+ (net sig000013b0
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000602))
+ )
+ )
+ (net sig000013b1
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000601))
+ )
+ )
+ (net sig000013b2
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000600))
+ )
+ )
+ (net sig000013b3
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000005ff))
+ )
+ )
+ (net sig000013b4
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000005fe))
+ )
+ )
+ (net sig000013b5
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000005fd))
+ )
+ )
+ (net sig000013b6
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000005fc))
+ )
+ )
+ (net sig000013b7
+ (joined
+ (portRef G (instanceRef blk000005e9))
+ (portRef A2 (instanceRef blk0000060e))
+ (portRef A3 (instanceRef blk0000060e))
+ (portRef A4 (instanceRef blk0000060e))
+ (portRef DPRA2 (instanceRef blk0000060e))
+ (portRef DPRA3 (instanceRef blk0000060e))
+ (portRef DPRA4 (instanceRef blk0000060e))
+ (portRef A2 (instanceRef blk0000060f))
+ (portRef A3 (instanceRef blk0000060f))
+ (portRef A4 (instanceRef blk0000060f))
+ (portRef DPRA2 (instanceRef blk0000060f))
+ (portRef DPRA3 (instanceRef blk0000060f))
+ (portRef DPRA4 (instanceRef blk0000060f))
+ (portRef A2 (instanceRef blk00000610))
+ (portRef A3 (instanceRef blk00000610))
+ (portRef A4 (instanceRef blk00000610))
+ (portRef DPRA2 (instanceRef blk00000610))
+ (portRef DPRA3 (instanceRef blk00000610))
+ (portRef DPRA4 (instanceRef blk00000610))
+ (portRef A2 (instanceRef blk00000611))
+ (portRef A3 (instanceRef blk00000611))
+ (portRef A4 (instanceRef blk00000611))
+ (portRef DPRA2 (instanceRef blk00000611))
+ (portRef DPRA3 (instanceRef blk00000611))
+ (portRef DPRA4 (instanceRef blk00000611))
+ (portRef A2 (instanceRef blk00000612))
+ (portRef A3 (instanceRef blk00000612))
+ (portRef A4 (instanceRef blk00000612))
+ (portRef DPRA2 (instanceRef blk00000612))
+ (portRef DPRA3 (instanceRef blk00000612))
+ (portRef DPRA4 (instanceRef blk00000612))
+ (portRef A2 (instanceRef blk00000613))
+ (portRef A3 (instanceRef blk00000613))
+ (portRef A4 (instanceRef blk00000613))
+ (portRef DPRA2 (instanceRef blk00000613))
+ (portRef DPRA3 (instanceRef blk00000613))
+ (portRef DPRA4 (instanceRef blk00000613))
+ (portRef A2 (instanceRef blk00000614))
+ (portRef A3 (instanceRef blk00000614))
+ (portRef A4 (instanceRef blk00000614))
+ (portRef DPRA2 (instanceRef blk00000614))
+ (portRef DPRA3 (instanceRef blk00000614))
+ (portRef DPRA4 (instanceRef blk00000614))
+ (portRef A2 (instanceRef blk00000615))
+ (portRef A3 (instanceRef blk00000615))
+ (portRef A4 (instanceRef blk00000615))
+ (portRef DPRA2 (instanceRef blk00000615))
+ (portRef DPRA3 (instanceRef blk00000615))
+ (portRef DPRA4 (instanceRef blk00000615))
+ (portRef A2 (instanceRef blk00000616))
+ (portRef A3 (instanceRef blk00000616))
+ (portRef A4 (instanceRef blk00000616))
+ (portRef DPRA2 (instanceRef blk00000616))
+ (portRef DPRA3 (instanceRef blk00000616))
+ (portRef DPRA4 (instanceRef blk00000616))
+ (portRef A2 (instanceRef blk00000617))
+ (portRef A3 (instanceRef blk00000617))
+ (portRef A4 (instanceRef blk00000617))
+ (portRef DPRA2 (instanceRef blk00000617))
+ (portRef DPRA3 (instanceRef blk00000617))
+ (portRef DPRA4 (instanceRef blk00000617))
+ (portRef A2 (instanceRef blk00000618))
+ (portRef A3 (instanceRef blk00000618))
+ (portRef A4 (instanceRef blk00000618))
+ (portRef DPRA2 (instanceRef blk00000618))
+ (portRef DPRA3 (instanceRef blk00000618))
+ (portRef DPRA4 (instanceRef blk00000618))
+ (portRef A2 (instanceRef blk00000619))
+ (portRef A3 (instanceRef blk00000619))
+ (portRef A4 (instanceRef blk00000619))
+ (portRef DPRA2 (instanceRef blk00000619))
+ (portRef DPRA3 (instanceRef blk00000619))
+ (portRef DPRA4 (instanceRef blk00000619))
+ (portRef A2 (instanceRef blk0000061a))
+ (portRef A3 (instanceRef blk0000061a))
+ (portRef A4 (instanceRef blk0000061a))
+ (portRef DPRA2 (instanceRef blk0000061a))
+ (portRef DPRA3 (instanceRef blk0000061a))
+ (portRef DPRA4 (instanceRef blk0000061a))
+ (portRef A2 (instanceRef blk0000061b))
+ (portRef A3 (instanceRef blk0000061b))
+ (portRef A4 (instanceRef blk0000061b))
+ (portRef DPRA2 (instanceRef blk0000061b))
+ (portRef DPRA3 (instanceRef blk0000061b))
+ (portRef DPRA4 (instanceRef blk0000061b))
+ (portRef A2 (instanceRef blk0000061c))
+ (portRef A3 (instanceRef blk0000061c))
+ (portRef A4 (instanceRef blk0000061c))
+ (portRef DPRA2 (instanceRef blk0000061c))
+ (portRef DPRA3 (instanceRef blk0000061c))
+ (portRef DPRA4 (instanceRef blk0000061c))
+ (portRef A2 (instanceRef blk0000061d))
+ (portRef A3 (instanceRef blk0000061d))
+ (portRef A4 (instanceRef blk0000061d))
+ (portRef DPRA2 (instanceRef blk0000061d))
+ (portRef DPRA3 (instanceRef blk0000061d))
+ (portRef DPRA4 (instanceRef blk0000061d))
+ (portRef A2 (instanceRef blk0000061e))
+ (portRef A3 (instanceRef blk0000061e))
+ (portRef A4 (instanceRef blk0000061e))
+ (portRef DPRA2 (instanceRef blk0000061e))
+ (portRef DPRA3 (instanceRef blk0000061e))
+ (portRef DPRA4 (instanceRef blk0000061e))
+ (portRef A2 (instanceRef blk0000061f))
+ (portRef A3 (instanceRef blk0000061f))
+ (portRef A4 (instanceRef blk0000061f))
+ (portRef DPRA2 (instanceRef blk0000061f))
+ (portRef DPRA3 (instanceRef blk0000061f))
+ (portRef DPRA4 (instanceRef blk0000061f))
+ )
+ )
+ (net sig000013b8
+ (joined
+ (portRef D (instanceRef blk000005ea))
+ (portRef SPO (instanceRef blk0000060f))
+ )
+ )
+ (net sig000013b9
+ (joined
+ (portRef D (instanceRef blk000005eb))
+ (portRef SPO (instanceRef blk00000610))
+ )
+ )
+ (net sig000013ba
+ (joined
+ (portRef D (instanceRef blk000005ec))
+ (portRef SPO (instanceRef blk0000060e))
+ )
+ )
+ (net sig000013bb
+ (joined
+ (portRef D (instanceRef blk000005ed))
+ (portRef SPO (instanceRef blk00000611))
+ )
+ )
+ (net sig000013bc
+ (joined
+ (portRef D (instanceRef blk000005ee))
+ (portRef SPO (instanceRef blk00000612))
+ )
+ )
+ (net sig000013bd
+ (joined
+ (portRef D (instanceRef blk000005ef))
+ (portRef SPO (instanceRef blk00000613))
+ )
+ )
+ (net sig000013be
+ (joined
+ (portRef D (instanceRef blk000005f0))
+ (portRef SPO (instanceRef blk00000614))
+ )
+ )
+ (net sig000013bf
+ (joined
+ (portRef D (instanceRef blk000005f1))
+ (portRef SPO (instanceRef blk00000615))
+ )
+ )
+ (net sig000013c0
+ (joined
+ (portRef D (instanceRef blk000005f2))
+ (portRef SPO (instanceRef blk00000616))
+ )
+ )
+ (net sig000013c1
+ (joined
+ (portRef D (instanceRef blk000005f3))
+ (portRef SPO (instanceRef blk00000618))
+ )
+ )
+ (net sig000013c2
+ (joined
+ (portRef D (instanceRef blk000005f4))
+ (portRef SPO (instanceRef blk00000619))
+ )
+ )
+ (net sig000013c3
+ (joined
+ (portRef D (instanceRef blk000005f5))
+ (portRef SPO (instanceRef blk00000617))
+ )
+ )
+ (net sig000013c4
+ (joined
+ (portRef D (instanceRef blk000005f6))
+ (portRef SPO (instanceRef blk0000061a))
+ )
+ )
+ (net sig000013c5
+ (joined
+ (portRef D (instanceRef blk000005f7))
+ (portRef SPO (instanceRef blk0000061b))
+ )
+ )
+ (net sig000013c6
+ (joined
+ (portRef D (instanceRef blk000005f8))
+ (portRef SPO (instanceRef blk0000061c))
+ )
+ )
+ (net sig000013c7
+ (joined
+ (portRef D (instanceRef blk000005f9))
+ (portRef SPO (instanceRef blk0000061d))
+ )
+ )
+ (net sig000013c8
+ (joined
+ (portRef D (instanceRef blk000005fa))
+ (portRef SPO (instanceRef blk0000061e))
+ )
+ )
+ (net sig000013c9
+ (joined
+ (portRef D (instanceRef blk000005fb))
+ (portRef SPO (instanceRef blk0000061f))
+ )
+ )
+ (net sig000013ca
+ (joined
+ (portRef D (instanceRef blk000005fc))
+ (portRef DPO (instanceRef blk0000060f))
+ )
+ )
+ (net sig000013cb
+ (joined
+ (portRef D (instanceRef blk000005fd))
+ (portRef DPO (instanceRef blk00000610))
+ )
+ )
+ (net sig000013cc
+ (joined
+ (portRef D (instanceRef blk000005fe))
+ (portRef DPO (instanceRef blk0000060e))
+ )
+ )
+ (net sig000013cd
+ (joined
+ (portRef D (instanceRef blk000005ff))
+ (portRef DPO (instanceRef blk00000611))
+ )
+ )
+ (net sig000013ce
+ (joined
+ (portRef D (instanceRef blk00000600))
+ (portRef DPO (instanceRef blk00000612))
+ )
+ )
+ (net sig000013cf
+ (joined
+ (portRef D (instanceRef blk00000601))
+ (portRef DPO (instanceRef blk00000613))
+ )
+ )
+ (net sig000013d0
+ (joined
+ (portRef D (instanceRef blk00000602))
+ (portRef DPO (instanceRef blk00000614))
+ )
+ )
+ (net sig000013d1
+ (joined
+ (portRef D (instanceRef blk00000603))
+ (portRef DPO (instanceRef blk00000615))
+ )
+ )
+ (net sig000013d2
+ (joined
+ (portRef D (instanceRef blk00000604))
+ (portRef DPO (instanceRef blk00000616))
+ )
+ )
+ (net sig000013d3
+ (joined
+ (portRef D (instanceRef blk00000605))
+ (portRef DPO (instanceRef blk00000618))
+ )
+ )
+ (net sig000013d4
+ (joined
+ (portRef D (instanceRef blk00000606))
+ (portRef DPO (instanceRef blk00000619))
+ )
+ )
+ (net sig000013d5
+ (joined
+ (portRef D (instanceRef blk00000607))
+ (portRef DPO (instanceRef blk00000617))
+ )
+ )
+ (net sig000013d6
+ (joined
+ (portRef D (instanceRef blk00000608))
+ (portRef DPO (instanceRef blk0000061a))
+ )
+ )
+ (net sig000013d7
+ (joined
+ (portRef D (instanceRef blk00000609))
+ (portRef DPO (instanceRef blk0000061b))
+ )
+ )
+ (net sig000013d8
+ (joined
+ (portRef D (instanceRef blk0000060a))
+ (portRef DPO (instanceRef blk0000061c))
+ )
+ )
+ (net sig000013d9
+ (joined
+ (portRef D (instanceRef blk0000060b))
+ (portRef DPO (instanceRef blk0000061d))
+ )
+ )
+ (net sig000013da
+ (joined
+ (portRef D (instanceRef blk0000060c))
+ (portRef DPO (instanceRef blk0000061e))
+ )
+ )
+ (net sig000013db
+ (joined
+ (portRef D (instanceRef blk0000060d))
+ (portRef DPO (instanceRef blk0000061f))
+ )
+ )
+ (net sig000013dc
+ (joined
+ (portRef WE (instanceRef blk0000060e))
+ (portRef WE (instanceRef blk0000060f))
+ (portRef WE (instanceRef blk00000610))
+ (portRef WE (instanceRef blk00000611))
+ (portRef WE (instanceRef blk00000612))
+ (portRef WE (instanceRef blk00000613))
+ (portRef WE (instanceRef blk00000614))
+ (portRef WE (instanceRef blk00000615))
+ (portRef WE (instanceRef blk00000616))
+ (portRef WE (instanceRef blk00000617))
+ (portRef WE (instanceRef blk00000618))
+ (portRef WE (instanceRef blk00000619))
+ (portRef WE (instanceRef blk0000061a))
+ (portRef WE (instanceRef blk0000061b))
+ (portRef WE (instanceRef blk0000061c))
+ (portRef WE (instanceRef blk0000061d))
+ (portRef WE (instanceRef blk0000061e))
+ (portRef WE (instanceRef blk0000061f))
+ (portRef O (instanceRef blk00000620))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO23_blk000005b5 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000005b6
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000005b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005cf
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e7
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000132c
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk000005cf))
+ (portRef A0 (instanceRef blk000005d0))
+ (portRef A0 (instanceRef blk000005d1))
+ (portRef A0 (instanceRef blk000005d2))
+ (portRef A0 (instanceRef blk000005d3))
+ (portRef A0 (instanceRef blk000005d4))
+ (portRef A0 (instanceRef blk000005d5))
+ (portRef A0 (instanceRef blk000005d6))
+ (portRef A0 (instanceRef blk000005d7))
+ (portRef A0 (instanceRef blk000005d8))
+ (portRef A0 (instanceRef blk000005d9))
+ (portRef A0 (instanceRef blk000005da))
+ (portRef A0 (instanceRef blk000005db))
+ (portRef A0 (instanceRef blk000005dc))
+ (portRef A0 (instanceRef blk000005dd))
+ (portRef A0 (instanceRef blk000005de))
+ (portRef A0 (instanceRef blk000005df))
+ (portRef A0 (instanceRef blk000005e0))
+ (portRef A0 (instanceRef blk000005e1))
+ (portRef A0 (instanceRef blk000005e2))
+ (portRef A0 (instanceRef blk000005e3))
+ (portRef A0 (instanceRef blk000005e4))
+ (portRef A0 (instanceRef blk000005e5))
+ (portRef A0 (instanceRef blk000005e6))
+ )
+ )
+ (net sig0000132d
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000005e4))
+ )
+ )
+ (net sig0000132e
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000005e6))
+ )
+ )
+ (net sig0000132f
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000005e5))
+ )
+ )
+ (net sig00001330
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000005e1))
+ )
+ )
+ (net sig00001331
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000005e3))
+ )
+ )
+ (net sig00001332
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000005e2))
+ )
+ )
+ (net sig00001333
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000005de))
+ )
+ )
+ (net sig00001334
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000005e0))
+ )
+ )
+ (net sig00001335
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000005df))
+ )
+ )
+ (net sig00001336
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000005db))
+ )
+ )
+ (net sig00001337
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000005dd))
+ )
+ )
+ (net sig00001338
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000005dc))
+ )
+ )
+ (net sig00001339
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000005d8))
+ )
+ )
+ (net sig0000133a
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000005da))
+ )
+ )
+ (net sig0000133b
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000005d9))
+ )
+ )
+ (net sig0000133c
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000005d5))
+ )
+ )
+ (net sig0000133d
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000005d7))
+ )
+ )
+ (net sig0000133e
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000005d6))
+ )
+ )
+ (net sig0000133f
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000005d2))
+ )
+ )
+ (net sig00001340
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000005d4))
+ )
+ )
+ (net sig00001341
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000005d3))
+ )
+ )
+ (net sig00001342
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000005cf))
+ )
+ )
+ (net sig00001343
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000005d1))
+ )
+ )
+ (net sig00001344
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000005d0))
+ )
+ )
+ (net sig00001345
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000005e7))
+ )
+ )
+ (net sig00001346
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000005b7))
+ (portRef CE (instanceRef blk000005b8))
+ (portRef CE (instanceRef blk000005b9))
+ (portRef CE (instanceRef blk000005ba))
+ (portRef CE (instanceRef blk000005bb))
+ (portRef CE (instanceRef blk000005bc))
+ (portRef CE (instanceRef blk000005bd))
+ (portRef CE (instanceRef blk000005be))
+ (portRef CE (instanceRef blk000005bf))
+ (portRef CE (instanceRef blk000005c0))
+ (portRef CE (instanceRef blk000005c1))
+ (portRef CE (instanceRef blk000005c2))
+ (portRef CE (instanceRef blk000005c3))
+ (portRef CE (instanceRef blk000005c4))
+ (portRef CE (instanceRef blk000005c5))
+ (portRef CE (instanceRef blk000005c6))
+ (portRef CE (instanceRef blk000005c7))
+ (portRef CE (instanceRef blk000005c8))
+ (portRef CE (instanceRef blk000005c9))
+ (portRef CE (instanceRef blk000005ca))
+ (portRef CE (instanceRef blk000005cb))
+ (portRef CE (instanceRef blk000005cc))
+ (portRef CE (instanceRef blk000005cd))
+ (portRef CE (instanceRef blk000005ce))
+ (portRef I0 (instanceRef blk000005e7))
+ )
+ )
+ (net sig00001347
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000005b7))
+ (portRef C (instanceRef blk000005b8))
+ (portRef C (instanceRef blk000005b9))
+ (portRef C (instanceRef blk000005ba))
+ (portRef C (instanceRef blk000005bb))
+ (portRef C (instanceRef blk000005bc))
+ (portRef C (instanceRef blk000005bd))
+ (portRef C (instanceRef blk000005be))
+ (portRef C (instanceRef blk000005bf))
+ (portRef C (instanceRef blk000005c0))
+ (portRef C (instanceRef blk000005c1))
+ (portRef C (instanceRef blk000005c2))
+ (portRef C (instanceRef blk000005c3))
+ (portRef C (instanceRef blk000005c4))
+ (portRef C (instanceRef blk000005c5))
+ (portRef C (instanceRef blk000005c6))
+ (portRef C (instanceRef blk000005c7))
+ (portRef C (instanceRef blk000005c8))
+ (portRef C (instanceRef blk000005c9))
+ (portRef C (instanceRef blk000005ca))
+ (portRef C (instanceRef blk000005cb))
+ (portRef C (instanceRef blk000005cc))
+ (portRef C (instanceRef blk000005cd))
+ (portRef C (instanceRef blk000005ce))
+ (portRef CLK (instanceRef blk000005cf))
+ (portRef CLK (instanceRef blk000005d0))
+ (portRef CLK (instanceRef blk000005d1))
+ (portRef CLK (instanceRef blk000005d2))
+ (portRef CLK (instanceRef blk000005d3))
+ (portRef CLK (instanceRef blk000005d4))
+ (portRef CLK (instanceRef blk000005d5))
+ (portRef CLK (instanceRef blk000005d6))
+ (portRef CLK (instanceRef blk000005d7))
+ (portRef CLK (instanceRef blk000005d8))
+ (portRef CLK (instanceRef blk000005d9))
+ (portRef CLK (instanceRef blk000005da))
+ (portRef CLK (instanceRef blk000005db))
+ (portRef CLK (instanceRef blk000005dc))
+ (portRef CLK (instanceRef blk000005dd))
+ (portRef CLK (instanceRef blk000005de))
+ (portRef CLK (instanceRef blk000005df))
+ (portRef CLK (instanceRef blk000005e0))
+ (portRef CLK (instanceRef blk000005e1))
+ (portRef CLK (instanceRef blk000005e2))
+ (portRef CLK (instanceRef blk000005e3))
+ (portRef CLK (instanceRef blk000005e4))
+ (portRef CLK (instanceRef blk000005e5))
+ (portRef CLK (instanceRef blk000005e6))
+ )
+ )
+ (net sig00001348
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000005ce))
+ )
+ )
+ (net sig00001349
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000005cd))
+ )
+ )
+ (net sig0000134a
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000005cc))
+ )
+ )
+ (net sig0000134b
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000005cb))
+ )
+ )
+ (net sig0000134c
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000005ca))
+ )
+ )
+ (net sig0000134d
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000005c9))
+ )
+ )
+ (net sig0000134e
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000005c8))
+ )
+ )
+ (net sig0000134f
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000005c7))
+ )
+ )
+ (net sig00001350
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000005c6))
+ )
+ )
+ (net sig00001351
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000005c5))
+ )
+ )
+ (net sig00001352
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000005c4))
+ )
+ )
+ (net sig00001353
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000005c3))
+ )
+ )
+ (net sig00001354
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000005c2))
+ )
+ )
+ (net sig00001355
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000005c1))
+ )
+ )
+ (net sig00001356
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000005c0))
+ )
+ )
+ (net sig00001357
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000005bf))
+ )
+ )
+ (net sig00001358
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000005be))
+ )
+ )
+ (net sig00001359
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000005bd))
+ )
+ )
+ (net sig0000135a
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000005bc))
+ )
+ )
+ (net sig0000135b
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000005bb))
+ )
+ )
+ (net sig0000135c
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000005ba))
+ )
+ )
+ (net sig0000135d
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000005b9))
+ )
+ )
+ (net sig0000135e
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000005b8))
+ )
+ )
+ (net sig0000135f
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000005b7))
+ )
+ )
+ (net sig00001360
+ (joined
+ (portRef G (instanceRef blk000005b6))
+ (portRef A1 (instanceRef blk000005cf))
+ (portRef A2 (instanceRef blk000005cf))
+ (portRef A3 (instanceRef blk000005cf))
+ (portRef A1 (instanceRef blk000005d0))
+ (portRef A2 (instanceRef blk000005d0))
+ (portRef A3 (instanceRef blk000005d0))
+ (portRef A1 (instanceRef blk000005d1))
+ (portRef A2 (instanceRef blk000005d1))
+ (portRef A3 (instanceRef blk000005d1))
+ (portRef A1 (instanceRef blk000005d2))
+ (portRef A2 (instanceRef blk000005d2))
+ (portRef A3 (instanceRef blk000005d2))
+ (portRef A1 (instanceRef blk000005d3))
+ (portRef A2 (instanceRef blk000005d3))
+ (portRef A3 (instanceRef blk000005d3))
+ (portRef A1 (instanceRef blk000005d4))
+ (portRef A2 (instanceRef blk000005d4))
+ (portRef A3 (instanceRef blk000005d4))
+ (portRef A1 (instanceRef blk000005d5))
+ (portRef A2 (instanceRef blk000005d5))
+ (portRef A3 (instanceRef blk000005d5))
+ (portRef A1 (instanceRef blk000005d6))
+ (portRef A2 (instanceRef blk000005d6))
+ (portRef A3 (instanceRef blk000005d6))
+ (portRef A1 (instanceRef blk000005d7))
+ (portRef A2 (instanceRef blk000005d7))
+ (portRef A3 (instanceRef blk000005d7))
+ (portRef A1 (instanceRef blk000005d8))
+ (portRef A2 (instanceRef blk000005d8))
+ (portRef A3 (instanceRef blk000005d8))
+ (portRef A1 (instanceRef blk000005d9))
+ (portRef A2 (instanceRef blk000005d9))
+ (portRef A3 (instanceRef blk000005d9))
+ (portRef A1 (instanceRef blk000005da))
+ (portRef A2 (instanceRef blk000005da))
+ (portRef A3 (instanceRef blk000005da))
+ (portRef A1 (instanceRef blk000005db))
+ (portRef A2 (instanceRef blk000005db))
+ (portRef A3 (instanceRef blk000005db))
+ (portRef A1 (instanceRef blk000005dc))
+ (portRef A2 (instanceRef blk000005dc))
+ (portRef A3 (instanceRef blk000005dc))
+ (portRef A1 (instanceRef blk000005dd))
+ (portRef A2 (instanceRef blk000005dd))
+ (portRef A3 (instanceRef blk000005dd))
+ (portRef A1 (instanceRef blk000005de))
+ (portRef A2 (instanceRef blk000005de))
+ (portRef A3 (instanceRef blk000005de))
+ (portRef A1 (instanceRef blk000005df))
+ (portRef A2 (instanceRef blk000005df))
+ (portRef A3 (instanceRef blk000005df))
+ (portRef A1 (instanceRef blk000005e0))
+ (portRef A2 (instanceRef blk000005e0))
+ (portRef A3 (instanceRef blk000005e0))
+ (portRef A1 (instanceRef blk000005e1))
+ (portRef A2 (instanceRef blk000005e1))
+ (portRef A3 (instanceRef blk000005e1))
+ (portRef A1 (instanceRef blk000005e2))
+ (portRef A2 (instanceRef blk000005e2))
+ (portRef A3 (instanceRef blk000005e2))
+ (portRef A1 (instanceRef blk000005e3))
+ (portRef A2 (instanceRef blk000005e3))
+ (portRef A3 (instanceRef blk000005e3))
+ (portRef A1 (instanceRef blk000005e4))
+ (portRef A2 (instanceRef blk000005e4))
+ (portRef A3 (instanceRef blk000005e4))
+ (portRef A1 (instanceRef blk000005e5))
+ (portRef A2 (instanceRef blk000005e5))
+ (portRef A3 (instanceRef blk000005e5))
+ (portRef A1 (instanceRef blk000005e6))
+ (portRef A2 (instanceRef blk000005e6))
+ (portRef A3 (instanceRef blk000005e6))
+ )
+ )
+ (net sig00001361
+ (joined
+ (portRef D (instanceRef blk000005b7))
+ (portRef Q (instanceRef blk000005d0))
+ )
+ )
+ (net sig00001362
+ (joined
+ (portRef D (instanceRef blk000005b8))
+ (portRef Q (instanceRef blk000005d1))
+ )
+ )
+ (net sig00001363
+ (joined
+ (portRef D (instanceRef blk000005b9))
+ (portRef Q (instanceRef blk000005cf))
+ )
+ )
+ (net sig00001364
+ (joined
+ (portRef D (instanceRef blk000005ba))
+ (portRef Q (instanceRef blk000005d3))
+ )
+ )
+ (net sig00001365
+ (joined
+ (portRef D (instanceRef blk000005bb))
+ (portRef Q (instanceRef blk000005d4))
+ )
+ )
+ (net sig00001366
+ (joined
+ (portRef D (instanceRef blk000005bc))
+ (portRef Q (instanceRef blk000005d2))
+ )
+ )
+ (net sig00001367
+ (joined
+ (portRef D (instanceRef blk000005bd))
+ (portRef Q (instanceRef blk000005d6))
+ )
+ )
+ (net sig00001368
+ (joined
+ (portRef D (instanceRef blk000005be))
+ (portRef Q (instanceRef blk000005d7))
+ )
+ )
+ (net sig00001369
+ (joined
+ (portRef D (instanceRef blk000005bf))
+ (portRef Q (instanceRef blk000005d5))
+ )
+ )
+ (net sig0000136a
+ (joined
+ (portRef D (instanceRef blk000005c0))
+ (portRef Q (instanceRef blk000005d9))
+ )
+ )
+ (net sig0000136b
+ (joined
+ (portRef D (instanceRef blk000005c1))
+ (portRef Q (instanceRef blk000005da))
+ )
+ )
+ (net sig0000136c
+ (joined
+ (portRef D (instanceRef blk000005c2))
+ (portRef Q (instanceRef blk000005d8))
+ )
+ )
+ (net sig0000136d
+ (joined
+ (portRef D (instanceRef blk000005c3))
+ (portRef Q (instanceRef blk000005dc))
+ )
+ )
+ (net sig0000136e
+ (joined
+ (portRef D (instanceRef blk000005c4))
+ (portRef Q (instanceRef blk000005dd))
+ )
+ )
+ (net sig0000136f
+ (joined
+ (portRef D (instanceRef blk000005c5))
+ (portRef Q (instanceRef blk000005db))
+ )
+ )
+ (net sig00001370
+ (joined
+ (portRef D (instanceRef blk000005c6))
+ (portRef Q (instanceRef blk000005df))
+ )
+ )
+ (net sig00001371
+ (joined
+ (portRef D (instanceRef blk000005c7))
+ (portRef Q (instanceRef blk000005e0))
+ )
+ )
+ (net sig00001372
+ (joined
+ (portRef D (instanceRef blk000005c8))
+ (portRef Q (instanceRef blk000005de))
+ )
+ )
+ (net sig00001373
+ (joined
+ (portRef D (instanceRef blk000005c9))
+ (portRef Q (instanceRef blk000005e2))
+ )
+ )
+ (net sig00001374
+ (joined
+ (portRef D (instanceRef blk000005ca))
+ (portRef Q (instanceRef blk000005e3))
+ )
+ )
+ (net sig00001375
+ (joined
+ (portRef D (instanceRef blk000005cb))
+ (portRef Q (instanceRef blk000005e1))
+ )
+ )
+ (net sig00001376
+ (joined
+ (portRef D (instanceRef blk000005cc))
+ (portRef Q (instanceRef blk000005e5))
+ )
+ )
+ (net sig00001377
+ (joined
+ (portRef D (instanceRef blk000005cd))
+ (portRef Q (instanceRef blk000005e6))
+ )
+ )
+ (net sig00001378
+ (joined
+ (portRef D (instanceRef blk000005ce))
+ (portRef Q (instanceRef blk000005e4))
+ )
+ )
+ (net sig00001379
+ (joined
+ (portRef CE (instanceRef blk000005cf))
+ (portRef CE (instanceRef blk000005d0))
+ (portRef CE (instanceRef blk000005d1))
+ (portRef CE (instanceRef blk000005d2))
+ (portRef CE (instanceRef blk000005d3))
+ (portRef CE (instanceRef blk000005d4))
+ (portRef CE (instanceRef blk000005d5))
+ (portRef CE (instanceRef blk000005d6))
+ (portRef CE (instanceRef blk000005d7))
+ (portRef CE (instanceRef blk000005d8))
+ (portRef CE (instanceRef blk000005d9))
+ (portRef CE (instanceRef blk000005da))
+ (portRef CE (instanceRef blk000005db))
+ (portRef CE (instanceRef blk000005dc))
+ (portRef CE (instanceRef blk000005dd))
+ (portRef CE (instanceRef blk000005de))
+ (portRef CE (instanceRef blk000005df))
+ (portRef CE (instanceRef blk000005e0))
+ (portRef CE (instanceRef blk000005e1))
+ (portRef CE (instanceRef blk000005e2))
+ (portRef CE (instanceRef blk000005e3))
+ (portRef CE (instanceRef blk000005e4))
+ (portRef CE (instanceRef blk000005e5))
+ (portRef CE (instanceRef blk000005e6))
+ (portRef O (instanceRef blk000005e7))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO22_blk00000582 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000583
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000584
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000585
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000586
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000587
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000588
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000589
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000590
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000591
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000592
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000593
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000594
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000595
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000596
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000597
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000598
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000599
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000059a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000059b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000059c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000059d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000059e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000059f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b4
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000012de
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000059c))
+ (portRef A0 (instanceRef blk0000059d))
+ (portRef A0 (instanceRef blk0000059e))
+ (portRef A0 (instanceRef blk0000059f))
+ (portRef A0 (instanceRef blk000005a0))
+ (portRef A0 (instanceRef blk000005a1))
+ (portRef A0 (instanceRef blk000005a2))
+ (portRef A0 (instanceRef blk000005a3))
+ (portRef A0 (instanceRef blk000005a4))
+ (portRef A0 (instanceRef blk000005a5))
+ (portRef A0 (instanceRef blk000005a6))
+ (portRef A0 (instanceRef blk000005a7))
+ (portRef A0 (instanceRef blk000005a8))
+ (portRef A0 (instanceRef blk000005a9))
+ (portRef A0 (instanceRef blk000005aa))
+ (portRef A0 (instanceRef blk000005ab))
+ (portRef A0 (instanceRef blk000005ac))
+ (portRef A0 (instanceRef blk000005ad))
+ (portRef A0 (instanceRef blk000005ae))
+ (portRef A0 (instanceRef blk000005af))
+ (portRef A0 (instanceRef blk000005b0))
+ (portRef A0 (instanceRef blk000005b1))
+ (portRef A0 (instanceRef blk000005b2))
+ (portRef A0 (instanceRef blk000005b3))
+ )
+ )
+ (net sig000012df
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000005b1))
+ )
+ )
+ (net sig000012e0
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000005b3))
+ )
+ )
+ (net sig000012e1
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000005b2))
+ )
+ )
+ (net sig000012e2
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000005ae))
+ )
+ )
+ (net sig000012e3
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000005b0))
+ )
+ )
+ (net sig000012e4
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000005af))
+ )
+ )
+ (net sig000012e5
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000005ab))
+ )
+ )
+ (net sig000012e6
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000005ad))
+ )
+ )
+ (net sig000012e7
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000005ac))
+ )
+ )
+ (net sig000012e8
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000005a8))
+ )
+ )
+ (net sig000012e9
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000005aa))
+ )
+ )
+ (net sig000012ea
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000005a9))
+ )
+ )
+ (net sig000012eb
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000005a5))
+ )
+ )
+ (net sig000012ec
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000005a7))
+ )
+ )
+ (net sig000012ed
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000005a6))
+ )
+ )
+ (net sig000012ee
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000005a2))
+ )
+ )
+ (net sig000012ef
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000005a4))
+ )
+ )
+ (net sig000012f0
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000005a3))
+ )
+ )
+ (net sig000012f1
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000059f))
+ )
+ )
+ (net sig000012f2
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000005a1))
+ )
+ )
+ (net sig000012f3
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000005a0))
+ )
+ )
+ (net sig000012f4
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000059c))
+ )
+ )
+ (net sig000012f5
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000059e))
+ )
+ )
+ (net sig000012f6
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000059d))
+ )
+ )
+ (net sig000012f7
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000005b4))
+ )
+ )
+ (net sig000012f8
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000584))
+ (portRef CE (instanceRef blk00000585))
+ (portRef CE (instanceRef blk00000586))
+ (portRef CE (instanceRef blk00000587))
+ (portRef CE (instanceRef blk00000588))
+ (portRef CE (instanceRef blk00000589))
+ (portRef CE (instanceRef blk0000058a))
+ (portRef CE (instanceRef blk0000058b))
+ (portRef CE (instanceRef blk0000058c))
+ (portRef CE (instanceRef blk0000058d))
+ (portRef CE (instanceRef blk0000058e))
+ (portRef CE (instanceRef blk0000058f))
+ (portRef CE (instanceRef blk00000590))
+ (portRef CE (instanceRef blk00000591))
+ (portRef CE (instanceRef blk00000592))
+ (portRef CE (instanceRef blk00000593))
+ (portRef CE (instanceRef blk00000594))
+ (portRef CE (instanceRef blk00000595))
+ (portRef CE (instanceRef blk00000596))
+ (portRef CE (instanceRef blk00000597))
+ (portRef CE (instanceRef blk00000598))
+ (portRef CE (instanceRef blk00000599))
+ (portRef CE (instanceRef blk0000059a))
+ (portRef CE (instanceRef blk0000059b))
+ (portRef I0 (instanceRef blk000005b4))
+ )
+ )
+ (net sig000012f9
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000584))
+ (portRef C (instanceRef blk00000585))
+ (portRef C (instanceRef blk00000586))
+ (portRef C (instanceRef blk00000587))
+ (portRef C (instanceRef blk00000588))
+ (portRef C (instanceRef blk00000589))
+ (portRef C (instanceRef blk0000058a))
+ (portRef C (instanceRef blk0000058b))
+ (portRef C (instanceRef blk0000058c))
+ (portRef C (instanceRef blk0000058d))
+ (portRef C (instanceRef blk0000058e))
+ (portRef C (instanceRef blk0000058f))
+ (portRef C (instanceRef blk00000590))
+ (portRef C (instanceRef blk00000591))
+ (portRef C (instanceRef blk00000592))
+ (portRef C (instanceRef blk00000593))
+ (portRef C (instanceRef blk00000594))
+ (portRef C (instanceRef blk00000595))
+ (portRef C (instanceRef blk00000596))
+ (portRef C (instanceRef blk00000597))
+ (portRef C (instanceRef blk00000598))
+ (portRef C (instanceRef blk00000599))
+ (portRef C (instanceRef blk0000059a))
+ (portRef C (instanceRef blk0000059b))
+ (portRef CLK (instanceRef blk0000059c))
+ (portRef CLK (instanceRef blk0000059d))
+ (portRef CLK (instanceRef blk0000059e))
+ (portRef CLK (instanceRef blk0000059f))
+ (portRef CLK (instanceRef blk000005a0))
+ (portRef CLK (instanceRef blk000005a1))
+ (portRef CLK (instanceRef blk000005a2))
+ (portRef CLK (instanceRef blk000005a3))
+ (portRef CLK (instanceRef blk000005a4))
+ (portRef CLK (instanceRef blk000005a5))
+ (portRef CLK (instanceRef blk000005a6))
+ (portRef CLK (instanceRef blk000005a7))
+ (portRef CLK (instanceRef blk000005a8))
+ (portRef CLK (instanceRef blk000005a9))
+ (portRef CLK (instanceRef blk000005aa))
+ (portRef CLK (instanceRef blk000005ab))
+ (portRef CLK (instanceRef blk000005ac))
+ (portRef CLK (instanceRef blk000005ad))
+ (portRef CLK (instanceRef blk000005ae))
+ (portRef CLK (instanceRef blk000005af))
+ (portRef CLK (instanceRef blk000005b0))
+ (portRef CLK (instanceRef blk000005b1))
+ (portRef CLK (instanceRef blk000005b2))
+ (portRef CLK (instanceRef blk000005b3))
+ )
+ )
+ (net sig000012fa
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000059b))
+ )
+ )
+ (net sig000012fb
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000059a))
+ )
+ )
+ (net sig000012fc
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000599))
+ )
+ )
+ (net sig000012fd
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000598))
+ )
+ )
+ (net sig000012fe
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000597))
+ )
+ )
+ (net sig000012ff
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000596))
+ )
+ )
+ (net sig00001300
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000595))
+ )
+ )
+ (net sig00001301
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000594))
+ )
+ )
+ (net sig00001302
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000593))
+ )
+ )
+ (net sig00001303
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000592))
+ )
+ )
+ (net sig00001304
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000591))
+ )
+ )
+ (net sig00001305
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000590))
+ )
+ )
+ (net sig00001306
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000058f))
+ )
+ )
+ (net sig00001307
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000058e))
+ )
+ )
+ (net sig00001308
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000058d))
+ )
+ )
+ (net sig00001309
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000058c))
+ )
+ )
+ (net sig0000130a
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000058b))
+ )
+ )
+ (net sig0000130b
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000058a))
+ )
+ )
+ (net sig0000130c
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000589))
+ )
+ )
+ (net sig0000130d
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000588))
+ )
+ )
+ (net sig0000130e
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000587))
+ )
+ )
+ (net sig0000130f
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000586))
+ )
+ )
+ (net sig00001310
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000585))
+ )
+ )
+ (net sig00001311
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000584))
+ )
+ )
+ (net sig00001312
+ (joined
+ (portRef G (instanceRef blk00000583))
+ (portRef A1 (instanceRef blk0000059c))
+ (portRef A2 (instanceRef blk0000059c))
+ (portRef A3 (instanceRef blk0000059c))
+ (portRef A1 (instanceRef blk0000059d))
+ (portRef A2 (instanceRef blk0000059d))
+ (portRef A3 (instanceRef blk0000059d))
+ (portRef A1 (instanceRef blk0000059e))
+ (portRef A2 (instanceRef blk0000059e))
+ (portRef A3 (instanceRef blk0000059e))
+ (portRef A1 (instanceRef blk0000059f))
+ (portRef A2 (instanceRef blk0000059f))
+ (portRef A3 (instanceRef blk0000059f))
+ (portRef A1 (instanceRef blk000005a0))
+ (portRef A2 (instanceRef blk000005a0))
+ (portRef A3 (instanceRef blk000005a0))
+ (portRef A1 (instanceRef blk000005a1))
+ (portRef A2 (instanceRef blk000005a1))
+ (portRef A3 (instanceRef blk000005a1))
+ (portRef A1 (instanceRef blk000005a2))
+ (portRef A2 (instanceRef blk000005a2))
+ (portRef A3 (instanceRef blk000005a2))
+ (portRef A1 (instanceRef blk000005a3))
+ (portRef A2 (instanceRef blk000005a3))
+ (portRef A3 (instanceRef blk000005a3))
+ (portRef A1 (instanceRef blk000005a4))
+ (portRef A2 (instanceRef blk000005a4))
+ (portRef A3 (instanceRef blk000005a4))
+ (portRef A1 (instanceRef blk000005a5))
+ (portRef A2 (instanceRef blk000005a5))
+ (portRef A3 (instanceRef blk000005a5))
+ (portRef A1 (instanceRef blk000005a6))
+ (portRef A2 (instanceRef blk000005a6))
+ (portRef A3 (instanceRef blk000005a6))
+ (portRef A1 (instanceRef blk000005a7))
+ (portRef A2 (instanceRef blk000005a7))
+ (portRef A3 (instanceRef blk000005a7))
+ (portRef A1 (instanceRef blk000005a8))
+ (portRef A2 (instanceRef blk000005a8))
+ (portRef A3 (instanceRef blk000005a8))
+ (portRef A1 (instanceRef blk000005a9))
+ (portRef A2 (instanceRef blk000005a9))
+ (portRef A3 (instanceRef blk000005a9))
+ (portRef A1 (instanceRef blk000005aa))
+ (portRef A2 (instanceRef blk000005aa))
+ (portRef A3 (instanceRef blk000005aa))
+ (portRef A1 (instanceRef blk000005ab))
+ (portRef A2 (instanceRef blk000005ab))
+ (portRef A3 (instanceRef blk000005ab))
+ (portRef A1 (instanceRef blk000005ac))
+ (portRef A2 (instanceRef blk000005ac))
+ (portRef A3 (instanceRef blk000005ac))
+ (portRef A1 (instanceRef blk000005ad))
+ (portRef A2 (instanceRef blk000005ad))
+ (portRef A3 (instanceRef blk000005ad))
+ (portRef A1 (instanceRef blk000005ae))
+ (portRef A2 (instanceRef blk000005ae))
+ (portRef A3 (instanceRef blk000005ae))
+ (portRef A1 (instanceRef blk000005af))
+ (portRef A2 (instanceRef blk000005af))
+ (portRef A3 (instanceRef blk000005af))
+ (portRef A1 (instanceRef blk000005b0))
+ (portRef A2 (instanceRef blk000005b0))
+ (portRef A3 (instanceRef blk000005b0))
+ (portRef A1 (instanceRef blk000005b1))
+ (portRef A2 (instanceRef blk000005b1))
+ (portRef A3 (instanceRef blk000005b1))
+ (portRef A1 (instanceRef blk000005b2))
+ (portRef A2 (instanceRef blk000005b2))
+ (portRef A3 (instanceRef blk000005b2))
+ (portRef A1 (instanceRef blk000005b3))
+ (portRef A2 (instanceRef blk000005b3))
+ (portRef A3 (instanceRef blk000005b3))
+ )
+ )
+ (net sig00001313
+ (joined
+ (portRef D (instanceRef blk00000584))
+ (portRef Q (instanceRef blk0000059d))
+ )
+ )
+ (net sig00001314
+ (joined
+ (portRef D (instanceRef blk00000585))
+ (portRef Q (instanceRef blk0000059e))
+ )
+ )
+ (net sig00001315
+ (joined
+ (portRef D (instanceRef blk00000586))
+ (portRef Q (instanceRef blk0000059c))
+ )
+ )
+ (net sig00001316
+ (joined
+ (portRef D (instanceRef blk00000587))
+ (portRef Q (instanceRef blk000005a0))
+ )
+ )
+ (net sig00001317
+ (joined
+ (portRef D (instanceRef blk00000588))
+ (portRef Q (instanceRef blk000005a1))
+ )
+ )
+ (net sig00001318
+ (joined
+ (portRef D (instanceRef blk00000589))
+ (portRef Q (instanceRef blk0000059f))
+ )
+ )
+ (net sig00001319
+ (joined
+ (portRef D (instanceRef blk0000058a))
+ (portRef Q (instanceRef blk000005a3))
+ )
+ )
+ (net sig0000131a
+ (joined
+ (portRef D (instanceRef blk0000058b))
+ (portRef Q (instanceRef blk000005a4))
+ )
+ )
+ (net sig0000131b
+ (joined
+ (portRef D (instanceRef blk0000058c))
+ (portRef Q (instanceRef blk000005a2))
+ )
+ )
+ (net sig0000131c
+ (joined
+ (portRef D (instanceRef blk0000058d))
+ (portRef Q (instanceRef blk000005a6))
+ )
+ )
+ (net sig0000131d
+ (joined
+ (portRef D (instanceRef blk0000058e))
+ (portRef Q (instanceRef blk000005a7))
+ )
+ )
+ (net sig0000131e
+ (joined
+ (portRef D (instanceRef blk0000058f))
+ (portRef Q (instanceRef blk000005a5))
+ )
+ )
+ (net sig0000131f
+ (joined
+ (portRef D (instanceRef blk00000590))
+ (portRef Q (instanceRef blk000005a9))
+ )
+ )
+ (net sig00001320
+ (joined
+ (portRef D (instanceRef blk00000591))
+ (portRef Q (instanceRef blk000005aa))
+ )
+ )
+ (net sig00001321
+ (joined
+ (portRef D (instanceRef blk00000592))
+ (portRef Q (instanceRef blk000005a8))
+ )
+ )
+ (net sig00001322
+ (joined
+ (portRef D (instanceRef blk00000593))
+ (portRef Q (instanceRef blk000005ac))
+ )
+ )
+ (net sig00001323
+ (joined
+ (portRef D (instanceRef blk00000594))
+ (portRef Q (instanceRef blk000005ad))
+ )
+ )
+ (net sig00001324
+ (joined
+ (portRef D (instanceRef blk00000595))
+ (portRef Q (instanceRef blk000005ab))
+ )
+ )
+ (net sig00001325
+ (joined
+ (portRef D (instanceRef blk00000596))
+ (portRef Q (instanceRef blk000005af))
+ )
+ )
+ (net sig00001326
+ (joined
+ (portRef D (instanceRef blk00000597))
+ (portRef Q (instanceRef blk000005b0))
+ )
+ )
+ (net sig00001327
+ (joined
+ (portRef D (instanceRef blk00000598))
+ (portRef Q (instanceRef blk000005ae))
+ )
+ )
+ (net sig00001328
+ (joined
+ (portRef D (instanceRef blk00000599))
+ (portRef Q (instanceRef blk000005b2))
+ )
+ )
+ (net sig00001329
+ (joined
+ (portRef D (instanceRef blk0000059a))
+ (portRef Q (instanceRef blk000005b3))
+ )
+ )
+ (net sig0000132a
+ (joined
+ (portRef D (instanceRef blk0000059b))
+ (portRef Q (instanceRef blk000005b1))
+ )
+ )
+ (net sig0000132b
+ (joined
+ (portRef CE (instanceRef blk0000059c))
+ (portRef CE (instanceRef blk0000059d))
+ (portRef CE (instanceRef blk0000059e))
+ (portRef CE (instanceRef blk0000059f))
+ (portRef CE (instanceRef blk000005a0))
+ (portRef CE (instanceRef blk000005a1))
+ (portRef CE (instanceRef blk000005a2))
+ (portRef CE (instanceRef blk000005a3))
+ (portRef CE (instanceRef blk000005a4))
+ (portRef CE (instanceRef blk000005a5))
+ (portRef CE (instanceRef blk000005a6))
+ (portRef CE (instanceRef blk000005a7))
+ (portRef CE (instanceRef blk000005a8))
+ (portRef CE (instanceRef blk000005a9))
+ (portRef CE (instanceRef blk000005aa))
+ (portRef CE (instanceRef blk000005ab))
+ (portRef CE (instanceRef blk000005ac))
+ (portRef CE (instanceRef blk000005ad))
+ (portRef CE (instanceRef blk000005ae))
+ (portRef CE (instanceRef blk000005af))
+ (portRef CE (instanceRef blk000005b0))
+ (portRef CE (instanceRef blk000005b1))
+ (portRef CE (instanceRef blk000005b2))
+ (portRef CE (instanceRef blk000005b3))
+ (portRef O (instanceRef blk000005b4))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO21_blk0000054f "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000550
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000551
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000552
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000553
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000554
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000555
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000556
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000557
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000558
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000559
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000560
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000561
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000562
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000563
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000564
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000565
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000566
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000567
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000568
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000569
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000570
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000571
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000572
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000573
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000574
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000575
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000576
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000577
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000578
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000579
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000580
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000581
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001290
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000569))
+ (portRef A0 (instanceRef blk0000056a))
+ (portRef A0 (instanceRef blk0000056b))
+ (portRef A0 (instanceRef blk0000056c))
+ (portRef A0 (instanceRef blk0000056d))
+ (portRef A0 (instanceRef blk0000056e))
+ (portRef A0 (instanceRef blk0000056f))
+ (portRef A0 (instanceRef blk00000570))
+ (portRef A0 (instanceRef blk00000571))
+ (portRef A0 (instanceRef blk00000572))
+ (portRef A0 (instanceRef blk00000573))
+ (portRef A0 (instanceRef blk00000574))
+ (portRef A0 (instanceRef blk00000575))
+ (portRef A0 (instanceRef blk00000576))
+ (portRef A0 (instanceRef blk00000577))
+ (portRef A0 (instanceRef blk00000578))
+ (portRef A0 (instanceRef blk00000579))
+ (portRef A0 (instanceRef blk0000057a))
+ (portRef A0 (instanceRef blk0000057b))
+ (portRef A0 (instanceRef blk0000057c))
+ (portRef A0 (instanceRef blk0000057d))
+ (portRef A0 (instanceRef blk0000057e))
+ (portRef A0 (instanceRef blk0000057f))
+ (portRef A0 (instanceRef blk00000580))
+ )
+ )
+ (net sig00001291
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000057e))
+ )
+ )
+ (net sig00001292
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000580))
+ )
+ )
+ (net sig00001293
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000057f))
+ )
+ )
+ (net sig00001294
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000057b))
+ )
+ )
+ (net sig00001295
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000057d))
+ )
+ )
+ (net sig00001296
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000057c))
+ )
+ )
+ (net sig00001297
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000578))
+ )
+ )
+ (net sig00001298
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000057a))
+ )
+ )
+ (net sig00001299
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000579))
+ )
+ )
+ (net sig0000129a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000575))
+ )
+ )
+ (net sig0000129b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000577))
+ )
+ )
+ (net sig0000129c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000576))
+ )
+ )
+ (net sig0000129d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000572))
+ )
+ )
+ (net sig0000129e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000574))
+ )
+ )
+ (net sig0000129f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000573))
+ )
+ )
+ (net sig000012a0
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000056f))
+ )
+ )
+ (net sig000012a1
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000571))
+ )
+ )
+ (net sig000012a2
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000570))
+ )
+ )
+ (net sig000012a3
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000056c))
+ )
+ )
+ (net sig000012a4
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000056e))
+ )
+ )
+ (net sig000012a5
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000056d))
+ )
+ )
+ (net sig000012a6
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000569))
+ )
+ )
+ (net sig000012a7
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000056b))
+ )
+ )
+ (net sig000012a8
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000056a))
+ )
+ )
+ (net sig000012a9
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000581))
+ )
+ )
+ (net sig000012aa
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000551))
+ (portRef CE (instanceRef blk00000552))
+ (portRef CE (instanceRef blk00000553))
+ (portRef CE (instanceRef blk00000554))
+ (portRef CE (instanceRef blk00000555))
+ (portRef CE (instanceRef blk00000556))
+ (portRef CE (instanceRef blk00000557))
+ (portRef CE (instanceRef blk00000558))
+ (portRef CE (instanceRef blk00000559))
+ (portRef CE (instanceRef blk0000055a))
+ (portRef CE (instanceRef blk0000055b))
+ (portRef CE (instanceRef blk0000055c))
+ (portRef CE (instanceRef blk0000055d))
+ (portRef CE (instanceRef blk0000055e))
+ (portRef CE (instanceRef blk0000055f))
+ (portRef CE (instanceRef blk00000560))
+ (portRef CE (instanceRef blk00000561))
+ (portRef CE (instanceRef blk00000562))
+ (portRef CE (instanceRef blk00000563))
+ (portRef CE (instanceRef blk00000564))
+ (portRef CE (instanceRef blk00000565))
+ (portRef CE (instanceRef blk00000566))
+ (portRef CE (instanceRef blk00000567))
+ (portRef CE (instanceRef blk00000568))
+ (portRef I0 (instanceRef blk00000581))
+ )
+ )
+ (net sig000012ab
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000551))
+ (portRef C (instanceRef blk00000552))
+ (portRef C (instanceRef blk00000553))
+ (portRef C (instanceRef blk00000554))
+ (portRef C (instanceRef blk00000555))
+ (portRef C (instanceRef blk00000556))
+ (portRef C (instanceRef blk00000557))
+ (portRef C (instanceRef blk00000558))
+ (portRef C (instanceRef blk00000559))
+ (portRef C (instanceRef blk0000055a))
+ (portRef C (instanceRef blk0000055b))
+ (portRef C (instanceRef blk0000055c))
+ (portRef C (instanceRef blk0000055d))
+ (portRef C (instanceRef blk0000055e))
+ (portRef C (instanceRef blk0000055f))
+ (portRef C (instanceRef blk00000560))
+ (portRef C (instanceRef blk00000561))
+ (portRef C (instanceRef blk00000562))
+ (portRef C (instanceRef blk00000563))
+ (portRef C (instanceRef blk00000564))
+ (portRef C (instanceRef blk00000565))
+ (portRef C (instanceRef blk00000566))
+ (portRef C (instanceRef blk00000567))
+ (portRef C (instanceRef blk00000568))
+ (portRef CLK (instanceRef blk00000569))
+ (portRef CLK (instanceRef blk0000056a))
+ (portRef CLK (instanceRef blk0000056b))
+ (portRef CLK (instanceRef blk0000056c))
+ (portRef CLK (instanceRef blk0000056d))
+ (portRef CLK (instanceRef blk0000056e))
+ (portRef CLK (instanceRef blk0000056f))
+ (portRef CLK (instanceRef blk00000570))
+ (portRef CLK (instanceRef blk00000571))
+ (portRef CLK (instanceRef blk00000572))
+ (portRef CLK (instanceRef blk00000573))
+ (portRef CLK (instanceRef blk00000574))
+ (portRef CLK (instanceRef blk00000575))
+ (portRef CLK (instanceRef blk00000576))
+ (portRef CLK (instanceRef blk00000577))
+ (portRef CLK (instanceRef blk00000578))
+ (portRef CLK (instanceRef blk00000579))
+ (portRef CLK (instanceRef blk0000057a))
+ (portRef CLK (instanceRef blk0000057b))
+ (portRef CLK (instanceRef blk0000057c))
+ (portRef CLK (instanceRef blk0000057d))
+ (portRef CLK (instanceRef blk0000057e))
+ (portRef CLK (instanceRef blk0000057f))
+ (portRef CLK (instanceRef blk00000580))
+ )
+ )
+ (net sig000012ac
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000568))
+ )
+ )
+ (net sig000012ad
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000567))
+ )
+ )
+ (net sig000012ae
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000566))
+ )
+ )
+ (net sig000012af
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000565))
+ )
+ )
+ (net sig000012b0
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000564))
+ )
+ )
+ (net sig000012b1
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000563))
+ )
+ )
+ (net sig000012b2
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000562))
+ )
+ )
+ (net sig000012b3
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000561))
+ )
+ )
+ (net sig000012b4
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000560))
+ )
+ )
+ (net sig000012b5
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000055f))
+ )
+ )
+ (net sig000012b6
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000055e))
+ )
+ )
+ (net sig000012b7
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000055d))
+ )
+ )
+ (net sig000012b8
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000055c))
+ )
+ )
+ (net sig000012b9
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000055b))
+ )
+ )
+ (net sig000012ba
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000055a))
+ )
+ )
+ (net sig000012bb
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000559))
+ )
+ )
+ (net sig000012bc
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000558))
+ )
+ )
+ (net sig000012bd
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000557))
+ )
+ )
+ (net sig000012be
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000556))
+ )
+ )
+ (net sig000012bf
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000555))
+ )
+ )
+ (net sig000012c0
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000554))
+ )
+ )
+ (net sig000012c1
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000553))
+ )
+ )
+ (net sig000012c2
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000552))
+ )
+ )
+ (net sig000012c3
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000551))
+ )
+ )
+ (net sig000012c4
+ (joined
+ (portRef G (instanceRef blk00000550))
+ (portRef A1 (instanceRef blk00000569))
+ (portRef A2 (instanceRef blk00000569))
+ (portRef A3 (instanceRef blk00000569))
+ (portRef A1 (instanceRef blk0000056a))
+ (portRef A2 (instanceRef blk0000056a))
+ (portRef A3 (instanceRef blk0000056a))
+ (portRef A1 (instanceRef blk0000056b))
+ (portRef A2 (instanceRef blk0000056b))
+ (portRef A3 (instanceRef blk0000056b))
+ (portRef A1 (instanceRef blk0000056c))
+ (portRef A2 (instanceRef blk0000056c))
+ (portRef A3 (instanceRef blk0000056c))
+ (portRef A1 (instanceRef blk0000056d))
+ (portRef A2 (instanceRef blk0000056d))
+ (portRef A3 (instanceRef blk0000056d))
+ (portRef A1 (instanceRef blk0000056e))
+ (portRef A2 (instanceRef blk0000056e))
+ (portRef A3 (instanceRef blk0000056e))
+ (portRef A1 (instanceRef blk0000056f))
+ (portRef A2 (instanceRef blk0000056f))
+ (portRef A3 (instanceRef blk0000056f))
+ (portRef A1 (instanceRef blk00000570))
+ (portRef A2 (instanceRef blk00000570))
+ (portRef A3 (instanceRef blk00000570))
+ (portRef A1 (instanceRef blk00000571))
+ (portRef A2 (instanceRef blk00000571))
+ (portRef A3 (instanceRef blk00000571))
+ (portRef A1 (instanceRef blk00000572))
+ (portRef A2 (instanceRef blk00000572))
+ (portRef A3 (instanceRef blk00000572))
+ (portRef A1 (instanceRef blk00000573))
+ (portRef A2 (instanceRef blk00000573))
+ (portRef A3 (instanceRef blk00000573))
+ (portRef A1 (instanceRef blk00000574))
+ (portRef A2 (instanceRef blk00000574))
+ (portRef A3 (instanceRef blk00000574))
+ (portRef A1 (instanceRef blk00000575))
+ (portRef A2 (instanceRef blk00000575))
+ (portRef A3 (instanceRef blk00000575))
+ (portRef A1 (instanceRef blk00000576))
+ (portRef A2 (instanceRef blk00000576))
+ (portRef A3 (instanceRef blk00000576))
+ (portRef A1 (instanceRef blk00000577))
+ (portRef A2 (instanceRef blk00000577))
+ (portRef A3 (instanceRef blk00000577))
+ (portRef A1 (instanceRef blk00000578))
+ (portRef A2 (instanceRef blk00000578))
+ (portRef A3 (instanceRef blk00000578))
+ (portRef A1 (instanceRef blk00000579))
+ (portRef A2 (instanceRef blk00000579))
+ (portRef A3 (instanceRef blk00000579))
+ (portRef A1 (instanceRef blk0000057a))
+ (portRef A2 (instanceRef blk0000057a))
+ (portRef A3 (instanceRef blk0000057a))
+ (portRef A1 (instanceRef blk0000057b))
+ (portRef A2 (instanceRef blk0000057b))
+ (portRef A3 (instanceRef blk0000057b))
+ (portRef A1 (instanceRef blk0000057c))
+ (portRef A2 (instanceRef blk0000057c))
+ (portRef A3 (instanceRef blk0000057c))
+ (portRef A1 (instanceRef blk0000057d))
+ (portRef A2 (instanceRef blk0000057d))
+ (portRef A3 (instanceRef blk0000057d))
+ (portRef A1 (instanceRef blk0000057e))
+ (portRef A2 (instanceRef blk0000057e))
+ (portRef A3 (instanceRef blk0000057e))
+ (portRef A1 (instanceRef blk0000057f))
+ (portRef A2 (instanceRef blk0000057f))
+ (portRef A3 (instanceRef blk0000057f))
+ (portRef A1 (instanceRef blk00000580))
+ (portRef A2 (instanceRef blk00000580))
+ (portRef A3 (instanceRef blk00000580))
+ )
+ )
+ (net sig000012c5
+ (joined
+ (portRef D (instanceRef blk00000551))
+ (portRef Q (instanceRef blk0000056a))
+ )
+ )
+ (net sig000012c6
+ (joined
+ (portRef D (instanceRef blk00000552))
+ (portRef Q (instanceRef blk0000056b))
+ )
+ )
+ (net sig000012c7
+ (joined
+ (portRef D (instanceRef blk00000553))
+ (portRef Q (instanceRef blk00000569))
+ )
+ )
+ (net sig000012c8
+ (joined
+ (portRef D (instanceRef blk00000554))
+ (portRef Q (instanceRef blk0000056d))
+ )
+ )
+ (net sig000012c9
+ (joined
+ (portRef D (instanceRef blk00000555))
+ (portRef Q (instanceRef blk0000056e))
+ )
+ )
+ (net sig000012ca
+ (joined
+ (portRef D (instanceRef blk00000556))
+ (portRef Q (instanceRef blk0000056c))
+ )
+ )
+ (net sig000012cb
+ (joined
+ (portRef D (instanceRef blk00000557))
+ (portRef Q (instanceRef blk00000570))
+ )
+ )
+ (net sig000012cc
+ (joined
+ (portRef D (instanceRef blk00000558))
+ (portRef Q (instanceRef blk00000571))
+ )
+ )
+ (net sig000012cd
+ (joined
+ (portRef D (instanceRef blk00000559))
+ (portRef Q (instanceRef blk0000056f))
+ )
+ )
+ (net sig000012ce
+ (joined
+ (portRef D (instanceRef blk0000055a))
+ (portRef Q (instanceRef blk00000573))
+ )
+ )
+ (net sig000012cf
+ (joined
+ (portRef D (instanceRef blk0000055b))
+ (portRef Q (instanceRef blk00000574))
+ )
+ )
+ (net sig000012d0
+ (joined
+ (portRef D (instanceRef blk0000055c))
+ (portRef Q (instanceRef blk00000572))
+ )
+ )
+ (net sig000012d1
+ (joined
+ (portRef D (instanceRef blk0000055d))
+ (portRef Q (instanceRef blk00000576))
+ )
+ )
+ (net sig000012d2
+ (joined
+ (portRef D (instanceRef blk0000055e))
+ (portRef Q (instanceRef blk00000577))
+ )
+ )
+ (net sig000012d3
+ (joined
+ (portRef D (instanceRef blk0000055f))
+ (portRef Q (instanceRef blk00000575))
+ )
+ )
+ (net sig000012d4
+ (joined
+ (portRef D (instanceRef blk00000560))
+ (portRef Q (instanceRef blk00000579))
+ )
+ )
+ (net sig000012d5
+ (joined
+ (portRef D (instanceRef blk00000561))
+ (portRef Q (instanceRef blk0000057a))
+ )
+ )
+ (net sig000012d6
+ (joined
+ (portRef D (instanceRef blk00000562))
+ (portRef Q (instanceRef blk00000578))
+ )
+ )
+ (net sig000012d7
+ (joined
+ (portRef D (instanceRef blk00000563))
+ (portRef Q (instanceRef blk0000057c))
+ )
+ )
+ (net sig000012d8
+ (joined
+ (portRef D (instanceRef blk00000564))
+ (portRef Q (instanceRef blk0000057d))
+ )
+ )
+ (net sig000012d9
+ (joined
+ (portRef D (instanceRef blk00000565))
+ (portRef Q (instanceRef blk0000057b))
+ )
+ )
+ (net sig000012da
+ (joined
+ (portRef D (instanceRef blk00000566))
+ (portRef Q (instanceRef blk0000057f))
+ )
+ )
+ (net sig000012db
+ (joined
+ (portRef D (instanceRef blk00000567))
+ (portRef Q (instanceRef blk00000580))
+ )
+ )
+ (net sig000012dc
+ (joined
+ (portRef D (instanceRef blk00000568))
+ (portRef Q (instanceRef blk0000057e))
+ )
+ )
+ (net sig000012dd
+ (joined
+ (portRef CE (instanceRef blk00000569))
+ (portRef CE (instanceRef blk0000056a))
+ (portRef CE (instanceRef blk0000056b))
+ (portRef CE (instanceRef blk0000056c))
+ (portRef CE (instanceRef blk0000056d))
+ (portRef CE (instanceRef blk0000056e))
+ (portRef CE (instanceRef blk0000056f))
+ (portRef CE (instanceRef blk00000570))
+ (portRef CE (instanceRef blk00000571))
+ (portRef CE (instanceRef blk00000572))
+ (portRef CE (instanceRef blk00000573))
+ (portRef CE (instanceRef blk00000574))
+ (portRef CE (instanceRef blk00000575))
+ (portRef CE (instanceRef blk00000576))
+ (portRef CE (instanceRef blk00000577))
+ (portRef CE (instanceRef blk00000578))
+ (portRef CE (instanceRef blk00000579))
+ (portRef CE (instanceRef blk0000057a))
+ (portRef CE (instanceRef blk0000057b))
+ (portRef CE (instanceRef blk0000057c))
+ (portRef CE (instanceRef blk0000057d))
+ (portRef CE (instanceRef blk0000057e))
+ (portRef CE (instanceRef blk0000057f))
+ (portRef CE (instanceRef blk00000580))
+ (portRef O (instanceRef blk00000581))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO20_blk0000051c "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000051d
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000051e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000520
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000521
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000522
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000523
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000524
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000525
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000526
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000527
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000528
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000529
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000530
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000531
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000532
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000533
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000534
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000535
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000536
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000537
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000538
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000539
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000540
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000541
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000542
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000543
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000544
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000545
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000546
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000547
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000548
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000549
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001242
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000536))
+ (portRef A0 (instanceRef blk00000537))
+ (portRef A0 (instanceRef blk00000538))
+ (portRef A0 (instanceRef blk00000539))
+ (portRef A0 (instanceRef blk0000053a))
+ (portRef A0 (instanceRef blk0000053b))
+ (portRef A0 (instanceRef blk0000053c))
+ (portRef A0 (instanceRef blk0000053d))
+ (portRef A0 (instanceRef blk0000053e))
+ (portRef A0 (instanceRef blk0000053f))
+ (portRef A0 (instanceRef blk00000540))
+ (portRef A0 (instanceRef blk00000541))
+ (portRef A0 (instanceRef blk00000542))
+ (portRef A0 (instanceRef blk00000543))
+ (portRef A0 (instanceRef blk00000544))
+ (portRef A0 (instanceRef blk00000545))
+ (portRef A0 (instanceRef blk00000546))
+ (portRef A0 (instanceRef blk00000547))
+ (portRef A0 (instanceRef blk00000548))
+ (portRef A0 (instanceRef blk00000549))
+ (portRef A0 (instanceRef blk0000054a))
+ (portRef A0 (instanceRef blk0000054b))
+ (portRef A0 (instanceRef blk0000054c))
+ (portRef A0 (instanceRef blk0000054d))
+ )
+ )
+ (net sig00001243
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000054b))
+ )
+ )
+ (net sig00001244
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000054d))
+ )
+ )
+ (net sig00001245
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000054c))
+ )
+ )
+ (net sig00001246
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000548))
+ )
+ )
+ (net sig00001247
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000054a))
+ )
+ )
+ (net sig00001248
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000549))
+ )
+ )
+ (net sig00001249
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000545))
+ )
+ )
+ (net sig0000124a
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000547))
+ )
+ )
+ (net sig0000124b
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000546))
+ )
+ )
+ (net sig0000124c
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000542))
+ )
+ )
+ (net sig0000124d
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000544))
+ )
+ )
+ (net sig0000124e
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000543))
+ )
+ )
+ (net sig0000124f
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000053f))
+ )
+ )
+ (net sig00001250
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000541))
+ )
+ )
+ (net sig00001251
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000540))
+ )
+ )
+ (net sig00001252
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000053c))
+ )
+ )
+ (net sig00001253
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000053e))
+ )
+ )
+ (net sig00001254
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000053d))
+ )
+ )
+ (net sig00001255
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000539))
+ )
+ )
+ (net sig00001256
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000053b))
+ )
+ )
+ (net sig00001257
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000053a))
+ )
+ )
+ (net sig00001258
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000536))
+ )
+ )
+ (net sig00001259
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000538))
+ )
+ )
+ (net sig0000125a
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000537))
+ )
+ )
+ (net sig0000125b
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000054e))
+ )
+ )
+ (net sig0000125c
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000051e))
+ (portRef CE (instanceRef blk0000051f))
+ (portRef CE (instanceRef blk00000520))
+ (portRef CE (instanceRef blk00000521))
+ (portRef CE (instanceRef blk00000522))
+ (portRef CE (instanceRef blk00000523))
+ (portRef CE (instanceRef blk00000524))
+ (portRef CE (instanceRef blk00000525))
+ (portRef CE (instanceRef blk00000526))
+ (portRef CE (instanceRef blk00000527))
+ (portRef CE (instanceRef blk00000528))
+ (portRef CE (instanceRef blk00000529))
+ (portRef CE (instanceRef blk0000052a))
+ (portRef CE (instanceRef blk0000052b))
+ (portRef CE (instanceRef blk0000052c))
+ (portRef CE (instanceRef blk0000052d))
+ (portRef CE (instanceRef blk0000052e))
+ (portRef CE (instanceRef blk0000052f))
+ (portRef CE (instanceRef blk00000530))
+ (portRef CE (instanceRef blk00000531))
+ (portRef CE (instanceRef blk00000532))
+ (portRef CE (instanceRef blk00000533))
+ (portRef CE (instanceRef blk00000534))
+ (portRef CE (instanceRef blk00000535))
+ (portRef I0 (instanceRef blk0000054e))
+ )
+ )
+ (net sig0000125d
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000051e))
+ (portRef C (instanceRef blk0000051f))
+ (portRef C (instanceRef blk00000520))
+ (portRef C (instanceRef blk00000521))
+ (portRef C (instanceRef blk00000522))
+ (portRef C (instanceRef blk00000523))
+ (portRef C (instanceRef blk00000524))
+ (portRef C (instanceRef blk00000525))
+ (portRef C (instanceRef blk00000526))
+ (portRef C (instanceRef blk00000527))
+ (portRef C (instanceRef blk00000528))
+ (portRef C (instanceRef blk00000529))
+ (portRef C (instanceRef blk0000052a))
+ (portRef C (instanceRef blk0000052b))
+ (portRef C (instanceRef blk0000052c))
+ (portRef C (instanceRef blk0000052d))
+ (portRef C (instanceRef blk0000052e))
+ (portRef C (instanceRef blk0000052f))
+ (portRef C (instanceRef blk00000530))
+ (portRef C (instanceRef blk00000531))
+ (portRef C (instanceRef blk00000532))
+ (portRef C (instanceRef blk00000533))
+ (portRef C (instanceRef blk00000534))
+ (portRef C (instanceRef blk00000535))
+ (portRef CLK (instanceRef blk00000536))
+ (portRef CLK (instanceRef blk00000537))
+ (portRef CLK (instanceRef blk00000538))
+ (portRef CLK (instanceRef blk00000539))
+ (portRef CLK (instanceRef blk0000053a))
+ (portRef CLK (instanceRef blk0000053b))
+ (portRef CLK (instanceRef blk0000053c))
+ (portRef CLK (instanceRef blk0000053d))
+ (portRef CLK (instanceRef blk0000053e))
+ (portRef CLK (instanceRef blk0000053f))
+ (portRef CLK (instanceRef blk00000540))
+ (portRef CLK (instanceRef blk00000541))
+ (portRef CLK (instanceRef blk00000542))
+ (portRef CLK (instanceRef blk00000543))
+ (portRef CLK (instanceRef blk00000544))
+ (portRef CLK (instanceRef blk00000545))
+ (portRef CLK (instanceRef blk00000546))
+ (portRef CLK (instanceRef blk00000547))
+ (portRef CLK (instanceRef blk00000548))
+ (portRef CLK (instanceRef blk00000549))
+ (portRef CLK (instanceRef blk0000054a))
+ (portRef CLK (instanceRef blk0000054b))
+ (portRef CLK (instanceRef blk0000054c))
+ (portRef CLK (instanceRef blk0000054d))
+ )
+ )
+ (net sig0000125e
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000535))
+ )
+ )
+ (net sig0000125f
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000534))
+ )
+ )
+ (net sig00001260
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000533))
+ )
+ )
+ (net sig00001261
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000532))
+ )
+ )
+ (net sig00001262
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000531))
+ )
+ )
+ (net sig00001263
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000530))
+ )
+ )
+ (net sig00001264
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000052f))
+ )
+ )
+ (net sig00001265
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000052e))
+ )
+ )
+ (net sig00001266
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000052d))
+ )
+ )
+ (net sig00001267
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000052c))
+ )
+ )
+ (net sig00001268
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000052b))
+ )
+ )
+ (net sig00001269
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000052a))
+ )
+ )
+ (net sig0000126a
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000529))
+ )
+ )
+ (net sig0000126b
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000528))
+ )
+ )
+ (net sig0000126c
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000527))
+ )
+ )
+ (net sig0000126d
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000526))
+ )
+ )
+ (net sig0000126e
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000525))
+ )
+ )
+ (net sig0000126f
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000524))
+ )
+ )
+ (net sig00001270
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000523))
+ )
+ )
+ (net sig00001271
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000522))
+ )
+ )
+ (net sig00001272
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000521))
+ )
+ )
+ (net sig00001273
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000520))
+ )
+ )
+ (net sig00001274
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000051f))
+ )
+ )
+ (net sig00001275
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000051e))
+ )
+ )
+ (net sig00001276
+ (joined
+ (portRef G (instanceRef blk0000051d))
+ (portRef A1 (instanceRef blk00000536))
+ (portRef A2 (instanceRef blk00000536))
+ (portRef A3 (instanceRef blk00000536))
+ (portRef A1 (instanceRef blk00000537))
+ (portRef A2 (instanceRef blk00000537))
+ (portRef A3 (instanceRef blk00000537))
+ (portRef A1 (instanceRef blk00000538))
+ (portRef A2 (instanceRef blk00000538))
+ (portRef A3 (instanceRef blk00000538))
+ (portRef A1 (instanceRef blk00000539))
+ (portRef A2 (instanceRef blk00000539))
+ (portRef A3 (instanceRef blk00000539))
+ (portRef A1 (instanceRef blk0000053a))
+ (portRef A2 (instanceRef blk0000053a))
+ (portRef A3 (instanceRef blk0000053a))
+ (portRef A1 (instanceRef blk0000053b))
+ (portRef A2 (instanceRef blk0000053b))
+ (portRef A3 (instanceRef blk0000053b))
+ (portRef A1 (instanceRef blk0000053c))
+ (portRef A2 (instanceRef blk0000053c))
+ (portRef A3 (instanceRef blk0000053c))
+ (portRef A1 (instanceRef blk0000053d))
+ (portRef A2 (instanceRef blk0000053d))
+ (portRef A3 (instanceRef blk0000053d))
+ (portRef A1 (instanceRef blk0000053e))
+ (portRef A2 (instanceRef blk0000053e))
+ (portRef A3 (instanceRef blk0000053e))
+ (portRef A1 (instanceRef blk0000053f))
+ (portRef A2 (instanceRef blk0000053f))
+ (portRef A3 (instanceRef blk0000053f))
+ (portRef A1 (instanceRef blk00000540))
+ (portRef A2 (instanceRef blk00000540))
+ (portRef A3 (instanceRef blk00000540))
+ (portRef A1 (instanceRef blk00000541))
+ (portRef A2 (instanceRef blk00000541))
+ (portRef A3 (instanceRef blk00000541))
+ (portRef A1 (instanceRef blk00000542))
+ (portRef A2 (instanceRef blk00000542))
+ (portRef A3 (instanceRef blk00000542))
+ (portRef A1 (instanceRef blk00000543))
+ (portRef A2 (instanceRef blk00000543))
+ (portRef A3 (instanceRef blk00000543))
+ (portRef A1 (instanceRef blk00000544))
+ (portRef A2 (instanceRef blk00000544))
+ (portRef A3 (instanceRef blk00000544))
+ (portRef A1 (instanceRef blk00000545))
+ (portRef A2 (instanceRef blk00000545))
+ (portRef A3 (instanceRef blk00000545))
+ (portRef A1 (instanceRef blk00000546))
+ (portRef A2 (instanceRef blk00000546))
+ (portRef A3 (instanceRef blk00000546))
+ (portRef A1 (instanceRef blk00000547))
+ (portRef A2 (instanceRef blk00000547))
+ (portRef A3 (instanceRef blk00000547))
+ (portRef A1 (instanceRef blk00000548))
+ (portRef A2 (instanceRef blk00000548))
+ (portRef A3 (instanceRef blk00000548))
+ (portRef A1 (instanceRef blk00000549))
+ (portRef A2 (instanceRef blk00000549))
+ (portRef A3 (instanceRef blk00000549))
+ (portRef A1 (instanceRef blk0000054a))
+ (portRef A2 (instanceRef blk0000054a))
+ (portRef A3 (instanceRef blk0000054a))
+ (portRef A1 (instanceRef blk0000054b))
+ (portRef A2 (instanceRef blk0000054b))
+ (portRef A3 (instanceRef blk0000054b))
+ (portRef A1 (instanceRef blk0000054c))
+ (portRef A2 (instanceRef blk0000054c))
+ (portRef A3 (instanceRef blk0000054c))
+ (portRef A1 (instanceRef blk0000054d))
+ (portRef A2 (instanceRef blk0000054d))
+ (portRef A3 (instanceRef blk0000054d))
+ )
+ )
+ (net sig00001277
+ (joined
+ (portRef D (instanceRef blk0000051e))
+ (portRef Q (instanceRef blk00000537))
+ )
+ )
+ (net sig00001278
+ (joined
+ (portRef D (instanceRef blk0000051f))
+ (portRef Q (instanceRef blk00000538))
+ )
+ )
+ (net sig00001279
+ (joined
+ (portRef D (instanceRef blk00000520))
+ (portRef Q (instanceRef blk00000536))
+ )
+ )
+ (net sig0000127a
+ (joined
+ (portRef D (instanceRef blk00000521))
+ (portRef Q (instanceRef blk0000053a))
+ )
+ )
+ (net sig0000127b
+ (joined
+ (portRef D (instanceRef blk00000522))
+ (portRef Q (instanceRef blk0000053b))
+ )
+ )
+ (net sig0000127c
+ (joined
+ (portRef D (instanceRef blk00000523))
+ (portRef Q (instanceRef blk00000539))
+ )
+ )
+ (net sig0000127d
+ (joined
+ (portRef D (instanceRef blk00000524))
+ (portRef Q (instanceRef blk0000053d))
+ )
+ )
+ (net sig0000127e
+ (joined
+ (portRef D (instanceRef blk00000525))
+ (portRef Q (instanceRef blk0000053e))
+ )
+ )
+ (net sig0000127f
+ (joined
+ (portRef D (instanceRef blk00000526))
+ (portRef Q (instanceRef blk0000053c))
+ )
+ )
+ (net sig00001280
+ (joined
+ (portRef D (instanceRef blk00000527))
+ (portRef Q (instanceRef blk00000540))
+ )
+ )
+ (net sig00001281
+ (joined
+ (portRef D (instanceRef blk00000528))
+ (portRef Q (instanceRef blk00000541))
+ )
+ )
+ (net sig00001282
+ (joined
+ (portRef D (instanceRef blk00000529))
+ (portRef Q (instanceRef blk0000053f))
+ )
+ )
+ (net sig00001283
+ (joined
+ (portRef D (instanceRef blk0000052a))
+ (portRef Q (instanceRef blk00000543))
+ )
+ )
+ (net sig00001284
+ (joined
+ (portRef D (instanceRef blk0000052b))
+ (portRef Q (instanceRef blk00000544))
+ )
+ )
+ (net sig00001285
+ (joined
+ (portRef D (instanceRef blk0000052c))
+ (portRef Q (instanceRef blk00000542))
+ )
+ )
+ (net sig00001286
+ (joined
+ (portRef D (instanceRef blk0000052d))
+ (portRef Q (instanceRef blk00000546))
+ )
+ )
+ (net sig00001287
+ (joined
+ (portRef D (instanceRef blk0000052e))
+ (portRef Q (instanceRef blk00000547))
+ )
+ )
+ (net sig00001288
+ (joined
+ (portRef D (instanceRef blk0000052f))
+ (portRef Q (instanceRef blk00000545))
+ )
+ )
+ (net sig00001289
+ (joined
+ (portRef D (instanceRef blk00000530))
+ (portRef Q (instanceRef blk00000549))
+ )
+ )
+ (net sig0000128a
+ (joined
+ (portRef D (instanceRef blk00000531))
+ (portRef Q (instanceRef blk0000054a))
+ )
+ )
+ (net sig0000128b
+ (joined
+ (portRef D (instanceRef blk00000532))
+ (portRef Q (instanceRef blk00000548))
+ )
+ )
+ (net sig0000128c
+ (joined
+ (portRef D (instanceRef blk00000533))
+ (portRef Q (instanceRef blk0000054c))
+ )
+ )
+ (net sig0000128d
+ (joined
+ (portRef D (instanceRef blk00000534))
+ (portRef Q (instanceRef blk0000054d))
+ )
+ )
+ (net sig0000128e
+ (joined
+ (portRef D (instanceRef blk00000535))
+ (portRef Q (instanceRef blk0000054b))
+ )
+ )
+ (net sig0000128f
+ (joined
+ (portRef CE (instanceRef blk00000536))
+ (portRef CE (instanceRef blk00000537))
+ (portRef CE (instanceRef blk00000538))
+ (portRef CE (instanceRef blk00000539))
+ (portRef CE (instanceRef blk0000053a))
+ (portRef CE (instanceRef blk0000053b))
+ (portRef CE (instanceRef blk0000053c))
+ (portRef CE (instanceRef blk0000053d))
+ (portRef CE (instanceRef blk0000053e))
+ (portRef CE (instanceRef blk0000053f))
+ (portRef CE (instanceRef blk00000540))
+ (portRef CE (instanceRef blk00000541))
+ (portRef CE (instanceRef blk00000542))
+ (portRef CE (instanceRef blk00000543))
+ (portRef CE (instanceRef blk00000544))
+ (portRef CE (instanceRef blk00000545))
+ (portRef CE (instanceRef blk00000546))
+ (portRef CE (instanceRef blk00000547))
+ (portRef CE (instanceRef blk00000548))
+ (portRef CE (instanceRef blk00000549))
+ (portRef CE (instanceRef blk0000054a))
+ (portRef CE (instanceRef blk0000054b))
+ (portRef CE (instanceRef blk0000054c))
+ (portRef CE (instanceRef blk0000054d))
+ (portRef O (instanceRef blk0000054e))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO19_blk000004e9 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000004ea
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fe
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000500
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000501
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000502
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000503
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000504
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000505
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000506
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000507
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000508
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000509
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000510
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000511
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000512
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000513
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000514
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000515
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000516
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000517
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000518
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000519
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000051a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000051b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000011f4
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000503))
+ (portRef A0 (instanceRef blk00000504))
+ (portRef A0 (instanceRef blk00000505))
+ (portRef A0 (instanceRef blk00000506))
+ (portRef A0 (instanceRef blk00000507))
+ (portRef A0 (instanceRef blk00000508))
+ (portRef A0 (instanceRef blk00000509))
+ (portRef A0 (instanceRef blk0000050a))
+ (portRef A0 (instanceRef blk0000050b))
+ (portRef A0 (instanceRef blk0000050c))
+ (portRef A0 (instanceRef blk0000050d))
+ (portRef A0 (instanceRef blk0000050e))
+ (portRef A0 (instanceRef blk0000050f))
+ (portRef A0 (instanceRef blk00000510))
+ (portRef A0 (instanceRef blk00000511))
+ (portRef A0 (instanceRef blk00000512))
+ (portRef A0 (instanceRef blk00000513))
+ (portRef A0 (instanceRef blk00000514))
+ (portRef A0 (instanceRef blk00000515))
+ (portRef A0 (instanceRef blk00000516))
+ (portRef A0 (instanceRef blk00000517))
+ (portRef A0 (instanceRef blk00000518))
+ (portRef A0 (instanceRef blk00000519))
+ (portRef A0 (instanceRef blk0000051a))
+ )
+ )
+ (net sig000011f5
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000518))
+ )
+ )
+ (net sig000011f6
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000051a))
+ )
+ )
+ (net sig000011f7
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000519))
+ )
+ )
+ (net sig000011f8
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000515))
+ )
+ )
+ (net sig000011f9
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000517))
+ )
+ )
+ (net sig000011fa
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000516))
+ )
+ )
+ (net sig000011fb
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000512))
+ )
+ )
+ (net sig000011fc
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000514))
+ )
+ )
+ (net sig000011fd
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000513))
+ )
+ )
+ (net sig000011fe
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000050f))
+ )
+ )
+ (net sig000011ff
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000511))
+ )
+ )
+ (net sig00001200
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000510))
+ )
+ )
+ (net sig00001201
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000050c))
+ )
+ )
+ (net sig00001202
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000050e))
+ )
+ )
+ (net sig00001203
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000050d))
+ )
+ )
+ (net sig00001204
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000509))
+ )
+ )
+ (net sig00001205
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000050b))
+ )
+ )
+ (net sig00001206
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000050a))
+ )
+ )
+ (net sig00001207
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000506))
+ )
+ )
+ (net sig00001208
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000508))
+ )
+ )
+ (net sig00001209
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000507))
+ )
+ )
+ (net sig0000120a
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000503))
+ )
+ )
+ (net sig0000120b
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000505))
+ )
+ )
+ (net sig0000120c
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000504))
+ )
+ )
+ (net sig0000120d
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000051b))
+ )
+ )
+ (net sig0000120e
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000004eb))
+ (portRef CE (instanceRef blk000004ec))
+ (portRef CE (instanceRef blk000004ed))
+ (portRef CE (instanceRef blk000004ee))
+ (portRef CE (instanceRef blk000004ef))
+ (portRef CE (instanceRef blk000004f0))
+ (portRef CE (instanceRef blk000004f1))
+ (portRef CE (instanceRef blk000004f2))
+ (portRef CE (instanceRef blk000004f3))
+ (portRef CE (instanceRef blk000004f4))
+ (portRef CE (instanceRef blk000004f5))
+ (portRef CE (instanceRef blk000004f6))
+ (portRef CE (instanceRef blk000004f7))
+ (portRef CE (instanceRef blk000004f8))
+ (portRef CE (instanceRef blk000004f9))
+ (portRef CE (instanceRef blk000004fa))
+ (portRef CE (instanceRef blk000004fb))
+ (portRef CE (instanceRef blk000004fc))
+ (portRef CE (instanceRef blk000004fd))
+ (portRef CE (instanceRef blk000004fe))
+ (portRef CE (instanceRef blk000004ff))
+ (portRef CE (instanceRef blk00000500))
+ (portRef CE (instanceRef blk00000501))
+ (portRef CE (instanceRef blk00000502))
+ (portRef I0 (instanceRef blk0000051b))
+ )
+ )
+ (net sig0000120f
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000004eb))
+ (portRef C (instanceRef blk000004ec))
+ (portRef C (instanceRef blk000004ed))
+ (portRef C (instanceRef blk000004ee))
+ (portRef C (instanceRef blk000004ef))
+ (portRef C (instanceRef blk000004f0))
+ (portRef C (instanceRef blk000004f1))
+ (portRef C (instanceRef blk000004f2))
+ (portRef C (instanceRef blk000004f3))
+ (portRef C (instanceRef blk000004f4))
+ (portRef C (instanceRef blk000004f5))
+ (portRef C (instanceRef blk000004f6))
+ (portRef C (instanceRef blk000004f7))
+ (portRef C (instanceRef blk000004f8))
+ (portRef C (instanceRef blk000004f9))
+ (portRef C (instanceRef blk000004fa))
+ (portRef C (instanceRef blk000004fb))
+ (portRef C (instanceRef blk000004fc))
+ (portRef C (instanceRef blk000004fd))
+ (portRef C (instanceRef blk000004fe))
+ (portRef C (instanceRef blk000004ff))
+ (portRef C (instanceRef blk00000500))
+ (portRef C (instanceRef blk00000501))
+ (portRef C (instanceRef blk00000502))
+ (portRef CLK (instanceRef blk00000503))
+ (portRef CLK (instanceRef blk00000504))
+ (portRef CLK (instanceRef blk00000505))
+ (portRef CLK (instanceRef blk00000506))
+ (portRef CLK (instanceRef blk00000507))
+ (portRef CLK (instanceRef blk00000508))
+ (portRef CLK (instanceRef blk00000509))
+ (portRef CLK (instanceRef blk0000050a))
+ (portRef CLK (instanceRef blk0000050b))
+ (portRef CLK (instanceRef blk0000050c))
+ (portRef CLK (instanceRef blk0000050d))
+ (portRef CLK (instanceRef blk0000050e))
+ (portRef CLK (instanceRef blk0000050f))
+ (portRef CLK (instanceRef blk00000510))
+ (portRef CLK (instanceRef blk00000511))
+ (portRef CLK (instanceRef blk00000512))
+ (portRef CLK (instanceRef blk00000513))
+ (portRef CLK (instanceRef blk00000514))
+ (portRef CLK (instanceRef blk00000515))
+ (portRef CLK (instanceRef blk00000516))
+ (portRef CLK (instanceRef blk00000517))
+ (portRef CLK (instanceRef blk00000518))
+ (portRef CLK (instanceRef blk00000519))
+ (portRef CLK (instanceRef blk0000051a))
+ )
+ )
+ (net sig00001210
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000502))
+ )
+ )
+ (net sig00001211
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000501))
+ )
+ )
+ (net sig00001212
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000500))
+ )
+ )
+ (net sig00001213
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000004ff))
+ )
+ )
+ (net sig00001214
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000004fe))
+ )
+ )
+ (net sig00001215
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000004fd))
+ )
+ )
+ (net sig00001216
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000004fc))
+ )
+ )
+ (net sig00001217
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000004fb))
+ )
+ )
+ (net sig00001218
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000004fa))
+ )
+ )
+ (net sig00001219
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000004f9))
+ )
+ )
+ (net sig0000121a
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000004f8))
+ )
+ )
+ (net sig0000121b
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000004f7))
+ )
+ )
+ (net sig0000121c
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000004f6))
+ )
+ )
+ (net sig0000121d
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000004f5))
+ )
+ )
+ (net sig0000121e
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000004f4))
+ )
+ )
+ (net sig0000121f
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000004f3))
+ )
+ )
+ (net sig00001220
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000004f2))
+ )
+ )
+ (net sig00001221
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000004f1))
+ )
+ )
+ (net sig00001222
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000004f0))
+ )
+ )
+ (net sig00001223
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000004ef))
+ )
+ )
+ (net sig00001224
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000004ee))
+ )
+ )
+ (net sig00001225
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000004ed))
+ )
+ )
+ (net sig00001226
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000004ec))
+ )
+ )
+ (net sig00001227
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000004eb))
+ )
+ )
+ (net sig00001228
+ (joined
+ (portRef G (instanceRef blk000004ea))
+ (portRef A1 (instanceRef blk00000503))
+ (portRef A2 (instanceRef blk00000503))
+ (portRef A3 (instanceRef blk00000503))
+ (portRef A1 (instanceRef blk00000504))
+ (portRef A2 (instanceRef blk00000504))
+ (portRef A3 (instanceRef blk00000504))
+ (portRef A1 (instanceRef blk00000505))
+ (portRef A2 (instanceRef blk00000505))
+ (portRef A3 (instanceRef blk00000505))
+ (portRef A1 (instanceRef blk00000506))
+ (portRef A2 (instanceRef blk00000506))
+ (portRef A3 (instanceRef blk00000506))
+ (portRef A1 (instanceRef blk00000507))
+ (portRef A2 (instanceRef blk00000507))
+ (portRef A3 (instanceRef blk00000507))
+ (portRef A1 (instanceRef blk00000508))
+ (portRef A2 (instanceRef blk00000508))
+ (portRef A3 (instanceRef blk00000508))
+ (portRef A1 (instanceRef blk00000509))
+ (portRef A2 (instanceRef blk00000509))
+ (portRef A3 (instanceRef blk00000509))
+ (portRef A1 (instanceRef blk0000050a))
+ (portRef A2 (instanceRef blk0000050a))
+ (portRef A3 (instanceRef blk0000050a))
+ (portRef A1 (instanceRef blk0000050b))
+ (portRef A2 (instanceRef blk0000050b))
+ (portRef A3 (instanceRef blk0000050b))
+ (portRef A1 (instanceRef blk0000050c))
+ (portRef A2 (instanceRef blk0000050c))
+ (portRef A3 (instanceRef blk0000050c))
+ (portRef A1 (instanceRef blk0000050d))
+ (portRef A2 (instanceRef blk0000050d))
+ (portRef A3 (instanceRef blk0000050d))
+ (portRef A1 (instanceRef blk0000050e))
+ (portRef A2 (instanceRef blk0000050e))
+ (portRef A3 (instanceRef blk0000050e))
+ (portRef A1 (instanceRef blk0000050f))
+ (portRef A2 (instanceRef blk0000050f))
+ (portRef A3 (instanceRef blk0000050f))
+ (portRef A1 (instanceRef blk00000510))
+ (portRef A2 (instanceRef blk00000510))
+ (portRef A3 (instanceRef blk00000510))
+ (portRef A1 (instanceRef blk00000511))
+ (portRef A2 (instanceRef blk00000511))
+ (portRef A3 (instanceRef blk00000511))
+ (portRef A1 (instanceRef blk00000512))
+ (portRef A2 (instanceRef blk00000512))
+ (portRef A3 (instanceRef blk00000512))
+ (portRef A1 (instanceRef blk00000513))
+ (portRef A2 (instanceRef blk00000513))
+ (portRef A3 (instanceRef blk00000513))
+ (portRef A1 (instanceRef blk00000514))
+ (portRef A2 (instanceRef blk00000514))
+ (portRef A3 (instanceRef blk00000514))
+ (portRef A1 (instanceRef blk00000515))
+ (portRef A2 (instanceRef blk00000515))
+ (portRef A3 (instanceRef blk00000515))
+ (portRef A1 (instanceRef blk00000516))
+ (portRef A2 (instanceRef blk00000516))
+ (portRef A3 (instanceRef blk00000516))
+ (portRef A1 (instanceRef blk00000517))
+ (portRef A2 (instanceRef blk00000517))
+ (portRef A3 (instanceRef blk00000517))
+ (portRef A1 (instanceRef blk00000518))
+ (portRef A2 (instanceRef blk00000518))
+ (portRef A3 (instanceRef blk00000518))
+ (portRef A1 (instanceRef blk00000519))
+ (portRef A2 (instanceRef blk00000519))
+ (portRef A3 (instanceRef blk00000519))
+ (portRef A1 (instanceRef blk0000051a))
+ (portRef A2 (instanceRef blk0000051a))
+ (portRef A3 (instanceRef blk0000051a))
+ )
+ )
+ (net sig00001229
+ (joined
+ (portRef D (instanceRef blk000004eb))
+ (portRef Q (instanceRef blk00000504))
+ )
+ )
+ (net sig0000122a
+ (joined
+ (portRef D (instanceRef blk000004ec))
+ (portRef Q (instanceRef blk00000505))
+ )
+ )
+ (net sig0000122b
+ (joined
+ (portRef D (instanceRef blk000004ed))
+ (portRef Q (instanceRef blk00000503))
+ )
+ )
+ (net sig0000122c
+ (joined
+ (portRef D (instanceRef blk000004ee))
+ (portRef Q (instanceRef blk00000507))
+ )
+ )
+ (net sig0000122d
+ (joined
+ (portRef D (instanceRef blk000004ef))
+ (portRef Q (instanceRef blk00000508))
+ )
+ )
+ (net sig0000122e
+ (joined
+ (portRef D (instanceRef blk000004f0))
+ (portRef Q (instanceRef blk00000506))
+ )
+ )
+ (net sig0000122f
+ (joined
+ (portRef D (instanceRef blk000004f1))
+ (portRef Q (instanceRef blk0000050a))
+ )
+ )
+ (net sig00001230
+ (joined
+ (portRef D (instanceRef blk000004f2))
+ (portRef Q (instanceRef blk0000050b))
+ )
+ )
+ (net sig00001231
+ (joined
+ (portRef D (instanceRef blk000004f3))
+ (portRef Q (instanceRef blk00000509))
+ )
+ )
+ (net sig00001232
+ (joined
+ (portRef D (instanceRef blk000004f4))
+ (portRef Q (instanceRef blk0000050d))
+ )
+ )
+ (net sig00001233
+ (joined
+ (portRef D (instanceRef blk000004f5))
+ (portRef Q (instanceRef blk0000050e))
+ )
+ )
+ (net sig00001234
+ (joined
+ (portRef D (instanceRef blk000004f6))
+ (portRef Q (instanceRef blk0000050c))
+ )
+ )
+ (net sig00001235
+ (joined
+ (portRef D (instanceRef blk000004f7))
+ (portRef Q (instanceRef blk00000510))
+ )
+ )
+ (net sig00001236
+ (joined
+ (portRef D (instanceRef blk000004f8))
+ (portRef Q (instanceRef blk00000511))
+ )
+ )
+ (net sig00001237
+ (joined
+ (portRef D (instanceRef blk000004f9))
+ (portRef Q (instanceRef blk0000050f))
+ )
+ )
+ (net sig00001238
+ (joined
+ (portRef D (instanceRef blk000004fa))
+ (portRef Q (instanceRef blk00000513))
+ )
+ )
+ (net sig00001239
+ (joined
+ (portRef D (instanceRef blk000004fb))
+ (portRef Q (instanceRef blk00000514))
+ )
+ )
+ (net sig0000123a
+ (joined
+ (portRef D (instanceRef blk000004fc))
+ (portRef Q (instanceRef blk00000512))
+ )
+ )
+ (net sig0000123b
+ (joined
+ (portRef D (instanceRef blk000004fd))
+ (portRef Q (instanceRef blk00000516))
+ )
+ )
+ (net sig0000123c
+ (joined
+ (portRef D (instanceRef blk000004fe))
+ (portRef Q (instanceRef blk00000517))
+ )
+ )
+ (net sig0000123d
+ (joined
+ (portRef D (instanceRef blk000004ff))
+ (portRef Q (instanceRef blk00000515))
+ )
+ )
+ (net sig0000123e
+ (joined
+ (portRef D (instanceRef blk00000500))
+ (portRef Q (instanceRef blk00000519))
+ )
+ )
+ (net sig0000123f
+ (joined
+ (portRef D (instanceRef blk00000501))
+ (portRef Q (instanceRef blk0000051a))
+ )
+ )
+ (net sig00001240
+ (joined
+ (portRef D (instanceRef blk00000502))
+ (portRef Q (instanceRef blk00000518))
+ )
+ )
+ (net sig00001241
+ (joined
+ (portRef CE (instanceRef blk00000503))
+ (portRef CE (instanceRef blk00000504))
+ (portRef CE (instanceRef blk00000505))
+ (portRef CE (instanceRef blk00000506))
+ (portRef CE (instanceRef blk00000507))
+ (portRef CE (instanceRef blk00000508))
+ (portRef CE (instanceRef blk00000509))
+ (portRef CE (instanceRef blk0000050a))
+ (portRef CE (instanceRef blk0000050b))
+ (portRef CE (instanceRef blk0000050c))
+ (portRef CE (instanceRef blk0000050d))
+ (portRef CE (instanceRef blk0000050e))
+ (portRef CE (instanceRef blk0000050f))
+ (portRef CE (instanceRef blk00000510))
+ (portRef CE (instanceRef blk00000511))
+ (portRef CE (instanceRef blk00000512))
+ (portRef CE (instanceRef blk00000513))
+ (portRef CE (instanceRef blk00000514))
+ (portRef CE (instanceRef blk00000515))
+ (portRef CE (instanceRef blk00000516))
+ (portRef CE (instanceRef blk00000517))
+ (portRef CE (instanceRef blk00000518))
+ (portRef CE (instanceRef blk00000519))
+ (portRef CE (instanceRef blk0000051a))
+ (portRef O (instanceRef blk0000051b))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO18_blk000004b6 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000004b7
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004e8
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000011a6
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk000004d0))
+ (portRef A0 (instanceRef blk000004d1))
+ (portRef A0 (instanceRef blk000004d2))
+ (portRef A0 (instanceRef blk000004d3))
+ (portRef A0 (instanceRef blk000004d4))
+ (portRef A0 (instanceRef blk000004d5))
+ (portRef A0 (instanceRef blk000004d6))
+ (portRef A0 (instanceRef blk000004d7))
+ (portRef A0 (instanceRef blk000004d8))
+ (portRef A0 (instanceRef blk000004d9))
+ (portRef A0 (instanceRef blk000004da))
+ (portRef A0 (instanceRef blk000004db))
+ (portRef A0 (instanceRef blk000004dc))
+ (portRef A0 (instanceRef blk000004dd))
+ (portRef A0 (instanceRef blk000004de))
+ (portRef A0 (instanceRef blk000004df))
+ (portRef A0 (instanceRef blk000004e0))
+ (portRef A0 (instanceRef blk000004e1))
+ (portRef A0 (instanceRef blk000004e2))
+ (portRef A0 (instanceRef blk000004e3))
+ (portRef A0 (instanceRef blk000004e4))
+ (portRef A0 (instanceRef blk000004e5))
+ (portRef A0 (instanceRef blk000004e6))
+ (portRef A0 (instanceRef blk000004e7))
+ )
+ )
+ (net sig000011a7
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000004e5))
+ )
+ )
+ (net sig000011a8
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000004e7))
+ )
+ )
+ (net sig000011a9
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000004e6))
+ )
+ )
+ (net sig000011aa
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000004e2))
+ )
+ )
+ (net sig000011ab
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000004e4))
+ )
+ )
+ (net sig000011ac
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000004e3))
+ )
+ )
+ (net sig000011ad
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000004df))
+ )
+ )
+ (net sig000011ae
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000004e1))
+ )
+ )
+ (net sig000011af
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000004e0))
+ )
+ )
+ (net sig000011b0
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000004dc))
+ )
+ )
+ (net sig000011b1
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000004de))
+ )
+ )
+ (net sig000011b2
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000004dd))
+ )
+ )
+ (net sig000011b3
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000004d9))
+ )
+ )
+ (net sig000011b4
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000004db))
+ )
+ )
+ (net sig000011b5
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000004da))
+ )
+ )
+ (net sig000011b6
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000004d6))
+ )
+ )
+ (net sig000011b7
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000004d8))
+ )
+ )
+ (net sig000011b8
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000004d7))
+ )
+ )
+ (net sig000011b9
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000004d3))
+ )
+ )
+ (net sig000011ba
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000004d5))
+ )
+ )
+ (net sig000011bb
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000004d4))
+ )
+ )
+ (net sig000011bc
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000004d0))
+ )
+ )
+ (net sig000011bd
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000004d2))
+ )
+ )
+ (net sig000011be
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000004d1))
+ )
+ )
+ (net sig000011bf
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000004e8))
+ )
+ )
+ (net sig000011c0
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000004b8))
+ (portRef CE (instanceRef blk000004b9))
+ (portRef CE (instanceRef blk000004ba))
+ (portRef CE (instanceRef blk000004bb))
+ (portRef CE (instanceRef blk000004bc))
+ (portRef CE (instanceRef blk000004bd))
+ (portRef CE (instanceRef blk000004be))
+ (portRef CE (instanceRef blk000004bf))
+ (portRef CE (instanceRef blk000004c0))
+ (portRef CE (instanceRef blk000004c1))
+ (portRef CE (instanceRef blk000004c2))
+ (portRef CE (instanceRef blk000004c3))
+ (portRef CE (instanceRef blk000004c4))
+ (portRef CE (instanceRef blk000004c5))
+ (portRef CE (instanceRef blk000004c6))
+ (portRef CE (instanceRef blk000004c7))
+ (portRef CE (instanceRef blk000004c8))
+ (portRef CE (instanceRef blk000004c9))
+ (portRef CE (instanceRef blk000004ca))
+ (portRef CE (instanceRef blk000004cb))
+ (portRef CE (instanceRef blk000004cc))
+ (portRef CE (instanceRef blk000004cd))
+ (portRef CE (instanceRef blk000004ce))
+ (portRef CE (instanceRef blk000004cf))
+ (portRef I0 (instanceRef blk000004e8))
+ )
+ )
+ (net sig000011c1
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000004b8))
+ (portRef C (instanceRef blk000004b9))
+ (portRef C (instanceRef blk000004ba))
+ (portRef C (instanceRef blk000004bb))
+ (portRef C (instanceRef blk000004bc))
+ (portRef C (instanceRef blk000004bd))
+ (portRef C (instanceRef blk000004be))
+ (portRef C (instanceRef blk000004bf))
+ (portRef C (instanceRef blk000004c0))
+ (portRef C (instanceRef blk000004c1))
+ (portRef C (instanceRef blk000004c2))
+ (portRef C (instanceRef blk000004c3))
+ (portRef C (instanceRef blk000004c4))
+ (portRef C (instanceRef blk000004c5))
+ (portRef C (instanceRef blk000004c6))
+ (portRef C (instanceRef blk000004c7))
+ (portRef C (instanceRef blk000004c8))
+ (portRef C (instanceRef blk000004c9))
+ (portRef C (instanceRef blk000004ca))
+ (portRef C (instanceRef blk000004cb))
+ (portRef C (instanceRef blk000004cc))
+ (portRef C (instanceRef blk000004cd))
+ (portRef C (instanceRef blk000004ce))
+ (portRef C (instanceRef blk000004cf))
+ (portRef CLK (instanceRef blk000004d0))
+ (portRef CLK (instanceRef blk000004d1))
+ (portRef CLK (instanceRef blk000004d2))
+ (portRef CLK (instanceRef blk000004d3))
+ (portRef CLK (instanceRef blk000004d4))
+ (portRef CLK (instanceRef blk000004d5))
+ (portRef CLK (instanceRef blk000004d6))
+ (portRef CLK (instanceRef blk000004d7))
+ (portRef CLK (instanceRef blk000004d8))
+ (portRef CLK (instanceRef blk000004d9))
+ (portRef CLK (instanceRef blk000004da))
+ (portRef CLK (instanceRef blk000004db))
+ (portRef CLK (instanceRef blk000004dc))
+ (portRef CLK (instanceRef blk000004dd))
+ (portRef CLK (instanceRef blk000004de))
+ (portRef CLK (instanceRef blk000004df))
+ (portRef CLK (instanceRef blk000004e0))
+ (portRef CLK (instanceRef blk000004e1))
+ (portRef CLK (instanceRef blk000004e2))
+ (portRef CLK (instanceRef blk000004e3))
+ (portRef CLK (instanceRef blk000004e4))
+ (portRef CLK (instanceRef blk000004e5))
+ (portRef CLK (instanceRef blk000004e6))
+ (portRef CLK (instanceRef blk000004e7))
+ )
+ )
+ (net sig000011c2
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000004cf))
+ )
+ )
+ (net sig000011c3
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000004ce))
+ )
+ )
+ (net sig000011c4
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000004cd))
+ )
+ )
+ (net sig000011c5
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000004cc))
+ )
+ )
+ (net sig000011c6
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000004cb))
+ )
+ )
+ (net sig000011c7
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000004ca))
+ )
+ )
+ (net sig000011c8
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000004c9))
+ )
+ )
+ (net sig000011c9
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000004c8))
+ )
+ )
+ (net sig000011ca
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000004c7))
+ )
+ )
+ (net sig000011cb
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000004c6))
+ )
+ )
+ (net sig000011cc
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000004c5))
+ )
+ )
+ (net sig000011cd
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000004c4))
+ )
+ )
+ (net sig000011ce
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000004c3))
+ )
+ )
+ (net sig000011cf
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000004c2))
+ )
+ )
+ (net sig000011d0
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000004c1))
+ )
+ )
+ (net sig000011d1
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000004c0))
+ )
+ )
+ (net sig000011d2
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000004bf))
+ )
+ )
+ (net sig000011d3
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000004be))
+ )
+ )
+ (net sig000011d4
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000004bd))
+ )
+ )
+ (net sig000011d5
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000004bc))
+ )
+ )
+ (net sig000011d6
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000004bb))
+ )
+ )
+ (net sig000011d7
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000004ba))
+ )
+ )
+ (net sig000011d8
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000004b9))
+ )
+ )
+ (net sig000011d9
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000004b8))
+ )
+ )
+ (net sig000011da
+ (joined
+ (portRef G (instanceRef blk000004b7))
+ (portRef A1 (instanceRef blk000004d0))
+ (portRef A2 (instanceRef blk000004d0))
+ (portRef A3 (instanceRef blk000004d0))
+ (portRef A1 (instanceRef blk000004d1))
+ (portRef A2 (instanceRef blk000004d1))
+ (portRef A3 (instanceRef blk000004d1))
+ (portRef A1 (instanceRef blk000004d2))
+ (portRef A2 (instanceRef blk000004d2))
+ (portRef A3 (instanceRef blk000004d2))
+ (portRef A1 (instanceRef blk000004d3))
+ (portRef A2 (instanceRef blk000004d3))
+ (portRef A3 (instanceRef blk000004d3))
+ (portRef A1 (instanceRef blk000004d4))
+ (portRef A2 (instanceRef blk000004d4))
+ (portRef A3 (instanceRef blk000004d4))
+ (portRef A1 (instanceRef blk000004d5))
+ (portRef A2 (instanceRef blk000004d5))
+ (portRef A3 (instanceRef blk000004d5))
+ (portRef A1 (instanceRef blk000004d6))
+ (portRef A2 (instanceRef blk000004d6))
+ (portRef A3 (instanceRef blk000004d6))
+ (portRef A1 (instanceRef blk000004d7))
+ (portRef A2 (instanceRef blk000004d7))
+ (portRef A3 (instanceRef blk000004d7))
+ (portRef A1 (instanceRef blk000004d8))
+ (portRef A2 (instanceRef blk000004d8))
+ (portRef A3 (instanceRef blk000004d8))
+ (portRef A1 (instanceRef blk000004d9))
+ (portRef A2 (instanceRef blk000004d9))
+ (portRef A3 (instanceRef blk000004d9))
+ (portRef A1 (instanceRef blk000004da))
+ (portRef A2 (instanceRef blk000004da))
+ (portRef A3 (instanceRef blk000004da))
+ (portRef A1 (instanceRef blk000004db))
+ (portRef A2 (instanceRef blk000004db))
+ (portRef A3 (instanceRef blk000004db))
+ (portRef A1 (instanceRef blk000004dc))
+ (portRef A2 (instanceRef blk000004dc))
+ (portRef A3 (instanceRef blk000004dc))
+ (portRef A1 (instanceRef blk000004dd))
+ (portRef A2 (instanceRef blk000004dd))
+ (portRef A3 (instanceRef blk000004dd))
+ (portRef A1 (instanceRef blk000004de))
+ (portRef A2 (instanceRef blk000004de))
+ (portRef A3 (instanceRef blk000004de))
+ (portRef A1 (instanceRef blk000004df))
+ (portRef A2 (instanceRef blk000004df))
+ (portRef A3 (instanceRef blk000004df))
+ (portRef A1 (instanceRef blk000004e0))
+ (portRef A2 (instanceRef blk000004e0))
+ (portRef A3 (instanceRef blk000004e0))
+ (portRef A1 (instanceRef blk000004e1))
+ (portRef A2 (instanceRef blk000004e1))
+ (portRef A3 (instanceRef blk000004e1))
+ (portRef A1 (instanceRef blk000004e2))
+ (portRef A2 (instanceRef blk000004e2))
+ (portRef A3 (instanceRef blk000004e2))
+ (portRef A1 (instanceRef blk000004e3))
+ (portRef A2 (instanceRef blk000004e3))
+ (portRef A3 (instanceRef blk000004e3))
+ (portRef A1 (instanceRef blk000004e4))
+ (portRef A2 (instanceRef blk000004e4))
+ (portRef A3 (instanceRef blk000004e4))
+ (portRef A1 (instanceRef blk000004e5))
+ (portRef A2 (instanceRef blk000004e5))
+ (portRef A3 (instanceRef blk000004e5))
+ (portRef A1 (instanceRef blk000004e6))
+ (portRef A2 (instanceRef blk000004e6))
+ (portRef A3 (instanceRef blk000004e6))
+ (portRef A1 (instanceRef blk000004e7))
+ (portRef A2 (instanceRef blk000004e7))
+ (portRef A3 (instanceRef blk000004e7))
+ )
+ )
+ (net sig000011db
+ (joined
+ (portRef D (instanceRef blk000004b8))
+ (portRef Q (instanceRef blk000004d1))
+ )
+ )
+ (net sig000011dc
+ (joined
+ (portRef D (instanceRef blk000004b9))
+ (portRef Q (instanceRef blk000004d2))
+ )
+ )
+ (net sig000011dd
+ (joined
+ (portRef D (instanceRef blk000004ba))
+ (portRef Q (instanceRef blk000004d0))
+ )
+ )
+ (net sig000011de
+ (joined
+ (portRef D (instanceRef blk000004bb))
+ (portRef Q (instanceRef blk000004d4))
+ )
+ )
+ (net sig000011df
+ (joined
+ (portRef D (instanceRef blk000004bc))
+ (portRef Q (instanceRef blk000004d5))
+ )
+ )
+ (net sig000011e0
+ (joined
+ (portRef D (instanceRef blk000004bd))
+ (portRef Q (instanceRef blk000004d3))
+ )
+ )
+ (net sig000011e1
+ (joined
+ (portRef D (instanceRef blk000004be))
+ (portRef Q (instanceRef blk000004d7))
+ )
+ )
+ (net sig000011e2
+ (joined
+ (portRef D (instanceRef blk000004bf))
+ (portRef Q (instanceRef blk000004d8))
+ )
+ )
+ (net sig000011e3
+ (joined
+ (portRef D (instanceRef blk000004c0))
+ (portRef Q (instanceRef blk000004d6))
+ )
+ )
+ (net sig000011e4
+ (joined
+ (portRef D (instanceRef blk000004c1))
+ (portRef Q (instanceRef blk000004da))
+ )
+ )
+ (net sig000011e5
+ (joined
+ (portRef D (instanceRef blk000004c2))
+ (portRef Q (instanceRef blk000004db))
+ )
+ )
+ (net sig000011e6
+ (joined
+ (portRef D (instanceRef blk000004c3))
+ (portRef Q (instanceRef blk000004d9))
+ )
+ )
+ (net sig000011e7
+ (joined
+ (portRef D (instanceRef blk000004c4))
+ (portRef Q (instanceRef blk000004dd))
+ )
+ )
+ (net sig000011e8
+ (joined
+ (portRef D (instanceRef blk000004c5))
+ (portRef Q (instanceRef blk000004de))
+ )
+ )
+ (net sig000011e9
+ (joined
+ (portRef D (instanceRef blk000004c6))
+ (portRef Q (instanceRef blk000004dc))
+ )
+ )
+ (net sig000011ea
+ (joined
+ (portRef D (instanceRef blk000004c7))
+ (portRef Q (instanceRef blk000004e0))
+ )
+ )
+ (net sig000011eb
+ (joined
+ (portRef D (instanceRef blk000004c8))
+ (portRef Q (instanceRef blk000004e1))
+ )
+ )
+ (net sig000011ec
+ (joined
+ (portRef D (instanceRef blk000004c9))
+ (portRef Q (instanceRef blk000004df))
+ )
+ )
+ (net sig000011ed
+ (joined
+ (portRef D (instanceRef blk000004ca))
+ (portRef Q (instanceRef blk000004e3))
+ )
+ )
+ (net sig000011ee
+ (joined
+ (portRef D (instanceRef blk000004cb))
+ (portRef Q (instanceRef blk000004e4))
+ )
+ )
+ (net sig000011ef
+ (joined
+ (portRef D (instanceRef blk000004cc))
+ (portRef Q (instanceRef blk000004e2))
+ )
+ )
+ (net sig000011f0
+ (joined
+ (portRef D (instanceRef blk000004cd))
+ (portRef Q (instanceRef blk000004e6))
+ )
+ )
+ (net sig000011f1
+ (joined
+ (portRef D (instanceRef blk000004ce))
+ (portRef Q (instanceRef blk000004e7))
+ )
+ )
+ (net sig000011f2
+ (joined
+ (portRef D (instanceRef blk000004cf))
+ (portRef Q (instanceRef blk000004e5))
+ )
+ )
+ (net sig000011f3
+ (joined
+ (portRef CE (instanceRef blk000004d0))
+ (portRef CE (instanceRef blk000004d1))
+ (portRef CE (instanceRef blk000004d2))
+ (portRef CE (instanceRef blk000004d3))
+ (portRef CE (instanceRef blk000004d4))
+ (portRef CE (instanceRef blk000004d5))
+ (portRef CE (instanceRef blk000004d6))
+ (portRef CE (instanceRef blk000004d7))
+ (portRef CE (instanceRef blk000004d8))
+ (portRef CE (instanceRef blk000004d9))
+ (portRef CE (instanceRef blk000004da))
+ (portRef CE (instanceRef blk000004db))
+ (portRef CE (instanceRef blk000004dc))
+ (portRef CE (instanceRef blk000004dd))
+ (portRef CE (instanceRef blk000004de))
+ (portRef CE (instanceRef blk000004df))
+ (portRef CE (instanceRef blk000004e0))
+ (portRef CE (instanceRef blk000004e1))
+ (portRef CE (instanceRef blk000004e2))
+ (portRef CE (instanceRef blk000004e3))
+ (portRef CE (instanceRef blk000004e4))
+ (portRef CE (instanceRef blk000004e5))
+ (portRef CE (instanceRef blk000004e6))
+ (portRef CE (instanceRef blk000004e7))
+ (portRef O (instanceRef blk000004e8))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO17_blk00000483 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000484
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000485
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000486
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000487
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000488
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000489
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000490
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000491
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000492
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000493
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000494
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000495
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000496
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000497
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000498
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000499
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000049e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000049f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004b1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004b3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000004b5
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001158
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000049d))
+ (portRef A0 (instanceRef blk0000049e))
+ (portRef A0 (instanceRef blk0000049f))
+ (portRef A0 (instanceRef blk000004a0))
+ (portRef A0 (instanceRef blk000004a1))
+ (portRef A0 (instanceRef blk000004a2))
+ (portRef A0 (instanceRef blk000004a3))
+ (portRef A0 (instanceRef blk000004a4))
+ (portRef A0 (instanceRef blk000004a5))
+ (portRef A0 (instanceRef blk000004a6))
+ (portRef A0 (instanceRef blk000004a7))
+ (portRef A0 (instanceRef blk000004a8))
+ (portRef A0 (instanceRef blk000004a9))
+ (portRef A0 (instanceRef blk000004aa))
+ (portRef A0 (instanceRef blk000004ab))
+ (portRef A0 (instanceRef blk000004ac))
+ (portRef A0 (instanceRef blk000004ad))
+ (portRef A0 (instanceRef blk000004ae))
+ (portRef A0 (instanceRef blk000004af))
+ (portRef A0 (instanceRef blk000004b0))
+ (portRef A0 (instanceRef blk000004b1))
+ (portRef A0 (instanceRef blk000004b2))
+ (portRef A0 (instanceRef blk000004b3))
+ (portRef A0 (instanceRef blk000004b4))
+ )
+ )
+ (net sig00001159
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000004b2))
+ )
+ )
+ (net sig0000115a
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000004b4))
+ )
+ )
+ (net sig0000115b
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000004b3))
+ )
+ )
+ (net sig0000115c
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000004af))
+ )
+ )
+ (net sig0000115d
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000004b1))
+ )
+ )
+ (net sig0000115e
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000004b0))
+ )
+ )
+ (net sig0000115f
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000004ac))
+ )
+ )
+ (net sig00001160
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000004ae))
+ )
+ )
+ (net sig00001161
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000004ad))
+ )
+ )
+ (net sig00001162
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000004a9))
+ )
+ )
+ (net sig00001163
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000004ab))
+ )
+ )
+ (net sig00001164
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000004aa))
+ )
+ )
+ (net sig00001165
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000004a6))
+ )
+ )
+ (net sig00001166
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000004a8))
+ )
+ )
+ (net sig00001167
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000004a7))
+ )
+ )
+ (net sig00001168
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000004a3))
+ )
+ )
+ (net sig00001169
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000004a5))
+ )
+ )
+ (net sig0000116a
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000004a4))
+ )
+ )
+ (net sig0000116b
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000004a0))
+ )
+ )
+ (net sig0000116c
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000004a2))
+ )
+ )
+ (net sig0000116d
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000004a1))
+ )
+ )
+ (net sig0000116e
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000049d))
+ )
+ )
+ (net sig0000116f
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000049f))
+ )
+ )
+ (net sig00001170
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000049e))
+ )
+ )
+ (net sig00001171
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000004b5))
+ )
+ )
+ (net sig00001172
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000485))
+ (portRef CE (instanceRef blk00000486))
+ (portRef CE (instanceRef blk00000487))
+ (portRef CE (instanceRef blk00000488))
+ (portRef CE (instanceRef blk00000489))
+ (portRef CE (instanceRef blk0000048a))
+ (portRef CE (instanceRef blk0000048b))
+ (portRef CE (instanceRef blk0000048c))
+ (portRef CE (instanceRef blk0000048d))
+ (portRef CE (instanceRef blk0000048e))
+ (portRef CE (instanceRef blk0000048f))
+ (portRef CE (instanceRef blk00000490))
+ (portRef CE (instanceRef blk00000491))
+ (portRef CE (instanceRef blk00000492))
+ (portRef CE (instanceRef blk00000493))
+ (portRef CE (instanceRef blk00000494))
+ (portRef CE (instanceRef blk00000495))
+ (portRef CE (instanceRef blk00000496))
+ (portRef CE (instanceRef blk00000497))
+ (portRef CE (instanceRef blk00000498))
+ (portRef CE (instanceRef blk00000499))
+ (portRef CE (instanceRef blk0000049a))
+ (portRef CE (instanceRef blk0000049b))
+ (portRef CE (instanceRef blk0000049c))
+ (portRef I0 (instanceRef blk000004b5))
+ )
+ )
+ (net sig00001173
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000485))
+ (portRef C (instanceRef blk00000486))
+ (portRef C (instanceRef blk00000487))
+ (portRef C (instanceRef blk00000488))
+ (portRef C (instanceRef blk00000489))
+ (portRef C (instanceRef blk0000048a))
+ (portRef C (instanceRef blk0000048b))
+ (portRef C (instanceRef blk0000048c))
+ (portRef C (instanceRef blk0000048d))
+ (portRef C (instanceRef blk0000048e))
+ (portRef C (instanceRef blk0000048f))
+ (portRef C (instanceRef blk00000490))
+ (portRef C (instanceRef blk00000491))
+ (portRef C (instanceRef blk00000492))
+ (portRef C (instanceRef blk00000493))
+ (portRef C (instanceRef blk00000494))
+ (portRef C (instanceRef blk00000495))
+ (portRef C (instanceRef blk00000496))
+ (portRef C (instanceRef blk00000497))
+ (portRef C (instanceRef blk00000498))
+ (portRef C (instanceRef blk00000499))
+ (portRef C (instanceRef blk0000049a))
+ (portRef C (instanceRef blk0000049b))
+ (portRef C (instanceRef blk0000049c))
+ (portRef CLK (instanceRef blk0000049d))
+ (portRef CLK (instanceRef blk0000049e))
+ (portRef CLK (instanceRef blk0000049f))
+ (portRef CLK (instanceRef blk000004a0))
+ (portRef CLK (instanceRef blk000004a1))
+ (portRef CLK (instanceRef blk000004a2))
+ (portRef CLK (instanceRef blk000004a3))
+ (portRef CLK (instanceRef blk000004a4))
+ (portRef CLK (instanceRef blk000004a5))
+ (portRef CLK (instanceRef blk000004a6))
+ (portRef CLK (instanceRef blk000004a7))
+ (portRef CLK (instanceRef blk000004a8))
+ (portRef CLK (instanceRef blk000004a9))
+ (portRef CLK (instanceRef blk000004aa))
+ (portRef CLK (instanceRef blk000004ab))
+ (portRef CLK (instanceRef blk000004ac))
+ (portRef CLK (instanceRef blk000004ad))
+ (portRef CLK (instanceRef blk000004ae))
+ (portRef CLK (instanceRef blk000004af))
+ (portRef CLK (instanceRef blk000004b0))
+ (portRef CLK (instanceRef blk000004b1))
+ (portRef CLK (instanceRef blk000004b2))
+ (portRef CLK (instanceRef blk000004b3))
+ (portRef CLK (instanceRef blk000004b4))
+ )
+ )
+ (net sig00001174
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000049c))
+ )
+ )
+ (net sig00001175
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000049b))
+ )
+ )
+ (net sig00001176
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000049a))
+ )
+ )
+ (net sig00001177
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000499))
+ )
+ )
+ (net sig00001178
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000498))
+ )
+ )
+ (net sig00001179
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000497))
+ )
+ )
+ (net sig0000117a
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000496))
+ )
+ )
+ (net sig0000117b
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000495))
+ )
+ )
+ (net sig0000117c
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000494))
+ )
+ )
+ (net sig0000117d
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000493))
+ )
+ )
+ (net sig0000117e
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000492))
+ )
+ )
+ (net sig0000117f
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000491))
+ )
+ )
+ (net sig00001180
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000490))
+ )
+ )
+ (net sig00001181
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000048f))
+ )
+ )
+ (net sig00001182
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000048e))
+ )
+ )
+ (net sig00001183
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000048d))
+ )
+ )
+ (net sig00001184
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000048c))
+ )
+ )
+ (net sig00001185
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000048b))
+ )
+ )
+ (net sig00001186
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000048a))
+ )
+ )
+ (net sig00001187
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000489))
+ )
+ )
+ (net sig00001188
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000488))
+ )
+ )
+ (net sig00001189
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000487))
+ )
+ )
+ (net sig0000118a
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000486))
+ )
+ )
+ (net sig0000118b
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000485))
+ )
+ )
+ (net sig0000118c
+ (joined
+ (portRef G (instanceRef blk00000484))
+ (portRef A1 (instanceRef blk0000049d))
+ (portRef A2 (instanceRef blk0000049d))
+ (portRef A3 (instanceRef blk0000049d))
+ (portRef A1 (instanceRef blk0000049e))
+ (portRef A2 (instanceRef blk0000049e))
+ (portRef A3 (instanceRef blk0000049e))
+ (portRef A1 (instanceRef blk0000049f))
+ (portRef A2 (instanceRef blk0000049f))
+ (portRef A3 (instanceRef blk0000049f))
+ (portRef A1 (instanceRef blk000004a0))
+ (portRef A2 (instanceRef blk000004a0))
+ (portRef A3 (instanceRef blk000004a0))
+ (portRef A1 (instanceRef blk000004a1))
+ (portRef A2 (instanceRef blk000004a1))
+ (portRef A3 (instanceRef blk000004a1))
+ (portRef A1 (instanceRef blk000004a2))
+ (portRef A2 (instanceRef blk000004a2))
+ (portRef A3 (instanceRef blk000004a2))
+ (portRef A1 (instanceRef blk000004a3))
+ (portRef A2 (instanceRef blk000004a3))
+ (portRef A3 (instanceRef blk000004a3))
+ (portRef A1 (instanceRef blk000004a4))
+ (portRef A2 (instanceRef blk000004a4))
+ (portRef A3 (instanceRef blk000004a4))
+ (portRef A1 (instanceRef blk000004a5))
+ (portRef A2 (instanceRef blk000004a5))
+ (portRef A3 (instanceRef blk000004a5))
+ (portRef A1 (instanceRef blk000004a6))
+ (portRef A2 (instanceRef blk000004a6))
+ (portRef A3 (instanceRef blk000004a6))
+ (portRef A1 (instanceRef blk000004a7))
+ (portRef A2 (instanceRef blk000004a7))
+ (portRef A3 (instanceRef blk000004a7))
+ (portRef A1 (instanceRef blk000004a8))
+ (portRef A2 (instanceRef blk000004a8))
+ (portRef A3 (instanceRef blk000004a8))
+ (portRef A1 (instanceRef blk000004a9))
+ (portRef A2 (instanceRef blk000004a9))
+ (portRef A3 (instanceRef blk000004a9))
+ (portRef A1 (instanceRef blk000004aa))
+ (portRef A2 (instanceRef blk000004aa))
+ (portRef A3 (instanceRef blk000004aa))
+ (portRef A1 (instanceRef blk000004ab))
+ (portRef A2 (instanceRef blk000004ab))
+ (portRef A3 (instanceRef blk000004ab))
+ (portRef A1 (instanceRef blk000004ac))
+ (portRef A2 (instanceRef blk000004ac))
+ (portRef A3 (instanceRef blk000004ac))
+ (portRef A1 (instanceRef blk000004ad))
+ (portRef A2 (instanceRef blk000004ad))
+ (portRef A3 (instanceRef blk000004ad))
+ (portRef A1 (instanceRef blk000004ae))
+ (portRef A2 (instanceRef blk000004ae))
+ (portRef A3 (instanceRef blk000004ae))
+ (portRef A1 (instanceRef blk000004af))
+ (portRef A2 (instanceRef blk000004af))
+ (portRef A3 (instanceRef blk000004af))
+ (portRef A1 (instanceRef blk000004b0))
+ (portRef A2 (instanceRef blk000004b0))
+ (portRef A3 (instanceRef blk000004b0))
+ (portRef A1 (instanceRef blk000004b1))
+ (portRef A2 (instanceRef blk000004b1))
+ (portRef A3 (instanceRef blk000004b1))
+ (portRef A1 (instanceRef blk000004b2))
+ (portRef A2 (instanceRef blk000004b2))
+ (portRef A3 (instanceRef blk000004b2))
+ (portRef A1 (instanceRef blk000004b3))
+ (portRef A2 (instanceRef blk000004b3))
+ (portRef A3 (instanceRef blk000004b3))
+ (portRef A1 (instanceRef blk000004b4))
+ (portRef A2 (instanceRef blk000004b4))
+ (portRef A3 (instanceRef blk000004b4))
+ )
+ )
+ (net sig0000118d
+ (joined
+ (portRef D (instanceRef blk00000485))
+ (portRef Q (instanceRef blk0000049e))
+ )
+ )
+ (net sig0000118e
+ (joined
+ (portRef D (instanceRef blk00000486))
+ (portRef Q (instanceRef blk0000049f))
+ )
+ )
+ (net sig0000118f
+ (joined
+ (portRef D (instanceRef blk00000487))
+ (portRef Q (instanceRef blk0000049d))
+ )
+ )
+ (net sig00001190
+ (joined
+ (portRef D (instanceRef blk00000488))
+ (portRef Q (instanceRef blk000004a1))
+ )
+ )
+ (net sig00001191
+ (joined
+ (portRef D (instanceRef blk00000489))
+ (portRef Q (instanceRef blk000004a2))
+ )
+ )
+ (net sig00001192
+ (joined
+ (portRef D (instanceRef blk0000048a))
+ (portRef Q (instanceRef blk000004a0))
+ )
+ )
+ (net sig00001193
+ (joined
+ (portRef D (instanceRef blk0000048b))
+ (portRef Q (instanceRef blk000004a4))
+ )
+ )
+ (net sig00001194
+ (joined
+ (portRef D (instanceRef blk0000048c))
+ (portRef Q (instanceRef blk000004a5))
+ )
+ )
+ (net sig00001195
+ (joined
+ (portRef D (instanceRef blk0000048d))
+ (portRef Q (instanceRef blk000004a3))
+ )
+ )
+ (net sig00001196
+ (joined
+ (portRef D (instanceRef blk0000048e))
+ (portRef Q (instanceRef blk000004a7))
+ )
+ )
+ (net sig00001197
+ (joined
+ (portRef D (instanceRef blk0000048f))
+ (portRef Q (instanceRef blk000004a8))
+ )
+ )
+ (net sig00001198
+ (joined
+ (portRef D (instanceRef blk00000490))
+ (portRef Q (instanceRef blk000004a6))
+ )
+ )
+ (net sig00001199
+ (joined
+ (portRef D (instanceRef blk00000491))
+ (portRef Q (instanceRef blk000004aa))
+ )
+ )
+ (net sig0000119a
+ (joined
+ (portRef D (instanceRef blk00000492))
+ (portRef Q (instanceRef blk000004ab))
+ )
+ )
+ (net sig0000119b
+ (joined
+ (portRef D (instanceRef blk00000493))
+ (portRef Q (instanceRef blk000004a9))
+ )
+ )
+ (net sig0000119c
+ (joined
+ (portRef D (instanceRef blk00000494))
+ (portRef Q (instanceRef blk000004ad))
+ )
+ )
+ (net sig0000119d
+ (joined
+ (portRef D (instanceRef blk00000495))
+ (portRef Q (instanceRef blk000004ae))
+ )
+ )
+ (net sig0000119e
+ (joined
+ (portRef D (instanceRef blk00000496))
+ (portRef Q (instanceRef blk000004ac))
+ )
+ )
+ (net sig0000119f
+ (joined
+ (portRef D (instanceRef blk00000497))
+ (portRef Q (instanceRef blk000004b0))
+ )
+ )
+ (net sig000011a0
+ (joined
+ (portRef D (instanceRef blk00000498))
+ (portRef Q (instanceRef blk000004b1))
+ )
+ )
+ (net sig000011a1
+ (joined
+ (portRef D (instanceRef blk00000499))
+ (portRef Q (instanceRef blk000004af))
+ )
+ )
+ (net sig000011a2
+ (joined
+ (portRef D (instanceRef blk0000049a))
+ (portRef Q (instanceRef blk000004b3))
+ )
+ )
+ (net sig000011a3
+ (joined
+ (portRef D (instanceRef blk0000049b))
+ (portRef Q (instanceRef blk000004b4))
+ )
+ )
+ (net sig000011a4
+ (joined
+ (portRef D (instanceRef blk0000049c))
+ (portRef Q (instanceRef blk000004b2))
+ )
+ )
+ (net sig000011a5
+ (joined
+ (portRef CE (instanceRef blk0000049d))
+ (portRef CE (instanceRef blk0000049e))
+ (portRef CE (instanceRef blk0000049f))
+ (portRef CE (instanceRef blk000004a0))
+ (portRef CE (instanceRef blk000004a1))
+ (portRef CE (instanceRef blk000004a2))
+ (portRef CE (instanceRef blk000004a3))
+ (portRef CE (instanceRef blk000004a4))
+ (portRef CE (instanceRef blk000004a5))
+ (portRef CE (instanceRef blk000004a6))
+ (portRef CE (instanceRef blk000004a7))
+ (portRef CE (instanceRef blk000004a8))
+ (portRef CE (instanceRef blk000004a9))
+ (portRef CE (instanceRef blk000004aa))
+ (portRef CE (instanceRef blk000004ab))
+ (portRef CE (instanceRef blk000004ac))
+ (portRef CE (instanceRef blk000004ad))
+ (portRef CE (instanceRef blk000004ae))
+ (portRef CE (instanceRef blk000004af))
+ (portRef CE (instanceRef blk000004b0))
+ (portRef CE (instanceRef blk000004b1))
+ (portRef CE (instanceRef blk000004b2))
+ (portRef CE (instanceRef blk000004b3))
+ (portRef CE (instanceRef blk000004b4))
+ (portRef O (instanceRef blk000004b5))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO16_blk00000450 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000451
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000452
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000453
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000454
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000455
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000456
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000457
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000458
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000459
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000460
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000461
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000462
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000463
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000464
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000465
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000466
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000467
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000468
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000469
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000046a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000046b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000046c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000046d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000046e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000046f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000470
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000471
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000472
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000473
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000474
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000475
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000476
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000477
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000478
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000479
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000047a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000047b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000047c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000047d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000047e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000047f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000480
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000481
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000482
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000110a
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000046a))
+ (portRef A0 (instanceRef blk0000046b))
+ (portRef A0 (instanceRef blk0000046c))
+ (portRef A0 (instanceRef blk0000046d))
+ (portRef A0 (instanceRef blk0000046e))
+ (portRef A0 (instanceRef blk0000046f))
+ (portRef A0 (instanceRef blk00000470))
+ (portRef A0 (instanceRef blk00000471))
+ (portRef A0 (instanceRef blk00000472))
+ (portRef A0 (instanceRef blk00000473))
+ (portRef A0 (instanceRef blk00000474))
+ (portRef A0 (instanceRef blk00000475))
+ (portRef A0 (instanceRef blk00000476))
+ (portRef A0 (instanceRef blk00000477))
+ (portRef A0 (instanceRef blk00000478))
+ (portRef A0 (instanceRef blk00000479))
+ (portRef A0 (instanceRef blk0000047a))
+ (portRef A0 (instanceRef blk0000047b))
+ (portRef A0 (instanceRef blk0000047c))
+ (portRef A0 (instanceRef blk0000047d))
+ (portRef A0 (instanceRef blk0000047e))
+ (portRef A0 (instanceRef blk0000047f))
+ (portRef A0 (instanceRef blk00000480))
+ (portRef A0 (instanceRef blk00000481))
+ )
+ )
+ (net sig0000110b
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000047f))
+ )
+ )
+ (net sig0000110c
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000481))
+ )
+ )
+ (net sig0000110d
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000480))
+ )
+ )
+ (net sig0000110e
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000047c))
+ )
+ )
+ (net sig0000110f
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000047e))
+ )
+ )
+ (net sig00001110
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000047d))
+ )
+ )
+ (net sig00001111
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000479))
+ )
+ )
+ (net sig00001112
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000047b))
+ )
+ )
+ (net sig00001113
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000047a))
+ )
+ )
+ (net sig00001114
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000476))
+ )
+ )
+ (net sig00001115
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000478))
+ )
+ )
+ (net sig00001116
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000477))
+ )
+ )
+ (net sig00001117
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000473))
+ )
+ )
+ (net sig00001118
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000475))
+ )
+ )
+ (net sig00001119
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000474))
+ )
+ )
+ (net sig0000111a
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000470))
+ )
+ )
+ (net sig0000111b
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000472))
+ )
+ )
+ (net sig0000111c
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000471))
+ )
+ )
+ (net sig0000111d
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000046d))
+ )
+ )
+ (net sig0000111e
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000046f))
+ )
+ )
+ (net sig0000111f
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000046e))
+ )
+ )
+ (net sig00001120
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000046a))
+ )
+ )
+ (net sig00001121
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000046c))
+ )
+ )
+ (net sig00001122
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000046b))
+ )
+ )
+ (net sig00001123
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000482))
+ )
+ )
+ (net sig00001124
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000452))
+ (portRef CE (instanceRef blk00000453))
+ (portRef CE (instanceRef blk00000454))
+ (portRef CE (instanceRef blk00000455))
+ (portRef CE (instanceRef blk00000456))
+ (portRef CE (instanceRef blk00000457))
+ (portRef CE (instanceRef blk00000458))
+ (portRef CE (instanceRef blk00000459))
+ (portRef CE (instanceRef blk0000045a))
+ (portRef CE (instanceRef blk0000045b))
+ (portRef CE (instanceRef blk0000045c))
+ (portRef CE (instanceRef blk0000045d))
+ (portRef CE (instanceRef blk0000045e))
+ (portRef CE (instanceRef blk0000045f))
+ (portRef CE (instanceRef blk00000460))
+ (portRef CE (instanceRef blk00000461))
+ (portRef CE (instanceRef blk00000462))
+ (portRef CE (instanceRef blk00000463))
+ (portRef CE (instanceRef blk00000464))
+ (portRef CE (instanceRef blk00000465))
+ (portRef CE (instanceRef blk00000466))
+ (portRef CE (instanceRef blk00000467))
+ (portRef CE (instanceRef blk00000468))
+ (portRef CE (instanceRef blk00000469))
+ (portRef I0 (instanceRef blk00000482))
+ )
+ )
+ (net sig00001125
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000452))
+ (portRef C (instanceRef blk00000453))
+ (portRef C (instanceRef blk00000454))
+ (portRef C (instanceRef blk00000455))
+ (portRef C (instanceRef blk00000456))
+ (portRef C (instanceRef blk00000457))
+ (portRef C (instanceRef blk00000458))
+ (portRef C (instanceRef blk00000459))
+ (portRef C (instanceRef blk0000045a))
+ (portRef C (instanceRef blk0000045b))
+ (portRef C (instanceRef blk0000045c))
+ (portRef C (instanceRef blk0000045d))
+ (portRef C (instanceRef blk0000045e))
+ (portRef C (instanceRef blk0000045f))
+ (portRef C (instanceRef blk00000460))
+ (portRef C (instanceRef blk00000461))
+ (portRef C (instanceRef blk00000462))
+ (portRef C (instanceRef blk00000463))
+ (portRef C (instanceRef blk00000464))
+ (portRef C (instanceRef blk00000465))
+ (portRef C (instanceRef blk00000466))
+ (portRef C (instanceRef blk00000467))
+ (portRef C (instanceRef blk00000468))
+ (portRef C (instanceRef blk00000469))
+ (portRef CLK (instanceRef blk0000046a))
+ (portRef CLK (instanceRef blk0000046b))
+ (portRef CLK (instanceRef blk0000046c))
+ (portRef CLK (instanceRef blk0000046d))
+ (portRef CLK (instanceRef blk0000046e))
+ (portRef CLK (instanceRef blk0000046f))
+ (portRef CLK (instanceRef blk00000470))
+ (portRef CLK (instanceRef blk00000471))
+ (portRef CLK (instanceRef blk00000472))
+ (portRef CLK (instanceRef blk00000473))
+ (portRef CLK (instanceRef blk00000474))
+ (portRef CLK (instanceRef blk00000475))
+ (portRef CLK (instanceRef blk00000476))
+ (portRef CLK (instanceRef blk00000477))
+ (portRef CLK (instanceRef blk00000478))
+ (portRef CLK (instanceRef blk00000479))
+ (portRef CLK (instanceRef blk0000047a))
+ (portRef CLK (instanceRef blk0000047b))
+ (portRef CLK (instanceRef blk0000047c))
+ (portRef CLK (instanceRef blk0000047d))
+ (portRef CLK (instanceRef blk0000047e))
+ (portRef CLK (instanceRef blk0000047f))
+ (portRef CLK (instanceRef blk00000480))
+ (portRef CLK (instanceRef blk00000481))
+ )
+ )
+ (net sig00001126
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000469))
+ )
+ )
+ (net sig00001127
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000468))
+ )
+ )
+ (net sig00001128
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000467))
+ )
+ )
+ (net sig00001129
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000466))
+ )
+ )
+ (net sig0000112a
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000465))
+ )
+ )
+ (net sig0000112b
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000464))
+ )
+ )
+ (net sig0000112c
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000463))
+ )
+ )
+ (net sig0000112d
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000462))
+ )
+ )
+ (net sig0000112e
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000461))
+ )
+ )
+ (net sig0000112f
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000460))
+ )
+ )
+ (net sig00001130
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000045f))
+ )
+ )
+ (net sig00001131
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000045e))
+ )
+ )
+ (net sig00001132
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000045d))
+ )
+ )
+ (net sig00001133
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000045c))
+ )
+ )
+ (net sig00001134
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000045b))
+ )
+ )
+ (net sig00001135
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000045a))
+ )
+ )
+ (net sig00001136
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000459))
+ )
+ )
+ (net sig00001137
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000458))
+ )
+ )
+ (net sig00001138
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000457))
+ )
+ )
+ (net sig00001139
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000456))
+ )
+ )
+ (net sig0000113a
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000455))
+ )
+ )
+ (net sig0000113b
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000454))
+ )
+ )
+ (net sig0000113c
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000453))
+ )
+ )
+ (net sig0000113d
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000452))
+ )
+ )
+ (net sig0000113e
+ (joined
+ (portRef G (instanceRef blk00000451))
+ (portRef A1 (instanceRef blk0000046a))
+ (portRef A2 (instanceRef blk0000046a))
+ (portRef A3 (instanceRef blk0000046a))
+ (portRef A1 (instanceRef blk0000046b))
+ (portRef A2 (instanceRef blk0000046b))
+ (portRef A3 (instanceRef blk0000046b))
+ (portRef A1 (instanceRef blk0000046c))
+ (portRef A2 (instanceRef blk0000046c))
+ (portRef A3 (instanceRef blk0000046c))
+ (portRef A1 (instanceRef blk0000046d))
+ (portRef A2 (instanceRef blk0000046d))
+ (portRef A3 (instanceRef blk0000046d))
+ (portRef A1 (instanceRef blk0000046e))
+ (portRef A2 (instanceRef blk0000046e))
+ (portRef A3 (instanceRef blk0000046e))
+ (portRef A1 (instanceRef blk0000046f))
+ (portRef A2 (instanceRef blk0000046f))
+ (portRef A3 (instanceRef blk0000046f))
+ (portRef A1 (instanceRef blk00000470))
+ (portRef A2 (instanceRef blk00000470))
+ (portRef A3 (instanceRef blk00000470))
+ (portRef A1 (instanceRef blk00000471))
+ (portRef A2 (instanceRef blk00000471))
+ (portRef A3 (instanceRef blk00000471))
+ (portRef A1 (instanceRef blk00000472))
+ (portRef A2 (instanceRef blk00000472))
+ (portRef A3 (instanceRef blk00000472))
+ (portRef A1 (instanceRef blk00000473))
+ (portRef A2 (instanceRef blk00000473))
+ (portRef A3 (instanceRef blk00000473))
+ (portRef A1 (instanceRef blk00000474))
+ (portRef A2 (instanceRef blk00000474))
+ (portRef A3 (instanceRef blk00000474))
+ (portRef A1 (instanceRef blk00000475))
+ (portRef A2 (instanceRef blk00000475))
+ (portRef A3 (instanceRef blk00000475))
+ (portRef A1 (instanceRef blk00000476))
+ (portRef A2 (instanceRef blk00000476))
+ (portRef A3 (instanceRef blk00000476))
+ (portRef A1 (instanceRef blk00000477))
+ (portRef A2 (instanceRef blk00000477))
+ (portRef A3 (instanceRef blk00000477))
+ (portRef A1 (instanceRef blk00000478))
+ (portRef A2 (instanceRef blk00000478))
+ (portRef A3 (instanceRef blk00000478))
+ (portRef A1 (instanceRef blk00000479))
+ (portRef A2 (instanceRef blk00000479))
+ (portRef A3 (instanceRef blk00000479))
+ (portRef A1 (instanceRef blk0000047a))
+ (portRef A2 (instanceRef blk0000047a))
+ (portRef A3 (instanceRef blk0000047a))
+ (portRef A1 (instanceRef blk0000047b))
+ (portRef A2 (instanceRef blk0000047b))
+ (portRef A3 (instanceRef blk0000047b))
+ (portRef A1 (instanceRef blk0000047c))
+ (portRef A2 (instanceRef blk0000047c))
+ (portRef A3 (instanceRef blk0000047c))
+ (portRef A1 (instanceRef blk0000047d))
+ (portRef A2 (instanceRef blk0000047d))
+ (portRef A3 (instanceRef blk0000047d))
+ (portRef A1 (instanceRef blk0000047e))
+ (portRef A2 (instanceRef blk0000047e))
+ (portRef A3 (instanceRef blk0000047e))
+ (portRef A1 (instanceRef blk0000047f))
+ (portRef A2 (instanceRef blk0000047f))
+ (portRef A3 (instanceRef blk0000047f))
+ (portRef A1 (instanceRef blk00000480))
+ (portRef A2 (instanceRef blk00000480))
+ (portRef A3 (instanceRef blk00000480))
+ (portRef A1 (instanceRef blk00000481))
+ (portRef A2 (instanceRef blk00000481))
+ (portRef A3 (instanceRef blk00000481))
+ )
+ )
+ (net sig0000113f
+ (joined
+ (portRef D (instanceRef blk00000452))
+ (portRef Q (instanceRef blk0000046b))
+ )
+ )
+ (net sig00001140
+ (joined
+ (portRef D (instanceRef blk00000453))
+ (portRef Q (instanceRef blk0000046c))
+ )
+ )
+ (net sig00001141
+ (joined
+ (portRef D (instanceRef blk00000454))
+ (portRef Q (instanceRef blk0000046a))
+ )
+ )
+ (net sig00001142
+ (joined
+ (portRef D (instanceRef blk00000455))
+ (portRef Q (instanceRef blk0000046e))
+ )
+ )
+ (net sig00001143
+ (joined
+ (portRef D (instanceRef blk00000456))
+ (portRef Q (instanceRef blk0000046f))
+ )
+ )
+ (net sig00001144
+ (joined
+ (portRef D (instanceRef blk00000457))
+ (portRef Q (instanceRef blk0000046d))
+ )
+ )
+ (net sig00001145
+ (joined
+ (portRef D (instanceRef blk00000458))
+ (portRef Q (instanceRef blk00000471))
+ )
+ )
+ (net sig00001146
+ (joined
+ (portRef D (instanceRef blk00000459))
+ (portRef Q (instanceRef blk00000472))
+ )
+ )
+ (net sig00001147
+ (joined
+ (portRef D (instanceRef blk0000045a))
+ (portRef Q (instanceRef blk00000470))
+ )
+ )
+ (net sig00001148
+ (joined
+ (portRef D (instanceRef blk0000045b))
+ (portRef Q (instanceRef blk00000474))
+ )
+ )
+ (net sig00001149
+ (joined
+ (portRef D (instanceRef blk0000045c))
+ (portRef Q (instanceRef blk00000475))
+ )
+ )
+ (net sig0000114a
+ (joined
+ (portRef D (instanceRef blk0000045d))
+ (portRef Q (instanceRef blk00000473))
+ )
+ )
+ (net sig0000114b
+ (joined
+ (portRef D (instanceRef blk0000045e))
+ (portRef Q (instanceRef blk00000477))
+ )
+ )
+ (net sig0000114c
+ (joined
+ (portRef D (instanceRef blk0000045f))
+ (portRef Q (instanceRef blk00000478))
+ )
+ )
+ (net sig0000114d
+ (joined
+ (portRef D (instanceRef blk00000460))
+ (portRef Q (instanceRef blk00000476))
+ )
+ )
+ (net sig0000114e
+ (joined
+ (portRef D (instanceRef blk00000461))
+ (portRef Q (instanceRef blk0000047a))
+ )
+ )
+ (net sig0000114f
+ (joined
+ (portRef D (instanceRef blk00000462))
+ (portRef Q (instanceRef blk0000047b))
+ )
+ )
+ (net sig00001150
+ (joined
+ (portRef D (instanceRef blk00000463))
+ (portRef Q (instanceRef blk00000479))
+ )
+ )
+ (net sig00001151
+ (joined
+ (portRef D (instanceRef blk00000464))
+ (portRef Q (instanceRef blk0000047d))
+ )
+ )
+ (net sig00001152
+ (joined
+ (portRef D (instanceRef blk00000465))
+ (portRef Q (instanceRef blk0000047e))
+ )
+ )
+ (net sig00001153
+ (joined
+ (portRef D (instanceRef blk00000466))
+ (portRef Q (instanceRef blk0000047c))
+ )
+ )
+ (net sig00001154
+ (joined
+ (portRef D (instanceRef blk00000467))
+ (portRef Q (instanceRef blk00000480))
+ )
+ )
+ (net sig00001155
+ (joined
+ (portRef D (instanceRef blk00000468))
+ (portRef Q (instanceRef blk00000481))
+ )
+ )
+ (net sig00001156
+ (joined
+ (portRef D (instanceRef blk00000469))
+ (portRef Q (instanceRef blk0000047f))
+ )
+ )
+ (net sig00001157
+ (joined
+ (portRef CE (instanceRef blk0000046a))
+ (portRef CE (instanceRef blk0000046b))
+ (portRef CE (instanceRef blk0000046c))
+ (portRef CE (instanceRef blk0000046d))
+ (portRef CE (instanceRef blk0000046e))
+ (portRef CE (instanceRef blk0000046f))
+ (portRef CE (instanceRef blk00000470))
+ (portRef CE (instanceRef blk00000471))
+ (portRef CE (instanceRef blk00000472))
+ (portRef CE (instanceRef blk00000473))
+ (portRef CE (instanceRef blk00000474))
+ (portRef CE (instanceRef blk00000475))
+ (portRef CE (instanceRef blk00000476))
+ (portRef CE (instanceRef blk00000477))
+ (portRef CE (instanceRef blk00000478))
+ (portRef CE (instanceRef blk00000479))
+ (portRef CE (instanceRef blk0000047a))
+ (portRef CE (instanceRef blk0000047b))
+ (portRef CE (instanceRef blk0000047c))
+ (portRef CE (instanceRef blk0000047d))
+ (portRef CE (instanceRef blk0000047e))
+ (portRef CE (instanceRef blk0000047f))
+ (portRef CE (instanceRef blk00000480))
+ (portRef CE (instanceRef blk00000481))
+ (portRef O (instanceRef blk00000482))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO15_blk0000041d "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000041e
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000041f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000420
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000421
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000422
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000423
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000424
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000425
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000426
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000427
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000428
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000429
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000430
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000431
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000432
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000433
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000434
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000435
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000436
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000437
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000438
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000439
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000043a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000043b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000043c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000043d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000043e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000043f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000440
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000441
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000442
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000443
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000444
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000445
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000446
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000447
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000448
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000449
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000044a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000044b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000044c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000044d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000044e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000044f
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000010bc
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000437))
+ (portRef A0 (instanceRef blk00000438))
+ (portRef A0 (instanceRef blk00000439))
+ (portRef A0 (instanceRef blk0000043a))
+ (portRef A0 (instanceRef blk0000043b))
+ (portRef A0 (instanceRef blk0000043c))
+ (portRef A0 (instanceRef blk0000043d))
+ (portRef A0 (instanceRef blk0000043e))
+ (portRef A0 (instanceRef blk0000043f))
+ (portRef A0 (instanceRef blk00000440))
+ (portRef A0 (instanceRef blk00000441))
+ (portRef A0 (instanceRef blk00000442))
+ (portRef A0 (instanceRef blk00000443))
+ (portRef A0 (instanceRef blk00000444))
+ (portRef A0 (instanceRef blk00000445))
+ (portRef A0 (instanceRef blk00000446))
+ (portRef A0 (instanceRef blk00000447))
+ (portRef A0 (instanceRef blk00000448))
+ (portRef A0 (instanceRef blk00000449))
+ (portRef A0 (instanceRef blk0000044a))
+ (portRef A0 (instanceRef blk0000044b))
+ (portRef A0 (instanceRef blk0000044c))
+ (portRef A0 (instanceRef blk0000044d))
+ (portRef A0 (instanceRef blk0000044e))
+ )
+ )
+ (net sig000010bd
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000044c))
+ )
+ )
+ (net sig000010be
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000044e))
+ )
+ )
+ (net sig000010bf
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000044d))
+ )
+ )
+ (net sig000010c0
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000449))
+ )
+ )
+ (net sig000010c1
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000044b))
+ )
+ )
+ (net sig000010c2
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000044a))
+ )
+ )
+ (net sig000010c3
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000446))
+ )
+ )
+ (net sig000010c4
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000448))
+ )
+ )
+ (net sig000010c5
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000447))
+ )
+ )
+ (net sig000010c6
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000443))
+ )
+ )
+ (net sig000010c7
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000445))
+ )
+ )
+ (net sig000010c8
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000444))
+ )
+ )
+ (net sig000010c9
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000440))
+ )
+ )
+ (net sig000010ca
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000442))
+ )
+ )
+ (net sig000010cb
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000441))
+ )
+ )
+ (net sig000010cc
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000043d))
+ )
+ )
+ (net sig000010cd
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000043f))
+ )
+ )
+ (net sig000010ce
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000043e))
+ )
+ )
+ (net sig000010cf
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000043a))
+ )
+ )
+ (net sig000010d0
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000043c))
+ )
+ )
+ (net sig000010d1
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000043b))
+ )
+ )
+ (net sig000010d2
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000437))
+ )
+ )
+ (net sig000010d3
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000439))
+ )
+ )
+ (net sig000010d4
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000438))
+ )
+ )
+ (net sig000010d5
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000044f))
+ )
+ )
+ (net sig000010d6
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000041f))
+ (portRef CE (instanceRef blk00000420))
+ (portRef CE (instanceRef blk00000421))
+ (portRef CE (instanceRef blk00000422))
+ (portRef CE (instanceRef blk00000423))
+ (portRef CE (instanceRef blk00000424))
+ (portRef CE (instanceRef blk00000425))
+ (portRef CE (instanceRef blk00000426))
+ (portRef CE (instanceRef blk00000427))
+ (portRef CE (instanceRef blk00000428))
+ (portRef CE (instanceRef blk00000429))
+ (portRef CE (instanceRef blk0000042a))
+ (portRef CE (instanceRef blk0000042b))
+ (portRef CE (instanceRef blk0000042c))
+ (portRef CE (instanceRef blk0000042d))
+ (portRef CE (instanceRef blk0000042e))
+ (portRef CE (instanceRef blk0000042f))
+ (portRef CE (instanceRef blk00000430))
+ (portRef CE (instanceRef blk00000431))
+ (portRef CE (instanceRef blk00000432))
+ (portRef CE (instanceRef blk00000433))
+ (portRef CE (instanceRef blk00000434))
+ (portRef CE (instanceRef blk00000435))
+ (portRef CE (instanceRef blk00000436))
+ (portRef I0 (instanceRef blk0000044f))
+ )
+ )
+ (net sig000010d7
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000041f))
+ (portRef C (instanceRef blk00000420))
+ (portRef C (instanceRef blk00000421))
+ (portRef C (instanceRef blk00000422))
+ (portRef C (instanceRef blk00000423))
+ (portRef C (instanceRef blk00000424))
+ (portRef C (instanceRef blk00000425))
+ (portRef C (instanceRef blk00000426))
+ (portRef C (instanceRef blk00000427))
+ (portRef C (instanceRef blk00000428))
+ (portRef C (instanceRef blk00000429))
+ (portRef C (instanceRef blk0000042a))
+ (portRef C (instanceRef blk0000042b))
+ (portRef C (instanceRef blk0000042c))
+ (portRef C (instanceRef blk0000042d))
+ (portRef C (instanceRef blk0000042e))
+ (portRef C (instanceRef blk0000042f))
+ (portRef C (instanceRef blk00000430))
+ (portRef C (instanceRef blk00000431))
+ (portRef C (instanceRef blk00000432))
+ (portRef C (instanceRef blk00000433))
+ (portRef C (instanceRef blk00000434))
+ (portRef C (instanceRef blk00000435))
+ (portRef C (instanceRef blk00000436))
+ (portRef CLK (instanceRef blk00000437))
+ (portRef CLK (instanceRef blk00000438))
+ (portRef CLK (instanceRef blk00000439))
+ (portRef CLK (instanceRef blk0000043a))
+ (portRef CLK (instanceRef blk0000043b))
+ (portRef CLK (instanceRef blk0000043c))
+ (portRef CLK (instanceRef blk0000043d))
+ (portRef CLK (instanceRef blk0000043e))
+ (portRef CLK (instanceRef blk0000043f))
+ (portRef CLK (instanceRef blk00000440))
+ (portRef CLK (instanceRef blk00000441))
+ (portRef CLK (instanceRef blk00000442))
+ (portRef CLK (instanceRef blk00000443))
+ (portRef CLK (instanceRef blk00000444))
+ (portRef CLK (instanceRef blk00000445))
+ (portRef CLK (instanceRef blk00000446))
+ (portRef CLK (instanceRef blk00000447))
+ (portRef CLK (instanceRef blk00000448))
+ (portRef CLK (instanceRef blk00000449))
+ (portRef CLK (instanceRef blk0000044a))
+ (portRef CLK (instanceRef blk0000044b))
+ (portRef CLK (instanceRef blk0000044c))
+ (portRef CLK (instanceRef blk0000044d))
+ (portRef CLK (instanceRef blk0000044e))
+ )
+ )
+ (net sig000010d8
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000436))
+ )
+ )
+ (net sig000010d9
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000435))
+ )
+ )
+ (net sig000010da
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000434))
+ )
+ )
+ (net sig000010db
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000433))
+ )
+ )
+ (net sig000010dc
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000432))
+ )
+ )
+ (net sig000010dd
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000431))
+ )
+ )
+ (net sig000010de
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000430))
+ )
+ )
+ (net sig000010df
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000042f))
+ )
+ )
+ (net sig000010e0
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000042e))
+ )
+ )
+ (net sig000010e1
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000042d))
+ )
+ )
+ (net sig000010e2
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000042c))
+ )
+ )
+ (net sig000010e3
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000042b))
+ )
+ )
+ (net sig000010e4
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000042a))
+ )
+ )
+ (net sig000010e5
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000429))
+ )
+ )
+ (net sig000010e6
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000428))
+ )
+ )
+ (net sig000010e7
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000427))
+ )
+ )
+ (net sig000010e8
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000426))
+ )
+ )
+ (net sig000010e9
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000425))
+ )
+ )
+ (net sig000010ea
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000424))
+ )
+ )
+ (net sig000010eb
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000423))
+ )
+ )
+ (net sig000010ec
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000422))
+ )
+ )
+ (net sig000010ed
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000421))
+ )
+ )
+ (net sig000010ee
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000420))
+ )
+ )
+ (net sig000010ef
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000041f))
+ )
+ )
+ (net sig000010f0
+ (joined
+ (portRef G (instanceRef blk0000041e))
+ (portRef A1 (instanceRef blk00000437))
+ (portRef A2 (instanceRef blk00000437))
+ (portRef A3 (instanceRef blk00000437))
+ (portRef A1 (instanceRef blk00000438))
+ (portRef A2 (instanceRef blk00000438))
+ (portRef A3 (instanceRef blk00000438))
+ (portRef A1 (instanceRef blk00000439))
+ (portRef A2 (instanceRef blk00000439))
+ (portRef A3 (instanceRef blk00000439))
+ (portRef A1 (instanceRef blk0000043a))
+ (portRef A2 (instanceRef blk0000043a))
+ (portRef A3 (instanceRef blk0000043a))
+ (portRef A1 (instanceRef blk0000043b))
+ (portRef A2 (instanceRef blk0000043b))
+ (portRef A3 (instanceRef blk0000043b))
+ (portRef A1 (instanceRef blk0000043c))
+ (portRef A2 (instanceRef blk0000043c))
+ (portRef A3 (instanceRef blk0000043c))
+ (portRef A1 (instanceRef blk0000043d))
+ (portRef A2 (instanceRef blk0000043d))
+ (portRef A3 (instanceRef blk0000043d))
+ (portRef A1 (instanceRef blk0000043e))
+ (portRef A2 (instanceRef blk0000043e))
+ (portRef A3 (instanceRef blk0000043e))
+ (portRef A1 (instanceRef blk0000043f))
+ (portRef A2 (instanceRef blk0000043f))
+ (portRef A3 (instanceRef blk0000043f))
+ (portRef A1 (instanceRef blk00000440))
+ (portRef A2 (instanceRef blk00000440))
+ (portRef A3 (instanceRef blk00000440))
+ (portRef A1 (instanceRef blk00000441))
+ (portRef A2 (instanceRef blk00000441))
+ (portRef A3 (instanceRef blk00000441))
+ (portRef A1 (instanceRef blk00000442))
+ (portRef A2 (instanceRef blk00000442))
+ (portRef A3 (instanceRef blk00000442))
+ (portRef A1 (instanceRef blk00000443))
+ (portRef A2 (instanceRef blk00000443))
+ (portRef A3 (instanceRef blk00000443))
+ (portRef A1 (instanceRef blk00000444))
+ (portRef A2 (instanceRef blk00000444))
+ (portRef A3 (instanceRef blk00000444))
+ (portRef A1 (instanceRef blk00000445))
+ (portRef A2 (instanceRef blk00000445))
+ (portRef A3 (instanceRef blk00000445))
+ (portRef A1 (instanceRef blk00000446))
+ (portRef A2 (instanceRef blk00000446))
+ (portRef A3 (instanceRef blk00000446))
+ (portRef A1 (instanceRef blk00000447))
+ (portRef A2 (instanceRef blk00000447))
+ (portRef A3 (instanceRef blk00000447))
+ (portRef A1 (instanceRef blk00000448))
+ (portRef A2 (instanceRef blk00000448))
+ (portRef A3 (instanceRef blk00000448))
+ (portRef A1 (instanceRef blk00000449))
+ (portRef A2 (instanceRef blk00000449))
+ (portRef A3 (instanceRef blk00000449))
+ (portRef A1 (instanceRef blk0000044a))
+ (portRef A2 (instanceRef blk0000044a))
+ (portRef A3 (instanceRef blk0000044a))
+ (portRef A1 (instanceRef blk0000044b))
+ (portRef A2 (instanceRef blk0000044b))
+ (portRef A3 (instanceRef blk0000044b))
+ (portRef A1 (instanceRef blk0000044c))
+ (portRef A2 (instanceRef blk0000044c))
+ (portRef A3 (instanceRef blk0000044c))
+ (portRef A1 (instanceRef blk0000044d))
+ (portRef A2 (instanceRef blk0000044d))
+ (portRef A3 (instanceRef blk0000044d))
+ (portRef A1 (instanceRef blk0000044e))
+ (portRef A2 (instanceRef blk0000044e))
+ (portRef A3 (instanceRef blk0000044e))
+ )
+ )
+ (net sig000010f1
+ (joined
+ (portRef D (instanceRef blk0000041f))
+ (portRef Q (instanceRef blk00000438))
+ )
+ )
+ (net sig000010f2
+ (joined
+ (portRef D (instanceRef blk00000420))
+ (portRef Q (instanceRef blk00000439))
+ )
+ )
+ (net sig000010f3
+ (joined
+ (portRef D (instanceRef blk00000421))
+ (portRef Q (instanceRef blk00000437))
+ )
+ )
+ (net sig000010f4
+ (joined
+ (portRef D (instanceRef blk00000422))
+ (portRef Q (instanceRef blk0000043b))
+ )
+ )
+ (net sig000010f5
+ (joined
+ (portRef D (instanceRef blk00000423))
+ (portRef Q (instanceRef blk0000043c))
+ )
+ )
+ (net sig000010f6
+ (joined
+ (portRef D (instanceRef blk00000424))
+ (portRef Q (instanceRef blk0000043a))
+ )
+ )
+ (net sig000010f7
+ (joined
+ (portRef D (instanceRef blk00000425))
+ (portRef Q (instanceRef blk0000043e))
+ )
+ )
+ (net sig000010f8
+ (joined
+ (portRef D (instanceRef blk00000426))
+ (portRef Q (instanceRef blk0000043f))
+ )
+ )
+ (net sig000010f9
+ (joined
+ (portRef D (instanceRef blk00000427))
+ (portRef Q (instanceRef blk0000043d))
+ )
+ )
+ (net sig000010fa
+ (joined
+ (portRef D (instanceRef blk00000428))
+ (portRef Q (instanceRef blk00000441))
+ )
+ )
+ (net sig000010fb
+ (joined
+ (portRef D (instanceRef blk00000429))
+ (portRef Q (instanceRef blk00000442))
+ )
+ )
+ (net sig000010fc
+ (joined
+ (portRef D (instanceRef blk0000042a))
+ (portRef Q (instanceRef blk00000440))
+ )
+ )
+ (net sig000010fd
+ (joined
+ (portRef D (instanceRef blk0000042b))
+ (portRef Q (instanceRef blk00000444))
+ )
+ )
+ (net sig000010fe
+ (joined
+ (portRef D (instanceRef blk0000042c))
+ (portRef Q (instanceRef blk00000445))
+ )
+ )
+ (net sig000010ff
+ (joined
+ (portRef D (instanceRef blk0000042d))
+ (portRef Q (instanceRef blk00000443))
+ )
+ )
+ (net sig00001100
+ (joined
+ (portRef D (instanceRef blk0000042e))
+ (portRef Q (instanceRef blk00000447))
+ )
+ )
+ (net sig00001101
+ (joined
+ (portRef D (instanceRef blk0000042f))
+ (portRef Q (instanceRef blk00000448))
+ )
+ )
+ (net sig00001102
+ (joined
+ (portRef D (instanceRef blk00000430))
+ (portRef Q (instanceRef blk00000446))
+ )
+ )
+ (net sig00001103
+ (joined
+ (portRef D (instanceRef blk00000431))
+ (portRef Q (instanceRef blk0000044a))
+ )
+ )
+ (net sig00001104
+ (joined
+ (portRef D (instanceRef blk00000432))
+ (portRef Q (instanceRef blk0000044b))
+ )
+ )
+ (net sig00001105
+ (joined
+ (portRef D (instanceRef blk00000433))
+ (portRef Q (instanceRef blk00000449))
+ )
+ )
+ (net sig00001106
+ (joined
+ (portRef D (instanceRef blk00000434))
+ (portRef Q (instanceRef blk0000044d))
+ )
+ )
+ (net sig00001107
+ (joined
+ (portRef D (instanceRef blk00000435))
+ (portRef Q (instanceRef blk0000044e))
+ )
+ )
+ (net sig00001108
+ (joined
+ (portRef D (instanceRef blk00000436))
+ (portRef Q (instanceRef blk0000044c))
+ )
+ )
+ (net sig00001109
+ (joined
+ (portRef CE (instanceRef blk00000437))
+ (portRef CE (instanceRef blk00000438))
+ (portRef CE (instanceRef blk00000439))
+ (portRef CE (instanceRef blk0000043a))
+ (portRef CE (instanceRef blk0000043b))
+ (portRef CE (instanceRef blk0000043c))
+ (portRef CE (instanceRef blk0000043d))
+ (portRef CE (instanceRef blk0000043e))
+ (portRef CE (instanceRef blk0000043f))
+ (portRef CE (instanceRef blk00000440))
+ (portRef CE (instanceRef blk00000441))
+ (portRef CE (instanceRef blk00000442))
+ (portRef CE (instanceRef blk00000443))
+ (portRef CE (instanceRef blk00000444))
+ (portRef CE (instanceRef blk00000445))
+ (portRef CE (instanceRef blk00000446))
+ (portRef CE (instanceRef blk00000447))
+ (portRef CE (instanceRef blk00000448))
+ (portRef CE (instanceRef blk00000449))
+ (portRef CE (instanceRef blk0000044a))
+ (portRef CE (instanceRef blk0000044b))
+ (portRef CE (instanceRef blk0000044c))
+ (portRef CE (instanceRef blk0000044d))
+ (portRef CE (instanceRef blk0000044e))
+ (portRef O (instanceRef blk0000044f))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO14_blk000003ea "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000003eb
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000003ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fe
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000400
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000401
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000402
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000403
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000404
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000405
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000406
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000407
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000408
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000409
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000040a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000040b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000040c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000040d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000040e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000040f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000410
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000411
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000412
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000413
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000414
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000415
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000416
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000417
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000418
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000419
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000041a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000041b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000041c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000106e
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000404))
+ (portRef A0 (instanceRef blk00000405))
+ (portRef A0 (instanceRef blk00000406))
+ (portRef A0 (instanceRef blk00000407))
+ (portRef A0 (instanceRef blk00000408))
+ (portRef A0 (instanceRef blk00000409))
+ (portRef A0 (instanceRef blk0000040a))
+ (portRef A0 (instanceRef blk0000040b))
+ (portRef A0 (instanceRef blk0000040c))
+ (portRef A0 (instanceRef blk0000040d))
+ (portRef A0 (instanceRef blk0000040e))
+ (portRef A0 (instanceRef blk0000040f))
+ (portRef A0 (instanceRef blk00000410))
+ (portRef A0 (instanceRef blk00000411))
+ (portRef A0 (instanceRef blk00000412))
+ (portRef A0 (instanceRef blk00000413))
+ (portRef A0 (instanceRef blk00000414))
+ (portRef A0 (instanceRef blk00000415))
+ (portRef A0 (instanceRef blk00000416))
+ (portRef A0 (instanceRef blk00000417))
+ (portRef A0 (instanceRef blk00000418))
+ (portRef A0 (instanceRef blk00000419))
+ (portRef A0 (instanceRef blk0000041a))
+ (portRef A0 (instanceRef blk0000041b))
+ )
+ )
+ (net sig0000106f
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000419))
+ )
+ )
+ (net sig00001070
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000041b))
+ )
+ )
+ (net sig00001071
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000041a))
+ )
+ )
+ (net sig00001072
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000416))
+ )
+ )
+ (net sig00001073
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000418))
+ )
+ )
+ (net sig00001074
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000417))
+ )
+ )
+ (net sig00001075
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000413))
+ )
+ )
+ (net sig00001076
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000415))
+ )
+ )
+ (net sig00001077
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000414))
+ )
+ )
+ (net sig00001078
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000410))
+ )
+ )
+ (net sig00001079
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000412))
+ )
+ )
+ (net sig0000107a
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000411))
+ )
+ )
+ (net sig0000107b
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000040d))
+ )
+ )
+ (net sig0000107c
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000040f))
+ )
+ )
+ (net sig0000107d
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000040e))
+ )
+ )
+ (net sig0000107e
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000040a))
+ )
+ )
+ (net sig0000107f
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000040c))
+ )
+ )
+ (net sig00001080
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000040b))
+ )
+ )
+ (net sig00001081
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000407))
+ )
+ )
+ (net sig00001082
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000409))
+ )
+ )
+ (net sig00001083
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000408))
+ )
+ )
+ (net sig00001084
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000404))
+ )
+ )
+ (net sig00001085
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000406))
+ )
+ )
+ (net sig00001086
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000405))
+ )
+ )
+ (net sig00001087
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000041c))
+ )
+ )
+ (net sig00001088
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000003ec))
+ (portRef CE (instanceRef blk000003ed))
+ (portRef CE (instanceRef blk000003ee))
+ (portRef CE (instanceRef blk000003ef))
+ (portRef CE (instanceRef blk000003f0))
+ (portRef CE (instanceRef blk000003f1))
+ (portRef CE (instanceRef blk000003f2))
+ (portRef CE (instanceRef blk000003f3))
+ (portRef CE (instanceRef blk000003f4))
+ (portRef CE (instanceRef blk000003f5))
+ (portRef CE (instanceRef blk000003f6))
+ (portRef CE (instanceRef blk000003f7))
+ (portRef CE (instanceRef blk000003f8))
+ (portRef CE (instanceRef blk000003f9))
+ (portRef CE (instanceRef blk000003fa))
+ (portRef CE (instanceRef blk000003fb))
+ (portRef CE (instanceRef blk000003fc))
+ (portRef CE (instanceRef blk000003fd))
+ (portRef CE (instanceRef blk000003fe))
+ (portRef CE (instanceRef blk000003ff))
+ (portRef CE (instanceRef blk00000400))
+ (portRef CE (instanceRef blk00000401))
+ (portRef CE (instanceRef blk00000402))
+ (portRef CE (instanceRef blk00000403))
+ (portRef I0 (instanceRef blk0000041c))
+ )
+ )
+ (net sig00001089
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000003ec))
+ (portRef C (instanceRef blk000003ed))
+ (portRef C (instanceRef blk000003ee))
+ (portRef C (instanceRef blk000003ef))
+ (portRef C (instanceRef blk000003f0))
+ (portRef C (instanceRef blk000003f1))
+ (portRef C (instanceRef blk000003f2))
+ (portRef C (instanceRef blk000003f3))
+ (portRef C (instanceRef blk000003f4))
+ (portRef C (instanceRef blk000003f5))
+ (portRef C (instanceRef blk000003f6))
+ (portRef C (instanceRef blk000003f7))
+ (portRef C (instanceRef blk000003f8))
+ (portRef C (instanceRef blk000003f9))
+ (portRef C (instanceRef blk000003fa))
+ (portRef C (instanceRef blk000003fb))
+ (portRef C (instanceRef blk000003fc))
+ (portRef C (instanceRef blk000003fd))
+ (portRef C (instanceRef blk000003fe))
+ (portRef C (instanceRef blk000003ff))
+ (portRef C (instanceRef blk00000400))
+ (portRef C (instanceRef blk00000401))
+ (portRef C (instanceRef blk00000402))
+ (portRef C (instanceRef blk00000403))
+ (portRef CLK (instanceRef blk00000404))
+ (portRef CLK (instanceRef blk00000405))
+ (portRef CLK (instanceRef blk00000406))
+ (portRef CLK (instanceRef blk00000407))
+ (portRef CLK (instanceRef blk00000408))
+ (portRef CLK (instanceRef blk00000409))
+ (portRef CLK (instanceRef blk0000040a))
+ (portRef CLK (instanceRef blk0000040b))
+ (portRef CLK (instanceRef blk0000040c))
+ (portRef CLK (instanceRef blk0000040d))
+ (portRef CLK (instanceRef blk0000040e))
+ (portRef CLK (instanceRef blk0000040f))
+ (portRef CLK (instanceRef blk00000410))
+ (portRef CLK (instanceRef blk00000411))
+ (portRef CLK (instanceRef blk00000412))
+ (portRef CLK (instanceRef blk00000413))
+ (portRef CLK (instanceRef blk00000414))
+ (portRef CLK (instanceRef blk00000415))
+ (portRef CLK (instanceRef blk00000416))
+ (portRef CLK (instanceRef blk00000417))
+ (portRef CLK (instanceRef blk00000418))
+ (portRef CLK (instanceRef blk00000419))
+ (portRef CLK (instanceRef blk0000041a))
+ (portRef CLK (instanceRef blk0000041b))
+ )
+ )
+ (net sig0000108a
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000403))
+ )
+ )
+ (net sig0000108b
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000402))
+ )
+ )
+ (net sig0000108c
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000401))
+ )
+ )
+ (net sig0000108d
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000400))
+ )
+ )
+ (net sig0000108e
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000003ff))
+ )
+ )
+ (net sig0000108f
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000003fe))
+ )
+ )
+ (net sig00001090
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000003fd))
+ )
+ )
+ (net sig00001091
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000003fc))
+ )
+ )
+ (net sig00001092
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000003fb))
+ )
+ )
+ (net sig00001093
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000003fa))
+ )
+ )
+ (net sig00001094
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000003f9))
+ )
+ )
+ (net sig00001095
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000003f8))
+ )
+ )
+ (net sig00001096
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000003f7))
+ )
+ )
+ (net sig00001097
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000003f6))
+ )
+ )
+ (net sig00001098
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000003f5))
+ )
+ )
+ (net sig00001099
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000003f4))
+ )
+ )
+ (net sig0000109a
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000003f3))
+ )
+ )
+ (net sig0000109b
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000003f2))
+ )
+ )
+ (net sig0000109c
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000003f1))
+ )
+ )
+ (net sig0000109d
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000003f0))
+ )
+ )
+ (net sig0000109e
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000003ef))
+ )
+ )
+ (net sig0000109f
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000003ee))
+ )
+ )
+ (net sig000010a0
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000003ed))
+ )
+ )
+ (net sig000010a1
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000003ec))
+ )
+ )
+ (net sig000010a2
+ (joined
+ (portRef G (instanceRef blk000003eb))
+ (portRef A1 (instanceRef blk00000404))
+ (portRef A2 (instanceRef blk00000404))
+ (portRef A3 (instanceRef blk00000404))
+ (portRef A1 (instanceRef blk00000405))
+ (portRef A2 (instanceRef blk00000405))
+ (portRef A3 (instanceRef blk00000405))
+ (portRef A1 (instanceRef blk00000406))
+ (portRef A2 (instanceRef blk00000406))
+ (portRef A3 (instanceRef blk00000406))
+ (portRef A1 (instanceRef blk00000407))
+ (portRef A2 (instanceRef blk00000407))
+ (portRef A3 (instanceRef blk00000407))
+ (portRef A1 (instanceRef blk00000408))
+ (portRef A2 (instanceRef blk00000408))
+ (portRef A3 (instanceRef blk00000408))
+ (portRef A1 (instanceRef blk00000409))
+ (portRef A2 (instanceRef blk00000409))
+ (portRef A3 (instanceRef blk00000409))
+ (portRef A1 (instanceRef blk0000040a))
+ (portRef A2 (instanceRef blk0000040a))
+ (portRef A3 (instanceRef blk0000040a))
+ (portRef A1 (instanceRef blk0000040b))
+ (portRef A2 (instanceRef blk0000040b))
+ (portRef A3 (instanceRef blk0000040b))
+ (portRef A1 (instanceRef blk0000040c))
+ (portRef A2 (instanceRef blk0000040c))
+ (portRef A3 (instanceRef blk0000040c))
+ (portRef A1 (instanceRef blk0000040d))
+ (portRef A2 (instanceRef blk0000040d))
+ (portRef A3 (instanceRef blk0000040d))
+ (portRef A1 (instanceRef blk0000040e))
+ (portRef A2 (instanceRef blk0000040e))
+ (portRef A3 (instanceRef blk0000040e))
+ (portRef A1 (instanceRef blk0000040f))
+ (portRef A2 (instanceRef blk0000040f))
+ (portRef A3 (instanceRef blk0000040f))
+ (portRef A1 (instanceRef blk00000410))
+ (portRef A2 (instanceRef blk00000410))
+ (portRef A3 (instanceRef blk00000410))
+ (portRef A1 (instanceRef blk00000411))
+ (portRef A2 (instanceRef blk00000411))
+ (portRef A3 (instanceRef blk00000411))
+ (portRef A1 (instanceRef blk00000412))
+ (portRef A2 (instanceRef blk00000412))
+ (portRef A3 (instanceRef blk00000412))
+ (portRef A1 (instanceRef blk00000413))
+ (portRef A2 (instanceRef blk00000413))
+ (portRef A3 (instanceRef blk00000413))
+ (portRef A1 (instanceRef blk00000414))
+ (portRef A2 (instanceRef blk00000414))
+ (portRef A3 (instanceRef blk00000414))
+ (portRef A1 (instanceRef blk00000415))
+ (portRef A2 (instanceRef blk00000415))
+ (portRef A3 (instanceRef blk00000415))
+ (portRef A1 (instanceRef blk00000416))
+ (portRef A2 (instanceRef blk00000416))
+ (portRef A3 (instanceRef blk00000416))
+ (portRef A1 (instanceRef blk00000417))
+ (portRef A2 (instanceRef blk00000417))
+ (portRef A3 (instanceRef blk00000417))
+ (portRef A1 (instanceRef blk00000418))
+ (portRef A2 (instanceRef blk00000418))
+ (portRef A3 (instanceRef blk00000418))
+ (portRef A1 (instanceRef blk00000419))
+ (portRef A2 (instanceRef blk00000419))
+ (portRef A3 (instanceRef blk00000419))
+ (portRef A1 (instanceRef blk0000041a))
+ (portRef A2 (instanceRef blk0000041a))
+ (portRef A3 (instanceRef blk0000041a))
+ (portRef A1 (instanceRef blk0000041b))
+ (portRef A2 (instanceRef blk0000041b))
+ (portRef A3 (instanceRef blk0000041b))
+ )
+ )
+ (net sig000010a3
+ (joined
+ (portRef D (instanceRef blk000003ec))
+ (portRef Q (instanceRef blk00000405))
+ )
+ )
+ (net sig000010a4
+ (joined
+ (portRef D (instanceRef blk000003ed))
+ (portRef Q (instanceRef blk00000406))
+ )
+ )
+ (net sig000010a5
+ (joined
+ (portRef D (instanceRef blk000003ee))
+ (portRef Q (instanceRef blk00000404))
+ )
+ )
+ (net sig000010a6
+ (joined
+ (portRef D (instanceRef blk000003ef))
+ (portRef Q (instanceRef blk00000408))
+ )
+ )
+ (net sig000010a7
+ (joined
+ (portRef D (instanceRef blk000003f0))
+ (portRef Q (instanceRef blk00000409))
+ )
+ )
+ (net sig000010a8
+ (joined
+ (portRef D (instanceRef blk000003f1))
+ (portRef Q (instanceRef blk00000407))
+ )
+ )
+ (net sig000010a9
+ (joined
+ (portRef D (instanceRef blk000003f2))
+ (portRef Q (instanceRef blk0000040b))
+ )
+ )
+ (net sig000010aa
+ (joined
+ (portRef D (instanceRef blk000003f3))
+ (portRef Q (instanceRef blk0000040c))
+ )
+ )
+ (net sig000010ab
+ (joined
+ (portRef D (instanceRef blk000003f4))
+ (portRef Q (instanceRef blk0000040a))
+ )
+ )
+ (net sig000010ac
+ (joined
+ (portRef D (instanceRef blk000003f5))
+ (portRef Q (instanceRef blk0000040e))
+ )
+ )
+ (net sig000010ad
+ (joined
+ (portRef D (instanceRef blk000003f6))
+ (portRef Q (instanceRef blk0000040f))
+ )
+ )
+ (net sig000010ae
+ (joined
+ (portRef D (instanceRef blk000003f7))
+ (portRef Q (instanceRef blk0000040d))
+ )
+ )
+ (net sig000010af
+ (joined
+ (portRef D (instanceRef blk000003f8))
+ (portRef Q (instanceRef blk00000411))
+ )
+ )
+ (net sig000010b0
+ (joined
+ (portRef D (instanceRef blk000003f9))
+ (portRef Q (instanceRef blk00000412))
+ )
+ )
+ (net sig000010b1
+ (joined
+ (portRef D (instanceRef blk000003fa))
+ (portRef Q (instanceRef blk00000410))
+ )
+ )
+ (net sig000010b2
+ (joined
+ (portRef D (instanceRef blk000003fb))
+ (portRef Q (instanceRef blk00000414))
+ )
+ )
+ (net sig000010b3
+ (joined
+ (portRef D (instanceRef blk000003fc))
+ (portRef Q (instanceRef blk00000415))
+ )
+ )
+ (net sig000010b4
+ (joined
+ (portRef D (instanceRef blk000003fd))
+ (portRef Q (instanceRef blk00000413))
+ )
+ )
+ (net sig000010b5
+ (joined
+ (portRef D (instanceRef blk000003fe))
+ (portRef Q (instanceRef blk00000417))
+ )
+ )
+ (net sig000010b6
+ (joined
+ (portRef D (instanceRef blk000003ff))
+ (portRef Q (instanceRef blk00000418))
+ )
+ )
+ (net sig000010b7
+ (joined
+ (portRef D (instanceRef blk00000400))
+ (portRef Q (instanceRef blk00000416))
+ )
+ )
+ (net sig000010b8
+ (joined
+ (portRef D (instanceRef blk00000401))
+ (portRef Q (instanceRef blk0000041a))
+ )
+ )
+ (net sig000010b9
+ (joined
+ (portRef D (instanceRef blk00000402))
+ (portRef Q (instanceRef blk0000041b))
+ )
+ )
+ (net sig000010ba
+ (joined
+ (portRef D (instanceRef blk00000403))
+ (portRef Q (instanceRef blk00000419))
+ )
+ )
+ (net sig000010bb
+ (joined
+ (portRef CE (instanceRef blk00000404))
+ (portRef CE (instanceRef blk00000405))
+ (portRef CE (instanceRef blk00000406))
+ (portRef CE (instanceRef blk00000407))
+ (portRef CE (instanceRef blk00000408))
+ (portRef CE (instanceRef blk00000409))
+ (portRef CE (instanceRef blk0000040a))
+ (portRef CE (instanceRef blk0000040b))
+ (portRef CE (instanceRef blk0000040c))
+ (portRef CE (instanceRef blk0000040d))
+ (portRef CE (instanceRef blk0000040e))
+ (portRef CE (instanceRef blk0000040f))
+ (portRef CE (instanceRef blk00000410))
+ (portRef CE (instanceRef blk00000411))
+ (portRef CE (instanceRef blk00000412))
+ (portRef CE (instanceRef blk00000413))
+ (portRef CE (instanceRef blk00000414))
+ (portRef CE (instanceRef blk00000415))
+ (portRef CE (instanceRef blk00000416))
+ (portRef CE (instanceRef blk00000417))
+ (portRef CE (instanceRef blk00000418))
+ (portRef CE (instanceRef blk00000419))
+ (portRef CE (instanceRef blk0000041a))
+ (portRef CE (instanceRef blk0000041b))
+ (portRef O (instanceRef blk0000041c))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO13_blk000003b7 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000003b8
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000003b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003e9
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00001020
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk000003d1))
+ (portRef A0 (instanceRef blk000003d2))
+ (portRef A0 (instanceRef blk000003d3))
+ (portRef A0 (instanceRef blk000003d4))
+ (portRef A0 (instanceRef blk000003d5))
+ (portRef A0 (instanceRef blk000003d6))
+ (portRef A0 (instanceRef blk000003d7))
+ (portRef A0 (instanceRef blk000003d8))
+ (portRef A0 (instanceRef blk000003d9))
+ (portRef A0 (instanceRef blk000003da))
+ (portRef A0 (instanceRef blk000003db))
+ (portRef A0 (instanceRef blk000003dc))
+ (portRef A0 (instanceRef blk000003dd))
+ (portRef A0 (instanceRef blk000003de))
+ (portRef A0 (instanceRef blk000003df))
+ (portRef A0 (instanceRef blk000003e0))
+ (portRef A0 (instanceRef blk000003e1))
+ (portRef A0 (instanceRef blk000003e2))
+ (portRef A0 (instanceRef blk000003e3))
+ (portRef A0 (instanceRef blk000003e4))
+ (portRef A0 (instanceRef blk000003e5))
+ (portRef A0 (instanceRef blk000003e6))
+ (portRef A0 (instanceRef blk000003e7))
+ (portRef A0 (instanceRef blk000003e8))
+ )
+ )
+ (net sig00001021
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000003e6))
+ )
+ )
+ (net sig00001022
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000003e8))
+ )
+ )
+ (net sig00001023
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000003e7))
+ )
+ )
+ (net sig00001024
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000003e3))
+ )
+ )
+ (net sig00001025
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000003e5))
+ )
+ )
+ (net sig00001026
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000003e4))
+ )
+ )
+ (net sig00001027
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000003e0))
+ )
+ )
+ (net sig00001028
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000003e2))
+ )
+ )
+ (net sig00001029
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000003e1))
+ )
+ )
+ (net sig0000102a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000003dd))
+ )
+ )
+ (net sig0000102b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000003df))
+ )
+ )
+ (net sig0000102c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000003de))
+ )
+ )
+ (net sig0000102d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000003da))
+ )
+ )
+ (net sig0000102e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000003dc))
+ )
+ )
+ (net sig0000102f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000003db))
+ )
+ )
+ (net sig00001030
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000003d7))
+ )
+ )
+ (net sig00001031
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000003d9))
+ )
+ )
+ (net sig00001032
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000003d8))
+ )
+ )
+ (net sig00001033
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000003d4))
+ )
+ )
+ (net sig00001034
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000003d6))
+ )
+ )
+ (net sig00001035
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000003d5))
+ )
+ )
+ (net sig00001036
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000003d1))
+ )
+ )
+ (net sig00001037
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000003d3))
+ )
+ )
+ (net sig00001038
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000003d2))
+ )
+ )
+ (net sig00001039
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000003e9))
+ )
+ )
+ (net sig0000103a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000003b9))
+ (portRef CE (instanceRef blk000003ba))
+ (portRef CE (instanceRef blk000003bb))
+ (portRef CE (instanceRef blk000003bc))
+ (portRef CE (instanceRef blk000003bd))
+ (portRef CE (instanceRef blk000003be))
+ (portRef CE (instanceRef blk000003bf))
+ (portRef CE (instanceRef blk000003c0))
+ (portRef CE (instanceRef blk000003c1))
+ (portRef CE (instanceRef blk000003c2))
+ (portRef CE (instanceRef blk000003c3))
+ (portRef CE (instanceRef blk000003c4))
+ (portRef CE (instanceRef blk000003c5))
+ (portRef CE (instanceRef blk000003c6))
+ (portRef CE (instanceRef blk000003c7))
+ (portRef CE (instanceRef blk000003c8))
+ (portRef CE (instanceRef blk000003c9))
+ (portRef CE (instanceRef blk000003ca))
+ (portRef CE (instanceRef blk000003cb))
+ (portRef CE (instanceRef blk000003cc))
+ (portRef CE (instanceRef blk000003cd))
+ (portRef CE (instanceRef blk000003ce))
+ (portRef CE (instanceRef blk000003cf))
+ (portRef CE (instanceRef blk000003d0))
+ (portRef I0 (instanceRef blk000003e9))
+ )
+ )
+ (net sig0000103b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000003b9))
+ (portRef C (instanceRef blk000003ba))
+ (portRef C (instanceRef blk000003bb))
+ (portRef C (instanceRef blk000003bc))
+ (portRef C (instanceRef blk000003bd))
+ (portRef C (instanceRef blk000003be))
+ (portRef C (instanceRef blk000003bf))
+ (portRef C (instanceRef blk000003c0))
+ (portRef C (instanceRef blk000003c1))
+ (portRef C (instanceRef blk000003c2))
+ (portRef C (instanceRef blk000003c3))
+ (portRef C (instanceRef blk000003c4))
+ (portRef C (instanceRef blk000003c5))
+ (portRef C (instanceRef blk000003c6))
+ (portRef C (instanceRef blk000003c7))
+ (portRef C (instanceRef blk000003c8))
+ (portRef C (instanceRef blk000003c9))
+ (portRef C (instanceRef blk000003ca))
+ (portRef C (instanceRef blk000003cb))
+ (portRef C (instanceRef blk000003cc))
+ (portRef C (instanceRef blk000003cd))
+ (portRef C (instanceRef blk000003ce))
+ (portRef C (instanceRef blk000003cf))
+ (portRef C (instanceRef blk000003d0))
+ (portRef CLK (instanceRef blk000003d1))
+ (portRef CLK (instanceRef blk000003d2))
+ (portRef CLK (instanceRef blk000003d3))
+ (portRef CLK (instanceRef blk000003d4))
+ (portRef CLK (instanceRef blk000003d5))
+ (portRef CLK (instanceRef blk000003d6))
+ (portRef CLK (instanceRef blk000003d7))
+ (portRef CLK (instanceRef blk000003d8))
+ (portRef CLK (instanceRef blk000003d9))
+ (portRef CLK (instanceRef blk000003da))
+ (portRef CLK (instanceRef blk000003db))
+ (portRef CLK (instanceRef blk000003dc))
+ (portRef CLK (instanceRef blk000003dd))
+ (portRef CLK (instanceRef blk000003de))
+ (portRef CLK (instanceRef blk000003df))
+ (portRef CLK (instanceRef blk000003e0))
+ (portRef CLK (instanceRef blk000003e1))
+ (portRef CLK (instanceRef blk000003e2))
+ (portRef CLK (instanceRef blk000003e3))
+ (portRef CLK (instanceRef blk000003e4))
+ (portRef CLK (instanceRef blk000003e5))
+ (portRef CLK (instanceRef blk000003e6))
+ (portRef CLK (instanceRef blk000003e7))
+ (portRef CLK (instanceRef blk000003e8))
+ )
+ )
+ (net sig0000103c
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000003d0))
+ )
+ )
+ (net sig0000103d
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000003cf))
+ )
+ )
+ (net sig0000103e
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000003ce))
+ )
+ )
+ (net sig0000103f
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000003cd))
+ )
+ )
+ (net sig00001040
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000003cc))
+ )
+ )
+ (net sig00001041
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000003cb))
+ )
+ )
+ (net sig00001042
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000003ca))
+ )
+ )
+ (net sig00001043
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000003c9))
+ )
+ )
+ (net sig00001044
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000003c8))
+ )
+ )
+ (net sig00001045
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000003c7))
+ )
+ )
+ (net sig00001046
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000003c6))
+ )
+ )
+ (net sig00001047
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000003c5))
+ )
+ )
+ (net sig00001048
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000003c4))
+ )
+ )
+ (net sig00001049
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000003c3))
+ )
+ )
+ (net sig0000104a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000003c2))
+ )
+ )
+ (net sig0000104b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000003c1))
+ )
+ )
+ (net sig0000104c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000003c0))
+ )
+ )
+ (net sig0000104d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000003bf))
+ )
+ )
+ (net sig0000104e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000003be))
+ )
+ )
+ (net sig0000104f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000003bd))
+ )
+ )
+ (net sig00001050
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000003bc))
+ )
+ )
+ (net sig00001051
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000003bb))
+ )
+ )
+ (net sig00001052
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000003ba))
+ )
+ )
+ (net sig00001053
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000003b9))
+ )
+ )
+ (net sig00001054
+ (joined
+ (portRef G (instanceRef blk000003b8))
+ (portRef A1 (instanceRef blk000003d1))
+ (portRef A2 (instanceRef blk000003d1))
+ (portRef A3 (instanceRef blk000003d1))
+ (portRef A1 (instanceRef blk000003d2))
+ (portRef A2 (instanceRef blk000003d2))
+ (portRef A3 (instanceRef blk000003d2))
+ (portRef A1 (instanceRef blk000003d3))
+ (portRef A2 (instanceRef blk000003d3))
+ (portRef A3 (instanceRef blk000003d3))
+ (portRef A1 (instanceRef blk000003d4))
+ (portRef A2 (instanceRef blk000003d4))
+ (portRef A3 (instanceRef blk000003d4))
+ (portRef A1 (instanceRef blk000003d5))
+ (portRef A2 (instanceRef blk000003d5))
+ (portRef A3 (instanceRef blk000003d5))
+ (portRef A1 (instanceRef blk000003d6))
+ (portRef A2 (instanceRef blk000003d6))
+ (portRef A3 (instanceRef blk000003d6))
+ (portRef A1 (instanceRef blk000003d7))
+ (portRef A2 (instanceRef blk000003d7))
+ (portRef A3 (instanceRef blk000003d7))
+ (portRef A1 (instanceRef blk000003d8))
+ (portRef A2 (instanceRef blk000003d8))
+ (portRef A3 (instanceRef blk000003d8))
+ (portRef A1 (instanceRef blk000003d9))
+ (portRef A2 (instanceRef blk000003d9))
+ (portRef A3 (instanceRef blk000003d9))
+ (portRef A1 (instanceRef blk000003da))
+ (portRef A2 (instanceRef blk000003da))
+ (portRef A3 (instanceRef blk000003da))
+ (portRef A1 (instanceRef blk000003db))
+ (portRef A2 (instanceRef blk000003db))
+ (portRef A3 (instanceRef blk000003db))
+ (portRef A1 (instanceRef blk000003dc))
+ (portRef A2 (instanceRef blk000003dc))
+ (portRef A3 (instanceRef blk000003dc))
+ (portRef A1 (instanceRef blk000003dd))
+ (portRef A2 (instanceRef blk000003dd))
+ (portRef A3 (instanceRef blk000003dd))
+ (portRef A1 (instanceRef blk000003de))
+ (portRef A2 (instanceRef blk000003de))
+ (portRef A3 (instanceRef blk000003de))
+ (portRef A1 (instanceRef blk000003df))
+ (portRef A2 (instanceRef blk000003df))
+ (portRef A3 (instanceRef blk000003df))
+ (portRef A1 (instanceRef blk000003e0))
+ (portRef A2 (instanceRef blk000003e0))
+ (portRef A3 (instanceRef blk000003e0))
+ (portRef A1 (instanceRef blk000003e1))
+ (portRef A2 (instanceRef blk000003e1))
+ (portRef A3 (instanceRef blk000003e1))
+ (portRef A1 (instanceRef blk000003e2))
+ (portRef A2 (instanceRef blk000003e2))
+ (portRef A3 (instanceRef blk000003e2))
+ (portRef A1 (instanceRef blk000003e3))
+ (portRef A2 (instanceRef blk000003e3))
+ (portRef A3 (instanceRef blk000003e3))
+ (portRef A1 (instanceRef blk000003e4))
+ (portRef A2 (instanceRef blk000003e4))
+ (portRef A3 (instanceRef blk000003e4))
+ (portRef A1 (instanceRef blk000003e5))
+ (portRef A2 (instanceRef blk000003e5))
+ (portRef A3 (instanceRef blk000003e5))
+ (portRef A1 (instanceRef blk000003e6))
+ (portRef A2 (instanceRef blk000003e6))
+ (portRef A3 (instanceRef blk000003e6))
+ (portRef A1 (instanceRef blk000003e7))
+ (portRef A2 (instanceRef blk000003e7))
+ (portRef A3 (instanceRef blk000003e7))
+ (portRef A1 (instanceRef blk000003e8))
+ (portRef A2 (instanceRef blk000003e8))
+ (portRef A3 (instanceRef blk000003e8))
+ )
+ )
+ (net sig00001055
+ (joined
+ (portRef D (instanceRef blk000003b9))
+ (portRef Q (instanceRef blk000003d2))
+ )
+ )
+ (net sig00001056
+ (joined
+ (portRef D (instanceRef blk000003ba))
+ (portRef Q (instanceRef blk000003d3))
+ )
+ )
+ (net sig00001057
+ (joined
+ (portRef D (instanceRef blk000003bb))
+ (portRef Q (instanceRef blk000003d1))
+ )
+ )
+ (net sig00001058
+ (joined
+ (portRef D (instanceRef blk000003bc))
+ (portRef Q (instanceRef blk000003d5))
+ )
+ )
+ (net sig00001059
+ (joined
+ (portRef D (instanceRef blk000003bd))
+ (portRef Q (instanceRef blk000003d6))
+ )
+ )
+ (net sig0000105a
+ (joined
+ (portRef D (instanceRef blk000003be))
+ (portRef Q (instanceRef blk000003d4))
+ )
+ )
+ (net sig0000105b
+ (joined
+ (portRef D (instanceRef blk000003bf))
+ (portRef Q (instanceRef blk000003d8))
+ )
+ )
+ (net sig0000105c
+ (joined
+ (portRef D (instanceRef blk000003c0))
+ (portRef Q (instanceRef blk000003d9))
+ )
+ )
+ (net sig0000105d
+ (joined
+ (portRef D (instanceRef blk000003c1))
+ (portRef Q (instanceRef blk000003d7))
+ )
+ )
+ (net sig0000105e
+ (joined
+ (portRef D (instanceRef blk000003c2))
+ (portRef Q (instanceRef blk000003db))
+ )
+ )
+ (net sig0000105f
+ (joined
+ (portRef D (instanceRef blk000003c3))
+ (portRef Q (instanceRef blk000003dc))
+ )
+ )
+ (net sig00001060
+ (joined
+ (portRef D (instanceRef blk000003c4))
+ (portRef Q (instanceRef blk000003da))
+ )
+ )
+ (net sig00001061
+ (joined
+ (portRef D (instanceRef blk000003c5))
+ (portRef Q (instanceRef blk000003de))
+ )
+ )
+ (net sig00001062
+ (joined
+ (portRef D (instanceRef blk000003c6))
+ (portRef Q (instanceRef blk000003df))
+ )
+ )
+ (net sig00001063
+ (joined
+ (portRef D (instanceRef blk000003c7))
+ (portRef Q (instanceRef blk000003dd))
+ )
+ )
+ (net sig00001064
+ (joined
+ (portRef D (instanceRef blk000003c8))
+ (portRef Q (instanceRef blk000003e1))
+ )
+ )
+ (net sig00001065
+ (joined
+ (portRef D (instanceRef blk000003c9))
+ (portRef Q (instanceRef blk000003e2))
+ )
+ )
+ (net sig00001066
+ (joined
+ (portRef D (instanceRef blk000003ca))
+ (portRef Q (instanceRef blk000003e0))
+ )
+ )
+ (net sig00001067
+ (joined
+ (portRef D (instanceRef blk000003cb))
+ (portRef Q (instanceRef blk000003e4))
+ )
+ )
+ (net sig00001068
+ (joined
+ (portRef D (instanceRef blk000003cc))
+ (portRef Q (instanceRef blk000003e5))
+ )
+ )
+ (net sig00001069
+ (joined
+ (portRef D (instanceRef blk000003cd))
+ (portRef Q (instanceRef blk000003e3))
+ )
+ )
+ (net sig0000106a
+ (joined
+ (portRef D (instanceRef blk000003ce))
+ (portRef Q (instanceRef blk000003e7))
+ )
+ )
+ (net sig0000106b
+ (joined
+ (portRef D (instanceRef blk000003cf))
+ (portRef Q (instanceRef blk000003e8))
+ )
+ )
+ (net sig0000106c
+ (joined
+ (portRef D (instanceRef blk000003d0))
+ (portRef Q (instanceRef blk000003e6))
+ )
+ )
+ (net sig0000106d
+ (joined
+ (portRef CE (instanceRef blk000003d1))
+ (portRef CE (instanceRef blk000003d2))
+ (portRef CE (instanceRef blk000003d3))
+ (portRef CE (instanceRef blk000003d4))
+ (portRef CE (instanceRef blk000003d5))
+ (portRef CE (instanceRef blk000003d6))
+ (portRef CE (instanceRef blk000003d7))
+ (portRef CE (instanceRef blk000003d8))
+ (portRef CE (instanceRef blk000003d9))
+ (portRef CE (instanceRef blk000003da))
+ (portRef CE (instanceRef blk000003db))
+ (portRef CE (instanceRef blk000003dc))
+ (portRef CE (instanceRef blk000003dd))
+ (portRef CE (instanceRef blk000003de))
+ (portRef CE (instanceRef blk000003df))
+ (portRef CE (instanceRef blk000003e0))
+ (portRef CE (instanceRef blk000003e1))
+ (portRef CE (instanceRef blk000003e2))
+ (portRef CE (instanceRef blk000003e3))
+ (portRef CE (instanceRef blk000003e4))
+ (portRef CE (instanceRef blk000003e5))
+ (portRef CE (instanceRef blk000003e6))
+ (portRef CE (instanceRef blk000003e7))
+ (portRef CE (instanceRef blk000003e8))
+ (portRef O (instanceRef blk000003e9))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO12_blk00000384 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000385
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000386
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000387
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000388
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000389
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000390
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000391
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000392
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000393
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000394
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000395
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000396
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000397
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000398
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000399
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000039f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000003b6
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000fd2
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000039e))
+ (portRef A0 (instanceRef blk0000039f))
+ (portRef A0 (instanceRef blk000003a0))
+ (portRef A0 (instanceRef blk000003a1))
+ (portRef A0 (instanceRef blk000003a2))
+ (portRef A0 (instanceRef blk000003a3))
+ (portRef A0 (instanceRef blk000003a4))
+ (portRef A0 (instanceRef blk000003a5))
+ (portRef A0 (instanceRef blk000003a6))
+ (portRef A0 (instanceRef blk000003a7))
+ (portRef A0 (instanceRef blk000003a8))
+ (portRef A0 (instanceRef blk000003a9))
+ (portRef A0 (instanceRef blk000003aa))
+ (portRef A0 (instanceRef blk000003ab))
+ (portRef A0 (instanceRef blk000003ac))
+ (portRef A0 (instanceRef blk000003ad))
+ (portRef A0 (instanceRef blk000003ae))
+ (portRef A0 (instanceRef blk000003af))
+ (portRef A0 (instanceRef blk000003b0))
+ (portRef A0 (instanceRef blk000003b1))
+ (portRef A0 (instanceRef blk000003b2))
+ (portRef A0 (instanceRef blk000003b3))
+ (portRef A0 (instanceRef blk000003b4))
+ (portRef A0 (instanceRef blk000003b5))
+ )
+ )
+ (net sig00000fd3
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000003b3))
+ )
+ )
+ (net sig00000fd4
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000003b5))
+ )
+ )
+ (net sig00000fd5
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000fd6
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000003b0))
+ )
+ )
+ (net sig00000fd7
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000003b2))
+ )
+ )
+ (net sig00000fd8
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000003b1))
+ )
+ )
+ (net sig00000fd9
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000003ad))
+ )
+ )
+ (net sig00000fda
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000003af))
+ )
+ )
+ (net sig00000fdb
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000003ae))
+ )
+ )
+ (net sig00000fdc
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000003aa))
+ )
+ )
+ (net sig00000fdd
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000003ac))
+ )
+ )
+ (net sig00000fde
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000003ab))
+ )
+ )
+ (net sig00000fdf
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000003a7))
+ )
+ )
+ (net sig00000fe0
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000003a9))
+ )
+ )
+ (net sig00000fe1
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000003a8))
+ )
+ )
+ (net sig00000fe2
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000003a4))
+ )
+ )
+ (net sig00000fe3
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000003a6))
+ )
+ )
+ (net sig00000fe4
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000003a5))
+ )
+ )
+ (net sig00000fe5
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000003a1))
+ )
+ )
+ (net sig00000fe6
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000003a3))
+ )
+ )
+ (net sig00000fe7
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000003a2))
+ )
+ )
+ (net sig00000fe8
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000039e))
+ )
+ )
+ (net sig00000fe9
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000003a0))
+ )
+ )
+ (net sig00000fea
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000039f))
+ )
+ )
+ (net sig00000feb
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000003b6))
+ )
+ )
+ (net sig00000fec
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000386))
+ (portRef CE (instanceRef blk00000387))
+ (portRef CE (instanceRef blk00000388))
+ (portRef CE (instanceRef blk00000389))
+ (portRef CE (instanceRef blk0000038a))
+ (portRef CE (instanceRef blk0000038b))
+ (portRef CE (instanceRef blk0000038c))
+ (portRef CE (instanceRef blk0000038d))
+ (portRef CE (instanceRef blk0000038e))
+ (portRef CE (instanceRef blk0000038f))
+ (portRef CE (instanceRef blk00000390))
+ (portRef CE (instanceRef blk00000391))
+ (portRef CE (instanceRef blk00000392))
+ (portRef CE (instanceRef blk00000393))
+ (portRef CE (instanceRef blk00000394))
+ (portRef CE (instanceRef blk00000395))
+ (portRef CE (instanceRef blk00000396))
+ (portRef CE (instanceRef blk00000397))
+ (portRef CE (instanceRef blk00000398))
+ (portRef CE (instanceRef blk00000399))
+ (portRef CE (instanceRef blk0000039a))
+ (portRef CE (instanceRef blk0000039b))
+ (portRef CE (instanceRef blk0000039c))
+ (portRef CE (instanceRef blk0000039d))
+ (portRef I0 (instanceRef blk000003b6))
+ )
+ )
+ (net sig00000fed
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000386))
+ (portRef C (instanceRef blk00000387))
+ (portRef C (instanceRef blk00000388))
+ (portRef C (instanceRef blk00000389))
+ (portRef C (instanceRef blk0000038a))
+ (portRef C (instanceRef blk0000038b))
+ (portRef C (instanceRef blk0000038c))
+ (portRef C (instanceRef blk0000038d))
+ (portRef C (instanceRef blk0000038e))
+ (portRef C (instanceRef blk0000038f))
+ (portRef C (instanceRef blk00000390))
+ (portRef C (instanceRef blk00000391))
+ (portRef C (instanceRef blk00000392))
+ (portRef C (instanceRef blk00000393))
+ (portRef C (instanceRef blk00000394))
+ (portRef C (instanceRef blk00000395))
+ (portRef C (instanceRef blk00000396))
+ (portRef C (instanceRef blk00000397))
+ (portRef C (instanceRef blk00000398))
+ (portRef C (instanceRef blk00000399))
+ (portRef C (instanceRef blk0000039a))
+ (portRef C (instanceRef blk0000039b))
+ (portRef C (instanceRef blk0000039c))
+ (portRef C (instanceRef blk0000039d))
+ (portRef CLK (instanceRef blk0000039e))
+ (portRef CLK (instanceRef blk0000039f))
+ (portRef CLK (instanceRef blk000003a0))
+ (portRef CLK (instanceRef blk000003a1))
+ (portRef CLK (instanceRef blk000003a2))
+ (portRef CLK (instanceRef blk000003a3))
+ (portRef CLK (instanceRef blk000003a4))
+ (portRef CLK (instanceRef blk000003a5))
+ (portRef CLK (instanceRef blk000003a6))
+ (portRef CLK (instanceRef blk000003a7))
+ (portRef CLK (instanceRef blk000003a8))
+ (portRef CLK (instanceRef blk000003a9))
+ (portRef CLK (instanceRef blk000003aa))
+ (portRef CLK (instanceRef blk000003ab))
+ (portRef CLK (instanceRef blk000003ac))
+ (portRef CLK (instanceRef blk000003ad))
+ (portRef CLK (instanceRef blk000003ae))
+ (portRef CLK (instanceRef blk000003af))
+ (portRef CLK (instanceRef blk000003b0))
+ (portRef CLK (instanceRef blk000003b1))
+ (portRef CLK (instanceRef blk000003b2))
+ (portRef CLK (instanceRef blk000003b3))
+ (portRef CLK (instanceRef blk000003b4))
+ (portRef CLK (instanceRef blk000003b5))
+ )
+ )
+ (net sig00000fee
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000039d))
+ )
+ )
+ (net sig00000fef
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000039c))
+ )
+ )
+ (net sig00000ff0
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000039b))
+ )
+ )
+ (net sig00000ff1
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000039a))
+ )
+ )
+ (net sig00000ff2
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000399))
+ )
+ )
+ (net sig00000ff3
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000398))
+ )
+ )
+ (net sig00000ff4
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000397))
+ )
+ )
+ (net sig00000ff5
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000396))
+ )
+ )
+ (net sig00000ff6
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000395))
+ )
+ )
+ (net sig00000ff7
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000394))
+ )
+ )
+ (net sig00000ff8
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000393))
+ )
+ )
+ (net sig00000ff9
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000392))
+ )
+ )
+ (net sig00000ffa
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000391))
+ )
+ )
+ (net sig00000ffb
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000390))
+ )
+ )
+ (net sig00000ffc
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000038f))
+ )
+ )
+ (net sig00000ffd
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000038e))
+ )
+ )
+ (net sig00000ffe
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000038d))
+ )
+ )
+ (net sig00000fff
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000038c))
+ )
+ )
+ (net sig00001000
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000038b))
+ )
+ )
+ (net sig00001001
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000038a))
+ )
+ )
+ (net sig00001002
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000389))
+ )
+ )
+ (net sig00001003
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000388))
+ )
+ )
+ (net sig00001004
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000387))
+ )
+ )
+ (net sig00001005
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000386))
+ )
+ )
+ (net sig00001006
+ (joined
+ (portRef G (instanceRef blk00000385))
+ (portRef A1 (instanceRef blk0000039e))
+ (portRef A2 (instanceRef blk0000039e))
+ (portRef A3 (instanceRef blk0000039e))
+ (portRef A1 (instanceRef blk0000039f))
+ (portRef A2 (instanceRef blk0000039f))
+ (portRef A3 (instanceRef blk0000039f))
+ (portRef A1 (instanceRef blk000003a0))
+ (portRef A2 (instanceRef blk000003a0))
+ (portRef A3 (instanceRef blk000003a0))
+ (portRef A1 (instanceRef blk000003a1))
+ (portRef A2 (instanceRef blk000003a1))
+ (portRef A3 (instanceRef blk000003a1))
+ (portRef A1 (instanceRef blk000003a2))
+ (portRef A2 (instanceRef blk000003a2))
+ (portRef A3 (instanceRef blk000003a2))
+ (portRef A1 (instanceRef blk000003a3))
+ (portRef A2 (instanceRef blk000003a3))
+ (portRef A3 (instanceRef blk000003a3))
+ (portRef A1 (instanceRef blk000003a4))
+ (portRef A2 (instanceRef blk000003a4))
+ (portRef A3 (instanceRef blk000003a4))
+ (portRef A1 (instanceRef blk000003a5))
+ (portRef A2 (instanceRef blk000003a5))
+ (portRef A3 (instanceRef blk000003a5))
+ (portRef A1 (instanceRef blk000003a6))
+ (portRef A2 (instanceRef blk000003a6))
+ (portRef A3 (instanceRef blk000003a6))
+ (portRef A1 (instanceRef blk000003a7))
+ (portRef A2 (instanceRef blk000003a7))
+ (portRef A3 (instanceRef blk000003a7))
+ (portRef A1 (instanceRef blk000003a8))
+ (portRef A2 (instanceRef blk000003a8))
+ (portRef A3 (instanceRef blk000003a8))
+ (portRef A1 (instanceRef blk000003a9))
+ (portRef A2 (instanceRef blk000003a9))
+ (portRef A3 (instanceRef blk000003a9))
+ (portRef A1 (instanceRef blk000003aa))
+ (portRef A2 (instanceRef blk000003aa))
+ (portRef A3 (instanceRef blk000003aa))
+ (portRef A1 (instanceRef blk000003ab))
+ (portRef A2 (instanceRef blk000003ab))
+ (portRef A3 (instanceRef blk000003ab))
+ (portRef A1 (instanceRef blk000003ac))
+ (portRef A2 (instanceRef blk000003ac))
+ (portRef A3 (instanceRef blk000003ac))
+ (portRef A1 (instanceRef blk000003ad))
+ (portRef A2 (instanceRef blk000003ad))
+ (portRef A3 (instanceRef blk000003ad))
+ (portRef A1 (instanceRef blk000003ae))
+ (portRef A2 (instanceRef blk000003ae))
+ (portRef A3 (instanceRef blk000003ae))
+ (portRef A1 (instanceRef blk000003af))
+ (portRef A2 (instanceRef blk000003af))
+ (portRef A3 (instanceRef blk000003af))
+ (portRef A1 (instanceRef blk000003b0))
+ (portRef A2 (instanceRef blk000003b0))
+ (portRef A3 (instanceRef blk000003b0))
+ (portRef A1 (instanceRef blk000003b1))
+ (portRef A2 (instanceRef blk000003b1))
+ (portRef A3 (instanceRef blk000003b1))
+ (portRef A1 (instanceRef blk000003b2))
+ (portRef A2 (instanceRef blk000003b2))
+ (portRef A3 (instanceRef blk000003b2))
+ (portRef A1 (instanceRef blk000003b3))
+ (portRef A2 (instanceRef blk000003b3))
+ (portRef A3 (instanceRef blk000003b3))
+ (portRef A1 (instanceRef blk000003b4))
+ (portRef A2 (instanceRef blk000003b4))
+ (portRef A3 (instanceRef blk000003b4))
+ (portRef A1 (instanceRef blk000003b5))
+ (portRef A2 (instanceRef blk000003b5))
+ (portRef A3 (instanceRef blk000003b5))
+ )
+ )
+ (net sig00001007
+ (joined
+ (portRef D (instanceRef blk00000386))
+ (portRef Q (instanceRef blk0000039f))
+ )
+ )
+ (net sig00001008
+ (joined
+ (portRef D (instanceRef blk00000387))
+ (portRef Q (instanceRef blk000003a0))
+ )
+ )
+ (net sig00001009
+ (joined
+ (portRef D (instanceRef blk00000388))
+ (portRef Q (instanceRef blk0000039e))
+ )
+ )
+ (net sig0000100a
+ (joined
+ (portRef D (instanceRef blk00000389))
+ (portRef Q (instanceRef blk000003a2))
+ )
+ )
+ (net sig0000100b
+ (joined
+ (portRef D (instanceRef blk0000038a))
+ (portRef Q (instanceRef blk000003a3))
+ )
+ )
+ (net sig0000100c
+ (joined
+ (portRef D (instanceRef blk0000038b))
+ (portRef Q (instanceRef blk000003a1))
+ )
+ )
+ (net sig0000100d
+ (joined
+ (portRef D (instanceRef blk0000038c))
+ (portRef Q (instanceRef blk000003a5))
+ )
+ )
+ (net sig0000100e
+ (joined
+ (portRef D (instanceRef blk0000038d))
+ (portRef Q (instanceRef blk000003a6))
+ )
+ )
+ (net sig0000100f
+ (joined
+ (portRef D (instanceRef blk0000038e))
+ (portRef Q (instanceRef blk000003a4))
+ )
+ )
+ (net sig00001010
+ (joined
+ (portRef D (instanceRef blk0000038f))
+ (portRef Q (instanceRef blk000003a8))
+ )
+ )
+ (net sig00001011
+ (joined
+ (portRef D (instanceRef blk00000390))
+ (portRef Q (instanceRef blk000003a9))
+ )
+ )
+ (net sig00001012
+ (joined
+ (portRef D (instanceRef blk00000391))
+ (portRef Q (instanceRef blk000003a7))
+ )
+ )
+ (net sig00001013
+ (joined
+ (portRef D (instanceRef blk00000392))
+ (portRef Q (instanceRef blk000003ab))
+ )
+ )
+ (net sig00001014
+ (joined
+ (portRef D (instanceRef blk00000393))
+ (portRef Q (instanceRef blk000003ac))
+ )
+ )
+ (net sig00001015
+ (joined
+ (portRef D (instanceRef blk00000394))
+ (portRef Q (instanceRef blk000003aa))
+ )
+ )
+ (net sig00001016
+ (joined
+ (portRef D (instanceRef blk00000395))
+ (portRef Q (instanceRef blk000003ae))
+ )
+ )
+ (net sig00001017
+ (joined
+ (portRef D (instanceRef blk00000396))
+ (portRef Q (instanceRef blk000003af))
+ )
+ )
+ (net sig00001018
+ (joined
+ (portRef D (instanceRef blk00000397))
+ (portRef Q (instanceRef blk000003ad))
+ )
+ )
+ (net sig00001019
+ (joined
+ (portRef D (instanceRef blk00000398))
+ (portRef Q (instanceRef blk000003b1))
+ )
+ )
+ (net sig0000101a
+ (joined
+ (portRef D (instanceRef blk00000399))
+ (portRef Q (instanceRef blk000003b2))
+ )
+ )
+ (net sig0000101b
+ (joined
+ (portRef D (instanceRef blk0000039a))
+ (portRef Q (instanceRef blk000003b0))
+ )
+ )
+ (net sig0000101c
+ (joined
+ (portRef D (instanceRef blk0000039b))
+ (portRef Q (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000101d
+ (joined
+ (portRef D (instanceRef blk0000039c))
+ (portRef Q (instanceRef blk000003b5))
+ )
+ )
+ (net sig0000101e
+ (joined
+ (portRef D (instanceRef blk0000039d))
+ (portRef Q (instanceRef blk000003b3))
+ )
+ )
+ (net sig0000101f
+ (joined
+ (portRef CE (instanceRef blk0000039e))
+ (portRef CE (instanceRef blk0000039f))
+ (portRef CE (instanceRef blk000003a0))
+ (portRef CE (instanceRef blk000003a1))
+ (portRef CE (instanceRef blk000003a2))
+ (portRef CE (instanceRef blk000003a3))
+ (portRef CE (instanceRef blk000003a4))
+ (portRef CE (instanceRef blk000003a5))
+ (portRef CE (instanceRef blk000003a6))
+ (portRef CE (instanceRef blk000003a7))
+ (portRef CE (instanceRef blk000003a8))
+ (portRef CE (instanceRef blk000003a9))
+ (portRef CE (instanceRef blk000003aa))
+ (portRef CE (instanceRef blk000003ab))
+ (portRef CE (instanceRef blk000003ac))
+ (portRef CE (instanceRef blk000003ad))
+ (portRef CE (instanceRef blk000003ae))
+ (portRef CE (instanceRef blk000003af))
+ (portRef CE (instanceRef blk000003b0))
+ (portRef CE (instanceRef blk000003b1))
+ (portRef CE (instanceRef blk000003b2))
+ (portRef CE (instanceRef blk000003b3))
+ (portRef CE (instanceRef blk000003b4))
+ (portRef CE (instanceRef blk000003b5))
+ (portRef O (instanceRef blk000003b6))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO11_blk00000351 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000352
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000353
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000354
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000355
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000356
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000357
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000358
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000359
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000360
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000361
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000362
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000363
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000364
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000365
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000366
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000367
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000368
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000369
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000370
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000371
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000372
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000373
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000374
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000375
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000376
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000377
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000378
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000379
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000380
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000381
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000382
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000383
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000f84
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000036b))
+ (portRef A0 (instanceRef blk0000036c))
+ (portRef A0 (instanceRef blk0000036d))
+ (portRef A0 (instanceRef blk0000036e))
+ (portRef A0 (instanceRef blk0000036f))
+ (portRef A0 (instanceRef blk00000370))
+ (portRef A0 (instanceRef blk00000371))
+ (portRef A0 (instanceRef blk00000372))
+ (portRef A0 (instanceRef blk00000373))
+ (portRef A0 (instanceRef blk00000374))
+ (portRef A0 (instanceRef blk00000375))
+ (portRef A0 (instanceRef blk00000376))
+ (portRef A0 (instanceRef blk00000377))
+ (portRef A0 (instanceRef blk00000378))
+ (portRef A0 (instanceRef blk00000379))
+ (portRef A0 (instanceRef blk0000037a))
+ (portRef A0 (instanceRef blk0000037b))
+ (portRef A0 (instanceRef blk0000037c))
+ (portRef A0 (instanceRef blk0000037d))
+ (portRef A0 (instanceRef blk0000037e))
+ (portRef A0 (instanceRef blk0000037f))
+ (portRef A0 (instanceRef blk00000380))
+ (portRef A0 (instanceRef blk00000381))
+ (portRef A0 (instanceRef blk00000382))
+ )
+ )
+ (net sig00000f85
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000380))
+ )
+ )
+ (net sig00000f86
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000382))
+ )
+ )
+ (net sig00000f87
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000381))
+ )
+ )
+ (net sig00000f88
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000037d))
+ )
+ )
+ (net sig00000f89
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000037f))
+ )
+ )
+ (net sig00000f8a
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000037e))
+ )
+ )
+ (net sig00000f8b
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000037a))
+ )
+ )
+ (net sig00000f8c
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000037c))
+ )
+ )
+ (net sig00000f8d
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000037b))
+ )
+ )
+ (net sig00000f8e
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000377))
+ )
+ )
+ (net sig00000f8f
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000379))
+ )
+ )
+ (net sig00000f90
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000378))
+ )
+ )
+ (net sig00000f91
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000374))
+ )
+ )
+ (net sig00000f92
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000376))
+ )
+ )
+ (net sig00000f93
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000375))
+ )
+ )
+ (net sig00000f94
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000371))
+ )
+ )
+ (net sig00000f95
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000373))
+ )
+ )
+ (net sig00000f96
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000372))
+ )
+ )
+ (net sig00000f97
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000036e))
+ )
+ )
+ (net sig00000f98
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000370))
+ )
+ )
+ (net sig00000f99
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000036f))
+ )
+ )
+ (net sig00000f9a
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000036b))
+ )
+ )
+ (net sig00000f9b
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000036d))
+ )
+ )
+ (net sig00000f9c
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000036c))
+ )
+ )
+ (net sig00000f9d
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000383))
+ )
+ )
+ (net sig00000f9e
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000353))
+ (portRef CE (instanceRef blk00000354))
+ (portRef CE (instanceRef blk00000355))
+ (portRef CE (instanceRef blk00000356))
+ (portRef CE (instanceRef blk00000357))
+ (portRef CE (instanceRef blk00000358))
+ (portRef CE (instanceRef blk00000359))
+ (portRef CE (instanceRef blk0000035a))
+ (portRef CE (instanceRef blk0000035b))
+ (portRef CE (instanceRef blk0000035c))
+ (portRef CE (instanceRef blk0000035d))
+ (portRef CE (instanceRef blk0000035e))
+ (portRef CE (instanceRef blk0000035f))
+ (portRef CE (instanceRef blk00000360))
+ (portRef CE (instanceRef blk00000361))
+ (portRef CE (instanceRef blk00000362))
+ (portRef CE (instanceRef blk00000363))
+ (portRef CE (instanceRef blk00000364))
+ (portRef CE (instanceRef blk00000365))
+ (portRef CE (instanceRef blk00000366))
+ (portRef CE (instanceRef blk00000367))
+ (portRef CE (instanceRef blk00000368))
+ (portRef CE (instanceRef blk00000369))
+ (portRef CE (instanceRef blk0000036a))
+ (portRef I0 (instanceRef blk00000383))
+ )
+ )
+ (net sig00000f9f
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000353))
+ (portRef C (instanceRef blk00000354))
+ (portRef C (instanceRef blk00000355))
+ (portRef C (instanceRef blk00000356))
+ (portRef C (instanceRef blk00000357))
+ (portRef C (instanceRef blk00000358))
+ (portRef C (instanceRef blk00000359))
+ (portRef C (instanceRef blk0000035a))
+ (portRef C (instanceRef blk0000035b))
+ (portRef C (instanceRef blk0000035c))
+ (portRef C (instanceRef blk0000035d))
+ (portRef C (instanceRef blk0000035e))
+ (portRef C (instanceRef blk0000035f))
+ (portRef C (instanceRef blk00000360))
+ (portRef C (instanceRef blk00000361))
+ (portRef C (instanceRef blk00000362))
+ (portRef C (instanceRef blk00000363))
+ (portRef C (instanceRef blk00000364))
+ (portRef C (instanceRef blk00000365))
+ (portRef C (instanceRef blk00000366))
+ (portRef C (instanceRef blk00000367))
+ (portRef C (instanceRef blk00000368))
+ (portRef C (instanceRef blk00000369))
+ (portRef C (instanceRef blk0000036a))
+ (portRef CLK (instanceRef blk0000036b))
+ (portRef CLK (instanceRef blk0000036c))
+ (portRef CLK (instanceRef blk0000036d))
+ (portRef CLK (instanceRef blk0000036e))
+ (portRef CLK (instanceRef blk0000036f))
+ (portRef CLK (instanceRef blk00000370))
+ (portRef CLK (instanceRef blk00000371))
+ (portRef CLK (instanceRef blk00000372))
+ (portRef CLK (instanceRef blk00000373))
+ (portRef CLK (instanceRef blk00000374))
+ (portRef CLK (instanceRef blk00000375))
+ (portRef CLK (instanceRef blk00000376))
+ (portRef CLK (instanceRef blk00000377))
+ (portRef CLK (instanceRef blk00000378))
+ (portRef CLK (instanceRef blk00000379))
+ (portRef CLK (instanceRef blk0000037a))
+ (portRef CLK (instanceRef blk0000037b))
+ (portRef CLK (instanceRef blk0000037c))
+ (portRef CLK (instanceRef blk0000037d))
+ (portRef CLK (instanceRef blk0000037e))
+ (portRef CLK (instanceRef blk0000037f))
+ (portRef CLK (instanceRef blk00000380))
+ (portRef CLK (instanceRef blk00000381))
+ (portRef CLK (instanceRef blk00000382))
+ )
+ )
+ (net sig00000fa0
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000036a))
+ )
+ )
+ (net sig00000fa1
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000369))
+ )
+ )
+ (net sig00000fa2
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000368))
+ )
+ )
+ (net sig00000fa3
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000367))
+ )
+ )
+ (net sig00000fa4
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000366))
+ )
+ )
+ (net sig00000fa5
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000365))
+ )
+ )
+ (net sig00000fa6
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000364))
+ )
+ )
+ (net sig00000fa7
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000363))
+ )
+ )
+ (net sig00000fa8
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000362))
+ )
+ )
+ (net sig00000fa9
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000361))
+ )
+ )
+ (net sig00000faa
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000360))
+ )
+ )
+ (net sig00000fab
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000035f))
+ )
+ )
+ (net sig00000fac
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000035e))
+ )
+ )
+ (net sig00000fad
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000035d))
+ )
+ )
+ (net sig00000fae
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000035c))
+ )
+ )
+ (net sig00000faf
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000035b))
+ )
+ )
+ (net sig00000fb0
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000035a))
+ )
+ )
+ (net sig00000fb1
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000359))
+ )
+ )
+ (net sig00000fb2
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000358))
+ )
+ )
+ (net sig00000fb3
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000357))
+ )
+ )
+ (net sig00000fb4
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000356))
+ )
+ )
+ (net sig00000fb5
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000355))
+ )
+ )
+ (net sig00000fb6
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000354))
+ )
+ )
+ (net sig00000fb7
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000353))
+ )
+ )
+ (net sig00000fb8
+ (joined
+ (portRef G (instanceRef blk00000352))
+ (portRef A1 (instanceRef blk0000036b))
+ (portRef A2 (instanceRef blk0000036b))
+ (portRef A3 (instanceRef blk0000036b))
+ (portRef A1 (instanceRef blk0000036c))
+ (portRef A2 (instanceRef blk0000036c))
+ (portRef A3 (instanceRef blk0000036c))
+ (portRef A1 (instanceRef blk0000036d))
+ (portRef A2 (instanceRef blk0000036d))
+ (portRef A3 (instanceRef blk0000036d))
+ (portRef A1 (instanceRef blk0000036e))
+ (portRef A2 (instanceRef blk0000036e))
+ (portRef A3 (instanceRef blk0000036e))
+ (portRef A1 (instanceRef blk0000036f))
+ (portRef A2 (instanceRef blk0000036f))
+ (portRef A3 (instanceRef blk0000036f))
+ (portRef A1 (instanceRef blk00000370))
+ (portRef A2 (instanceRef blk00000370))
+ (portRef A3 (instanceRef blk00000370))
+ (portRef A1 (instanceRef blk00000371))
+ (portRef A2 (instanceRef blk00000371))
+ (portRef A3 (instanceRef blk00000371))
+ (portRef A1 (instanceRef blk00000372))
+ (portRef A2 (instanceRef blk00000372))
+ (portRef A3 (instanceRef blk00000372))
+ (portRef A1 (instanceRef blk00000373))
+ (portRef A2 (instanceRef blk00000373))
+ (portRef A3 (instanceRef blk00000373))
+ (portRef A1 (instanceRef blk00000374))
+ (portRef A2 (instanceRef blk00000374))
+ (portRef A3 (instanceRef blk00000374))
+ (portRef A1 (instanceRef blk00000375))
+ (portRef A2 (instanceRef blk00000375))
+ (portRef A3 (instanceRef blk00000375))
+ (portRef A1 (instanceRef blk00000376))
+ (portRef A2 (instanceRef blk00000376))
+ (portRef A3 (instanceRef blk00000376))
+ (portRef A1 (instanceRef blk00000377))
+ (portRef A2 (instanceRef blk00000377))
+ (portRef A3 (instanceRef blk00000377))
+ (portRef A1 (instanceRef blk00000378))
+ (portRef A2 (instanceRef blk00000378))
+ (portRef A3 (instanceRef blk00000378))
+ (portRef A1 (instanceRef blk00000379))
+ (portRef A2 (instanceRef blk00000379))
+ (portRef A3 (instanceRef blk00000379))
+ (portRef A1 (instanceRef blk0000037a))
+ (portRef A2 (instanceRef blk0000037a))
+ (portRef A3 (instanceRef blk0000037a))
+ (portRef A1 (instanceRef blk0000037b))
+ (portRef A2 (instanceRef blk0000037b))
+ (portRef A3 (instanceRef blk0000037b))
+ (portRef A1 (instanceRef blk0000037c))
+ (portRef A2 (instanceRef blk0000037c))
+ (portRef A3 (instanceRef blk0000037c))
+ (portRef A1 (instanceRef blk0000037d))
+ (portRef A2 (instanceRef blk0000037d))
+ (portRef A3 (instanceRef blk0000037d))
+ (portRef A1 (instanceRef blk0000037e))
+ (portRef A2 (instanceRef blk0000037e))
+ (portRef A3 (instanceRef blk0000037e))
+ (portRef A1 (instanceRef blk0000037f))
+ (portRef A2 (instanceRef blk0000037f))
+ (portRef A3 (instanceRef blk0000037f))
+ (portRef A1 (instanceRef blk00000380))
+ (portRef A2 (instanceRef blk00000380))
+ (portRef A3 (instanceRef blk00000380))
+ (portRef A1 (instanceRef blk00000381))
+ (portRef A2 (instanceRef blk00000381))
+ (portRef A3 (instanceRef blk00000381))
+ (portRef A1 (instanceRef blk00000382))
+ (portRef A2 (instanceRef blk00000382))
+ (portRef A3 (instanceRef blk00000382))
+ )
+ )
+ (net sig00000fb9
+ (joined
+ (portRef D (instanceRef blk00000353))
+ (portRef Q (instanceRef blk0000036c))
+ )
+ )
+ (net sig00000fba
+ (joined
+ (portRef D (instanceRef blk00000354))
+ (portRef Q (instanceRef blk0000036d))
+ )
+ )
+ (net sig00000fbb
+ (joined
+ (portRef D (instanceRef blk00000355))
+ (portRef Q (instanceRef blk0000036b))
+ )
+ )
+ (net sig00000fbc
+ (joined
+ (portRef D (instanceRef blk00000356))
+ (portRef Q (instanceRef blk0000036f))
+ )
+ )
+ (net sig00000fbd
+ (joined
+ (portRef D (instanceRef blk00000357))
+ (portRef Q (instanceRef blk00000370))
+ )
+ )
+ (net sig00000fbe
+ (joined
+ (portRef D (instanceRef blk00000358))
+ (portRef Q (instanceRef blk0000036e))
+ )
+ )
+ (net sig00000fbf
+ (joined
+ (portRef D (instanceRef blk00000359))
+ (portRef Q (instanceRef blk00000372))
+ )
+ )
+ (net sig00000fc0
+ (joined
+ (portRef D (instanceRef blk0000035a))
+ (portRef Q (instanceRef blk00000373))
+ )
+ )
+ (net sig00000fc1
+ (joined
+ (portRef D (instanceRef blk0000035b))
+ (portRef Q (instanceRef blk00000371))
+ )
+ )
+ (net sig00000fc2
+ (joined
+ (portRef D (instanceRef blk0000035c))
+ (portRef Q (instanceRef blk00000375))
+ )
+ )
+ (net sig00000fc3
+ (joined
+ (portRef D (instanceRef blk0000035d))
+ (portRef Q (instanceRef blk00000376))
+ )
+ )
+ (net sig00000fc4
+ (joined
+ (portRef D (instanceRef blk0000035e))
+ (portRef Q (instanceRef blk00000374))
+ )
+ )
+ (net sig00000fc5
+ (joined
+ (portRef D (instanceRef blk0000035f))
+ (portRef Q (instanceRef blk00000378))
+ )
+ )
+ (net sig00000fc6
+ (joined
+ (portRef D (instanceRef blk00000360))
+ (portRef Q (instanceRef blk00000379))
+ )
+ )
+ (net sig00000fc7
+ (joined
+ (portRef D (instanceRef blk00000361))
+ (portRef Q (instanceRef blk00000377))
+ )
+ )
+ (net sig00000fc8
+ (joined
+ (portRef D (instanceRef blk00000362))
+ (portRef Q (instanceRef blk0000037b))
+ )
+ )
+ (net sig00000fc9
+ (joined
+ (portRef D (instanceRef blk00000363))
+ (portRef Q (instanceRef blk0000037c))
+ )
+ )
+ (net sig00000fca
+ (joined
+ (portRef D (instanceRef blk00000364))
+ (portRef Q (instanceRef blk0000037a))
+ )
+ )
+ (net sig00000fcb
+ (joined
+ (portRef D (instanceRef blk00000365))
+ (portRef Q (instanceRef blk0000037e))
+ )
+ )
+ (net sig00000fcc
+ (joined
+ (portRef D (instanceRef blk00000366))
+ (portRef Q (instanceRef blk0000037f))
+ )
+ )
+ (net sig00000fcd
+ (joined
+ (portRef D (instanceRef blk00000367))
+ (portRef Q (instanceRef blk0000037d))
+ )
+ )
+ (net sig00000fce
+ (joined
+ (portRef D (instanceRef blk00000368))
+ (portRef Q (instanceRef blk00000381))
+ )
+ )
+ (net sig00000fcf
+ (joined
+ (portRef D (instanceRef blk00000369))
+ (portRef Q (instanceRef blk00000382))
+ )
+ )
+ (net sig00000fd0
+ (joined
+ (portRef D (instanceRef blk0000036a))
+ (portRef Q (instanceRef blk00000380))
+ )
+ )
+ (net sig00000fd1
+ (joined
+ (portRef CE (instanceRef blk0000036b))
+ (portRef CE (instanceRef blk0000036c))
+ (portRef CE (instanceRef blk0000036d))
+ (portRef CE (instanceRef blk0000036e))
+ (portRef CE (instanceRef blk0000036f))
+ (portRef CE (instanceRef blk00000370))
+ (portRef CE (instanceRef blk00000371))
+ (portRef CE (instanceRef blk00000372))
+ (portRef CE (instanceRef blk00000373))
+ (portRef CE (instanceRef blk00000374))
+ (portRef CE (instanceRef blk00000375))
+ (portRef CE (instanceRef blk00000376))
+ (portRef CE (instanceRef blk00000377))
+ (portRef CE (instanceRef blk00000378))
+ (portRef CE (instanceRef blk00000379))
+ (portRef CE (instanceRef blk0000037a))
+ (portRef CE (instanceRef blk0000037b))
+ (portRef CE (instanceRef blk0000037c))
+ (portRef CE (instanceRef blk0000037d))
+ (portRef CE (instanceRef blk0000037e))
+ (portRef CE (instanceRef blk0000037f))
+ (portRef CE (instanceRef blk00000380))
+ (portRef CE (instanceRef blk00000381))
+ (portRef CE (instanceRef blk00000382))
+ (portRef O (instanceRef blk00000383))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO10_blk0000031e "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000031f
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000320
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000321
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000322
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000323
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000324
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000325
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000326
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000327
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000328
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000329
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000330
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000331
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000332
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000333
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000334
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000335
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000336
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000337
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000338
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000339
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000340
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000341
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000342
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000343
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000344
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000345
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000346
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000347
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000348
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000349
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000034a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000034b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000034c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000034d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000034e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000034f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000350
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000f36
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000338))
+ (portRef A0 (instanceRef blk00000339))
+ (portRef A0 (instanceRef blk0000033a))
+ (portRef A0 (instanceRef blk0000033b))
+ (portRef A0 (instanceRef blk0000033c))
+ (portRef A0 (instanceRef blk0000033d))
+ (portRef A0 (instanceRef blk0000033e))
+ (portRef A0 (instanceRef blk0000033f))
+ (portRef A0 (instanceRef blk00000340))
+ (portRef A0 (instanceRef blk00000341))
+ (portRef A0 (instanceRef blk00000342))
+ (portRef A0 (instanceRef blk00000343))
+ (portRef A0 (instanceRef blk00000344))
+ (portRef A0 (instanceRef blk00000345))
+ (portRef A0 (instanceRef blk00000346))
+ (portRef A0 (instanceRef blk00000347))
+ (portRef A0 (instanceRef blk00000348))
+ (portRef A0 (instanceRef blk00000349))
+ (portRef A0 (instanceRef blk0000034a))
+ (portRef A0 (instanceRef blk0000034b))
+ (portRef A0 (instanceRef blk0000034c))
+ (portRef A0 (instanceRef blk0000034d))
+ (portRef A0 (instanceRef blk0000034e))
+ (portRef A0 (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000f37
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000034d))
+ )
+ )
+ (net sig00000f38
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000f39
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000034e))
+ )
+ )
+ (net sig00000f3a
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000034a))
+ )
+ )
+ (net sig00000f3b
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000034c))
+ )
+ )
+ (net sig00000f3c
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000034b))
+ )
+ )
+ (net sig00000f3d
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000347))
+ )
+ )
+ (net sig00000f3e
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000349))
+ )
+ )
+ (net sig00000f3f
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000348))
+ )
+ )
+ (net sig00000f40
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000344))
+ )
+ )
+ (net sig00000f41
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000346))
+ )
+ )
+ (net sig00000f42
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000345))
+ )
+ )
+ (net sig00000f43
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000341))
+ )
+ )
+ (net sig00000f44
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000343))
+ )
+ )
+ (net sig00000f45
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000342))
+ )
+ )
+ (net sig00000f46
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000033e))
+ )
+ )
+ (net sig00000f47
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000340))
+ )
+ )
+ (net sig00000f48
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000033f))
+ )
+ )
+ (net sig00000f49
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000033b))
+ )
+ )
+ (net sig00000f4a
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000033d))
+ )
+ )
+ (net sig00000f4b
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000033c))
+ )
+ )
+ (net sig00000f4c
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000338))
+ )
+ )
+ (net sig00000f4d
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000033a))
+ )
+ )
+ (net sig00000f4e
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000339))
+ )
+ )
+ (net sig00000f4f
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000350))
+ )
+ )
+ (net sig00000f50
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000320))
+ (portRef CE (instanceRef blk00000321))
+ (portRef CE (instanceRef blk00000322))
+ (portRef CE (instanceRef blk00000323))
+ (portRef CE (instanceRef blk00000324))
+ (portRef CE (instanceRef blk00000325))
+ (portRef CE (instanceRef blk00000326))
+ (portRef CE (instanceRef blk00000327))
+ (portRef CE (instanceRef blk00000328))
+ (portRef CE (instanceRef blk00000329))
+ (portRef CE (instanceRef blk0000032a))
+ (portRef CE (instanceRef blk0000032b))
+ (portRef CE (instanceRef blk0000032c))
+ (portRef CE (instanceRef blk0000032d))
+ (portRef CE (instanceRef blk0000032e))
+ (portRef CE (instanceRef blk0000032f))
+ (portRef CE (instanceRef blk00000330))
+ (portRef CE (instanceRef blk00000331))
+ (portRef CE (instanceRef blk00000332))
+ (portRef CE (instanceRef blk00000333))
+ (portRef CE (instanceRef blk00000334))
+ (portRef CE (instanceRef blk00000335))
+ (portRef CE (instanceRef blk00000336))
+ (portRef CE (instanceRef blk00000337))
+ (portRef I0 (instanceRef blk00000350))
+ )
+ )
+ (net sig00000f51
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000320))
+ (portRef C (instanceRef blk00000321))
+ (portRef C (instanceRef blk00000322))
+ (portRef C (instanceRef blk00000323))
+ (portRef C (instanceRef blk00000324))
+ (portRef C (instanceRef blk00000325))
+ (portRef C (instanceRef blk00000326))
+ (portRef C (instanceRef blk00000327))
+ (portRef C (instanceRef blk00000328))
+ (portRef C (instanceRef blk00000329))
+ (portRef C (instanceRef blk0000032a))
+ (portRef C (instanceRef blk0000032b))
+ (portRef C (instanceRef blk0000032c))
+ (portRef C (instanceRef blk0000032d))
+ (portRef C (instanceRef blk0000032e))
+ (portRef C (instanceRef blk0000032f))
+ (portRef C (instanceRef blk00000330))
+ (portRef C (instanceRef blk00000331))
+ (portRef C (instanceRef blk00000332))
+ (portRef C (instanceRef blk00000333))
+ (portRef C (instanceRef blk00000334))
+ (portRef C (instanceRef blk00000335))
+ (portRef C (instanceRef blk00000336))
+ (portRef C (instanceRef blk00000337))
+ (portRef CLK (instanceRef blk00000338))
+ (portRef CLK (instanceRef blk00000339))
+ (portRef CLK (instanceRef blk0000033a))
+ (portRef CLK (instanceRef blk0000033b))
+ (portRef CLK (instanceRef blk0000033c))
+ (portRef CLK (instanceRef blk0000033d))
+ (portRef CLK (instanceRef blk0000033e))
+ (portRef CLK (instanceRef blk0000033f))
+ (portRef CLK (instanceRef blk00000340))
+ (portRef CLK (instanceRef blk00000341))
+ (portRef CLK (instanceRef blk00000342))
+ (portRef CLK (instanceRef blk00000343))
+ (portRef CLK (instanceRef blk00000344))
+ (portRef CLK (instanceRef blk00000345))
+ (portRef CLK (instanceRef blk00000346))
+ (portRef CLK (instanceRef blk00000347))
+ (portRef CLK (instanceRef blk00000348))
+ (portRef CLK (instanceRef blk00000349))
+ (portRef CLK (instanceRef blk0000034a))
+ (portRef CLK (instanceRef blk0000034b))
+ (portRef CLK (instanceRef blk0000034c))
+ (portRef CLK (instanceRef blk0000034d))
+ (portRef CLK (instanceRef blk0000034e))
+ (portRef CLK (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000f52
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000337))
+ )
+ )
+ (net sig00000f53
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000336))
+ )
+ )
+ (net sig00000f54
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000335))
+ )
+ )
+ (net sig00000f55
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000334))
+ )
+ )
+ (net sig00000f56
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000333))
+ )
+ )
+ (net sig00000f57
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000332))
+ )
+ )
+ (net sig00000f58
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000331))
+ )
+ )
+ (net sig00000f59
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000330))
+ )
+ )
+ (net sig00000f5a
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000032f))
+ )
+ )
+ (net sig00000f5b
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000032e))
+ )
+ )
+ (net sig00000f5c
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000032d))
+ )
+ )
+ (net sig00000f5d
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000032c))
+ )
+ )
+ (net sig00000f5e
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000032b))
+ )
+ )
+ (net sig00000f5f
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000032a))
+ )
+ )
+ (net sig00000f60
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000329))
+ )
+ )
+ (net sig00000f61
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000328))
+ )
+ )
+ (net sig00000f62
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000327))
+ )
+ )
+ (net sig00000f63
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000326))
+ )
+ )
+ (net sig00000f64
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000325))
+ )
+ )
+ (net sig00000f65
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000324))
+ )
+ )
+ (net sig00000f66
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000323))
+ )
+ )
+ (net sig00000f67
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000322))
+ )
+ )
+ (net sig00000f68
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000321))
+ )
+ )
+ (net sig00000f69
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000320))
+ )
+ )
+ (net sig00000f6a
+ (joined
+ (portRef G (instanceRef blk0000031f))
+ (portRef A1 (instanceRef blk00000338))
+ (portRef A2 (instanceRef blk00000338))
+ (portRef A3 (instanceRef blk00000338))
+ (portRef A1 (instanceRef blk00000339))
+ (portRef A2 (instanceRef blk00000339))
+ (portRef A3 (instanceRef blk00000339))
+ (portRef A1 (instanceRef blk0000033a))
+ (portRef A2 (instanceRef blk0000033a))
+ (portRef A3 (instanceRef blk0000033a))
+ (portRef A1 (instanceRef blk0000033b))
+ (portRef A2 (instanceRef blk0000033b))
+ (portRef A3 (instanceRef blk0000033b))
+ (portRef A1 (instanceRef blk0000033c))
+ (portRef A2 (instanceRef blk0000033c))
+ (portRef A3 (instanceRef blk0000033c))
+ (portRef A1 (instanceRef blk0000033d))
+ (portRef A2 (instanceRef blk0000033d))
+ (portRef A3 (instanceRef blk0000033d))
+ (portRef A1 (instanceRef blk0000033e))
+ (portRef A2 (instanceRef blk0000033e))
+ (portRef A3 (instanceRef blk0000033e))
+ (portRef A1 (instanceRef blk0000033f))
+ (portRef A2 (instanceRef blk0000033f))
+ (portRef A3 (instanceRef blk0000033f))
+ (portRef A1 (instanceRef blk00000340))
+ (portRef A2 (instanceRef blk00000340))
+ (portRef A3 (instanceRef blk00000340))
+ (portRef A1 (instanceRef blk00000341))
+ (portRef A2 (instanceRef blk00000341))
+ (portRef A3 (instanceRef blk00000341))
+ (portRef A1 (instanceRef blk00000342))
+ (portRef A2 (instanceRef blk00000342))
+ (portRef A3 (instanceRef blk00000342))
+ (portRef A1 (instanceRef blk00000343))
+ (portRef A2 (instanceRef blk00000343))
+ (portRef A3 (instanceRef blk00000343))
+ (portRef A1 (instanceRef blk00000344))
+ (portRef A2 (instanceRef blk00000344))
+ (portRef A3 (instanceRef blk00000344))
+ (portRef A1 (instanceRef blk00000345))
+ (portRef A2 (instanceRef blk00000345))
+ (portRef A3 (instanceRef blk00000345))
+ (portRef A1 (instanceRef blk00000346))
+ (portRef A2 (instanceRef blk00000346))
+ (portRef A3 (instanceRef blk00000346))
+ (portRef A1 (instanceRef blk00000347))
+ (portRef A2 (instanceRef blk00000347))
+ (portRef A3 (instanceRef blk00000347))
+ (portRef A1 (instanceRef blk00000348))
+ (portRef A2 (instanceRef blk00000348))
+ (portRef A3 (instanceRef blk00000348))
+ (portRef A1 (instanceRef blk00000349))
+ (portRef A2 (instanceRef blk00000349))
+ (portRef A3 (instanceRef blk00000349))
+ (portRef A1 (instanceRef blk0000034a))
+ (portRef A2 (instanceRef blk0000034a))
+ (portRef A3 (instanceRef blk0000034a))
+ (portRef A1 (instanceRef blk0000034b))
+ (portRef A2 (instanceRef blk0000034b))
+ (portRef A3 (instanceRef blk0000034b))
+ (portRef A1 (instanceRef blk0000034c))
+ (portRef A2 (instanceRef blk0000034c))
+ (portRef A3 (instanceRef blk0000034c))
+ (portRef A1 (instanceRef blk0000034d))
+ (portRef A2 (instanceRef blk0000034d))
+ (portRef A3 (instanceRef blk0000034d))
+ (portRef A1 (instanceRef blk0000034e))
+ (portRef A2 (instanceRef blk0000034e))
+ (portRef A3 (instanceRef blk0000034e))
+ (portRef A1 (instanceRef blk0000034f))
+ (portRef A2 (instanceRef blk0000034f))
+ (portRef A3 (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000f6b
+ (joined
+ (portRef D (instanceRef blk00000320))
+ (portRef Q (instanceRef blk00000339))
+ )
+ )
+ (net sig00000f6c
+ (joined
+ (portRef D (instanceRef blk00000321))
+ (portRef Q (instanceRef blk0000033a))
+ )
+ )
+ (net sig00000f6d
+ (joined
+ (portRef D (instanceRef blk00000322))
+ (portRef Q (instanceRef blk00000338))
+ )
+ )
+ (net sig00000f6e
+ (joined
+ (portRef D (instanceRef blk00000323))
+ (portRef Q (instanceRef blk0000033c))
+ )
+ )
+ (net sig00000f6f
+ (joined
+ (portRef D (instanceRef blk00000324))
+ (portRef Q (instanceRef blk0000033d))
+ )
+ )
+ (net sig00000f70
+ (joined
+ (portRef D (instanceRef blk00000325))
+ (portRef Q (instanceRef blk0000033b))
+ )
+ )
+ (net sig00000f71
+ (joined
+ (portRef D (instanceRef blk00000326))
+ (portRef Q (instanceRef blk0000033f))
+ )
+ )
+ (net sig00000f72
+ (joined
+ (portRef D (instanceRef blk00000327))
+ (portRef Q (instanceRef blk00000340))
+ )
+ )
+ (net sig00000f73
+ (joined
+ (portRef D (instanceRef blk00000328))
+ (portRef Q (instanceRef blk0000033e))
+ )
+ )
+ (net sig00000f74
+ (joined
+ (portRef D (instanceRef blk00000329))
+ (portRef Q (instanceRef blk00000342))
+ )
+ )
+ (net sig00000f75
+ (joined
+ (portRef D (instanceRef blk0000032a))
+ (portRef Q (instanceRef blk00000343))
+ )
+ )
+ (net sig00000f76
+ (joined
+ (portRef D (instanceRef blk0000032b))
+ (portRef Q (instanceRef blk00000341))
+ )
+ )
+ (net sig00000f77
+ (joined
+ (portRef D (instanceRef blk0000032c))
+ (portRef Q (instanceRef blk00000345))
+ )
+ )
+ (net sig00000f78
+ (joined
+ (portRef D (instanceRef blk0000032d))
+ (portRef Q (instanceRef blk00000346))
+ )
+ )
+ (net sig00000f79
+ (joined
+ (portRef D (instanceRef blk0000032e))
+ (portRef Q (instanceRef blk00000344))
+ )
+ )
+ (net sig00000f7a
+ (joined
+ (portRef D (instanceRef blk0000032f))
+ (portRef Q (instanceRef blk00000348))
+ )
+ )
+ (net sig00000f7b
+ (joined
+ (portRef D (instanceRef blk00000330))
+ (portRef Q (instanceRef blk00000349))
+ )
+ )
+ (net sig00000f7c
+ (joined
+ (portRef D (instanceRef blk00000331))
+ (portRef Q (instanceRef blk00000347))
+ )
+ )
+ (net sig00000f7d
+ (joined
+ (portRef D (instanceRef blk00000332))
+ (portRef Q (instanceRef blk0000034b))
+ )
+ )
+ (net sig00000f7e
+ (joined
+ (portRef D (instanceRef blk00000333))
+ (portRef Q (instanceRef blk0000034c))
+ )
+ )
+ (net sig00000f7f
+ (joined
+ (portRef D (instanceRef blk00000334))
+ (portRef Q (instanceRef blk0000034a))
+ )
+ )
+ (net sig00000f80
+ (joined
+ (portRef D (instanceRef blk00000335))
+ (portRef Q (instanceRef blk0000034e))
+ )
+ )
+ (net sig00000f81
+ (joined
+ (portRef D (instanceRef blk00000336))
+ (portRef Q (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000f82
+ (joined
+ (portRef D (instanceRef blk00000337))
+ (portRef Q (instanceRef blk0000034d))
+ )
+ )
+ (net sig00000f83
+ (joined
+ (portRef CE (instanceRef blk00000338))
+ (portRef CE (instanceRef blk00000339))
+ (portRef CE (instanceRef blk0000033a))
+ (portRef CE (instanceRef blk0000033b))
+ (portRef CE (instanceRef blk0000033c))
+ (portRef CE (instanceRef blk0000033d))
+ (portRef CE (instanceRef blk0000033e))
+ (portRef CE (instanceRef blk0000033f))
+ (portRef CE (instanceRef blk00000340))
+ (portRef CE (instanceRef blk00000341))
+ (portRef CE (instanceRef blk00000342))
+ (portRef CE (instanceRef blk00000343))
+ (portRef CE (instanceRef blk00000344))
+ (portRef CE (instanceRef blk00000345))
+ (portRef CE (instanceRef blk00000346))
+ (portRef CE (instanceRef blk00000347))
+ (portRef CE (instanceRef blk00000348))
+ (portRef CE (instanceRef blk00000349))
+ (portRef CE (instanceRef blk0000034a))
+ (portRef CE (instanceRef blk0000034b))
+ (portRef CE (instanceRef blk0000034c))
+ (portRef CE (instanceRef blk0000034d))
+ (portRef CE (instanceRef blk0000034e))
+ (portRef CE (instanceRef blk0000034f))
+ (portRef O (instanceRef blk00000350))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO9_blk000002eb "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000002ec
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000002ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fe
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000300
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000301
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000302
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000303
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000304
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000305
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000306
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000307
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000308
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000309
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000310
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000311
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000312
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000313
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000314
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000315
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000316
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000317
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000318
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000319
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000031a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000031b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000031c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000031d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000ee8
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000305))
+ (portRef A0 (instanceRef blk00000306))
+ (portRef A0 (instanceRef blk00000307))
+ (portRef A0 (instanceRef blk00000308))
+ (portRef A0 (instanceRef blk00000309))
+ (portRef A0 (instanceRef blk0000030a))
+ (portRef A0 (instanceRef blk0000030b))
+ (portRef A0 (instanceRef blk0000030c))
+ (portRef A0 (instanceRef blk0000030d))
+ (portRef A0 (instanceRef blk0000030e))
+ (portRef A0 (instanceRef blk0000030f))
+ (portRef A0 (instanceRef blk00000310))
+ (portRef A0 (instanceRef blk00000311))
+ (portRef A0 (instanceRef blk00000312))
+ (portRef A0 (instanceRef blk00000313))
+ (portRef A0 (instanceRef blk00000314))
+ (portRef A0 (instanceRef blk00000315))
+ (portRef A0 (instanceRef blk00000316))
+ (portRef A0 (instanceRef blk00000317))
+ (portRef A0 (instanceRef blk00000318))
+ (portRef A0 (instanceRef blk00000319))
+ (portRef A0 (instanceRef blk0000031a))
+ (portRef A0 (instanceRef blk0000031b))
+ (portRef A0 (instanceRef blk0000031c))
+ )
+ )
+ (net sig00000ee9
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000031a))
+ )
+ )
+ (net sig00000eea
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000031c))
+ )
+ )
+ (net sig00000eeb
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000031b))
+ )
+ )
+ (net sig00000eec
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000317))
+ )
+ )
+ (net sig00000eed
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000319))
+ )
+ )
+ (net sig00000eee
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000318))
+ )
+ )
+ (net sig00000eef
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000314))
+ )
+ )
+ (net sig00000ef0
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000316))
+ )
+ )
+ (net sig00000ef1
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000315))
+ )
+ )
+ (net sig00000ef2
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000311))
+ )
+ )
+ (net sig00000ef3
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000313))
+ )
+ )
+ (net sig00000ef4
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000312))
+ )
+ )
+ (net sig00000ef5
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000030e))
+ )
+ )
+ (net sig00000ef6
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000310))
+ )
+ )
+ (net sig00000ef7
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000ef8
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000030b))
+ )
+ )
+ (net sig00000ef9
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000030d))
+ )
+ )
+ (net sig00000efa
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000030c))
+ )
+ )
+ (net sig00000efb
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000308))
+ )
+ )
+ (net sig00000efc
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000030a))
+ )
+ )
+ (net sig00000efd
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000309))
+ )
+ )
+ (net sig00000efe
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000305))
+ )
+ )
+ (net sig00000eff
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000307))
+ )
+ )
+ (net sig00000f00
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000306))
+ )
+ )
+ (net sig00000f01
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000031d))
+ )
+ )
+ (net sig00000f02
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000002ed))
+ (portRef CE (instanceRef blk000002ee))
+ (portRef CE (instanceRef blk000002ef))
+ (portRef CE (instanceRef blk000002f0))
+ (portRef CE (instanceRef blk000002f1))
+ (portRef CE (instanceRef blk000002f2))
+ (portRef CE (instanceRef blk000002f3))
+ (portRef CE (instanceRef blk000002f4))
+ (portRef CE (instanceRef blk000002f5))
+ (portRef CE (instanceRef blk000002f6))
+ (portRef CE (instanceRef blk000002f7))
+ (portRef CE (instanceRef blk000002f8))
+ (portRef CE (instanceRef blk000002f9))
+ (portRef CE (instanceRef blk000002fa))
+ (portRef CE (instanceRef blk000002fb))
+ (portRef CE (instanceRef blk000002fc))
+ (portRef CE (instanceRef blk000002fd))
+ (portRef CE (instanceRef blk000002fe))
+ (portRef CE (instanceRef blk000002ff))
+ (portRef CE (instanceRef blk00000300))
+ (portRef CE (instanceRef blk00000301))
+ (portRef CE (instanceRef blk00000302))
+ (portRef CE (instanceRef blk00000303))
+ (portRef CE (instanceRef blk00000304))
+ (portRef I0 (instanceRef blk0000031d))
+ )
+ )
+ (net sig00000f03
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000002ed))
+ (portRef C (instanceRef blk000002ee))
+ (portRef C (instanceRef blk000002ef))
+ (portRef C (instanceRef blk000002f0))
+ (portRef C (instanceRef blk000002f1))
+ (portRef C (instanceRef blk000002f2))
+ (portRef C (instanceRef blk000002f3))
+ (portRef C (instanceRef blk000002f4))
+ (portRef C (instanceRef blk000002f5))
+ (portRef C (instanceRef blk000002f6))
+ (portRef C (instanceRef blk000002f7))
+ (portRef C (instanceRef blk000002f8))
+ (portRef C (instanceRef blk000002f9))
+ (portRef C (instanceRef blk000002fa))
+ (portRef C (instanceRef blk000002fb))
+ (portRef C (instanceRef blk000002fc))
+ (portRef C (instanceRef blk000002fd))
+ (portRef C (instanceRef blk000002fe))
+ (portRef C (instanceRef blk000002ff))
+ (portRef C (instanceRef blk00000300))
+ (portRef C (instanceRef blk00000301))
+ (portRef C (instanceRef blk00000302))
+ (portRef C (instanceRef blk00000303))
+ (portRef C (instanceRef blk00000304))
+ (portRef CLK (instanceRef blk00000305))
+ (portRef CLK (instanceRef blk00000306))
+ (portRef CLK (instanceRef blk00000307))
+ (portRef CLK (instanceRef blk00000308))
+ (portRef CLK (instanceRef blk00000309))
+ (portRef CLK (instanceRef blk0000030a))
+ (portRef CLK (instanceRef blk0000030b))
+ (portRef CLK (instanceRef blk0000030c))
+ (portRef CLK (instanceRef blk0000030d))
+ (portRef CLK (instanceRef blk0000030e))
+ (portRef CLK (instanceRef blk0000030f))
+ (portRef CLK (instanceRef blk00000310))
+ (portRef CLK (instanceRef blk00000311))
+ (portRef CLK (instanceRef blk00000312))
+ (portRef CLK (instanceRef blk00000313))
+ (portRef CLK (instanceRef blk00000314))
+ (portRef CLK (instanceRef blk00000315))
+ (portRef CLK (instanceRef blk00000316))
+ (portRef CLK (instanceRef blk00000317))
+ (portRef CLK (instanceRef blk00000318))
+ (portRef CLK (instanceRef blk00000319))
+ (portRef CLK (instanceRef blk0000031a))
+ (portRef CLK (instanceRef blk0000031b))
+ (portRef CLK (instanceRef blk0000031c))
+ )
+ )
+ (net sig00000f04
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000304))
+ )
+ )
+ (net sig00000f05
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000303))
+ )
+ )
+ (net sig00000f06
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000302))
+ )
+ )
+ (net sig00000f07
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000301))
+ )
+ )
+ (net sig00000f08
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000300))
+ )
+ )
+ (net sig00000f09
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000002ff))
+ )
+ )
+ (net sig00000f0a
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000002fe))
+ )
+ )
+ (net sig00000f0b
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000002fd))
+ )
+ )
+ (net sig00000f0c
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000002fc))
+ )
+ )
+ (net sig00000f0d
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000002fb))
+ )
+ )
+ (net sig00000f0e
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000002fa))
+ )
+ )
+ (net sig00000f0f
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000002f9))
+ )
+ )
+ (net sig00000f10
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000002f8))
+ )
+ )
+ (net sig00000f11
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000002f7))
+ )
+ )
+ (net sig00000f12
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000002f6))
+ )
+ )
+ (net sig00000f13
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000002f5))
+ )
+ )
+ (net sig00000f14
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000002f4))
+ )
+ )
+ (net sig00000f15
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000002f3))
+ )
+ )
+ (net sig00000f16
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000002f2))
+ )
+ )
+ (net sig00000f17
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000002f1))
+ )
+ )
+ (net sig00000f18
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000002f0))
+ )
+ )
+ (net sig00000f19
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000002ef))
+ )
+ )
+ (net sig00000f1a
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000002ee))
+ )
+ )
+ (net sig00000f1b
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000002ed))
+ )
+ )
+ (net sig00000f1c
+ (joined
+ (portRef G (instanceRef blk000002ec))
+ (portRef A1 (instanceRef blk00000305))
+ (portRef A2 (instanceRef blk00000305))
+ (portRef A3 (instanceRef blk00000305))
+ (portRef A1 (instanceRef blk00000306))
+ (portRef A2 (instanceRef blk00000306))
+ (portRef A3 (instanceRef blk00000306))
+ (portRef A1 (instanceRef blk00000307))
+ (portRef A2 (instanceRef blk00000307))
+ (portRef A3 (instanceRef blk00000307))
+ (portRef A1 (instanceRef blk00000308))
+ (portRef A2 (instanceRef blk00000308))
+ (portRef A3 (instanceRef blk00000308))
+ (portRef A1 (instanceRef blk00000309))
+ (portRef A2 (instanceRef blk00000309))
+ (portRef A3 (instanceRef blk00000309))
+ (portRef A1 (instanceRef blk0000030a))
+ (portRef A2 (instanceRef blk0000030a))
+ (portRef A3 (instanceRef blk0000030a))
+ (portRef A1 (instanceRef blk0000030b))
+ (portRef A2 (instanceRef blk0000030b))
+ (portRef A3 (instanceRef blk0000030b))
+ (portRef A1 (instanceRef blk0000030c))
+ (portRef A2 (instanceRef blk0000030c))
+ (portRef A3 (instanceRef blk0000030c))
+ (portRef A1 (instanceRef blk0000030d))
+ (portRef A2 (instanceRef blk0000030d))
+ (portRef A3 (instanceRef blk0000030d))
+ (portRef A1 (instanceRef blk0000030e))
+ (portRef A2 (instanceRef blk0000030e))
+ (portRef A3 (instanceRef blk0000030e))
+ (portRef A1 (instanceRef blk0000030f))
+ (portRef A2 (instanceRef blk0000030f))
+ (portRef A3 (instanceRef blk0000030f))
+ (portRef A1 (instanceRef blk00000310))
+ (portRef A2 (instanceRef blk00000310))
+ (portRef A3 (instanceRef blk00000310))
+ (portRef A1 (instanceRef blk00000311))
+ (portRef A2 (instanceRef blk00000311))
+ (portRef A3 (instanceRef blk00000311))
+ (portRef A1 (instanceRef blk00000312))
+ (portRef A2 (instanceRef blk00000312))
+ (portRef A3 (instanceRef blk00000312))
+ (portRef A1 (instanceRef blk00000313))
+ (portRef A2 (instanceRef blk00000313))
+ (portRef A3 (instanceRef blk00000313))
+ (portRef A1 (instanceRef blk00000314))
+ (portRef A2 (instanceRef blk00000314))
+ (portRef A3 (instanceRef blk00000314))
+ (portRef A1 (instanceRef blk00000315))
+ (portRef A2 (instanceRef blk00000315))
+ (portRef A3 (instanceRef blk00000315))
+ (portRef A1 (instanceRef blk00000316))
+ (portRef A2 (instanceRef blk00000316))
+ (portRef A3 (instanceRef blk00000316))
+ (portRef A1 (instanceRef blk00000317))
+ (portRef A2 (instanceRef blk00000317))
+ (portRef A3 (instanceRef blk00000317))
+ (portRef A1 (instanceRef blk00000318))
+ (portRef A2 (instanceRef blk00000318))
+ (portRef A3 (instanceRef blk00000318))
+ (portRef A1 (instanceRef blk00000319))
+ (portRef A2 (instanceRef blk00000319))
+ (portRef A3 (instanceRef blk00000319))
+ (portRef A1 (instanceRef blk0000031a))
+ (portRef A2 (instanceRef blk0000031a))
+ (portRef A3 (instanceRef blk0000031a))
+ (portRef A1 (instanceRef blk0000031b))
+ (portRef A2 (instanceRef blk0000031b))
+ (portRef A3 (instanceRef blk0000031b))
+ (portRef A1 (instanceRef blk0000031c))
+ (portRef A2 (instanceRef blk0000031c))
+ (portRef A3 (instanceRef blk0000031c))
+ )
+ )
+ (net sig00000f1d
+ (joined
+ (portRef D (instanceRef blk000002ed))
+ (portRef Q (instanceRef blk00000306))
+ )
+ )
+ (net sig00000f1e
+ (joined
+ (portRef D (instanceRef blk000002ee))
+ (portRef Q (instanceRef blk00000307))
+ )
+ )
+ (net sig00000f1f
+ (joined
+ (portRef D (instanceRef blk000002ef))
+ (portRef Q (instanceRef blk00000305))
+ )
+ )
+ (net sig00000f20
+ (joined
+ (portRef D (instanceRef blk000002f0))
+ (portRef Q (instanceRef blk00000309))
+ )
+ )
+ (net sig00000f21
+ (joined
+ (portRef D (instanceRef blk000002f1))
+ (portRef Q (instanceRef blk0000030a))
+ )
+ )
+ (net sig00000f22
+ (joined
+ (portRef D (instanceRef blk000002f2))
+ (portRef Q (instanceRef blk00000308))
+ )
+ )
+ (net sig00000f23
+ (joined
+ (portRef D (instanceRef blk000002f3))
+ (portRef Q (instanceRef blk0000030c))
+ )
+ )
+ (net sig00000f24
+ (joined
+ (portRef D (instanceRef blk000002f4))
+ (portRef Q (instanceRef blk0000030d))
+ )
+ )
+ (net sig00000f25
+ (joined
+ (portRef D (instanceRef blk000002f5))
+ (portRef Q (instanceRef blk0000030b))
+ )
+ )
+ (net sig00000f26
+ (joined
+ (portRef D (instanceRef blk000002f6))
+ (portRef Q (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000f27
+ (joined
+ (portRef D (instanceRef blk000002f7))
+ (portRef Q (instanceRef blk00000310))
+ )
+ )
+ (net sig00000f28
+ (joined
+ (portRef D (instanceRef blk000002f8))
+ (portRef Q (instanceRef blk0000030e))
+ )
+ )
+ (net sig00000f29
+ (joined
+ (portRef D (instanceRef blk000002f9))
+ (portRef Q (instanceRef blk00000312))
+ )
+ )
+ (net sig00000f2a
+ (joined
+ (portRef D (instanceRef blk000002fa))
+ (portRef Q (instanceRef blk00000313))
+ )
+ )
+ (net sig00000f2b
+ (joined
+ (portRef D (instanceRef blk000002fb))
+ (portRef Q (instanceRef blk00000311))
+ )
+ )
+ (net sig00000f2c
+ (joined
+ (portRef D (instanceRef blk000002fc))
+ (portRef Q (instanceRef blk00000315))
+ )
+ )
+ (net sig00000f2d
+ (joined
+ (portRef D (instanceRef blk000002fd))
+ (portRef Q (instanceRef blk00000316))
+ )
+ )
+ (net sig00000f2e
+ (joined
+ (portRef D (instanceRef blk000002fe))
+ (portRef Q (instanceRef blk00000314))
+ )
+ )
+ (net sig00000f2f
+ (joined
+ (portRef D (instanceRef blk000002ff))
+ (portRef Q (instanceRef blk00000318))
+ )
+ )
+ (net sig00000f30
+ (joined
+ (portRef D (instanceRef blk00000300))
+ (portRef Q (instanceRef blk00000319))
+ )
+ )
+ (net sig00000f31
+ (joined
+ (portRef D (instanceRef blk00000301))
+ (portRef Q (instanceRef blk00000317))
+ )
+ )
+ (net sig00000f32
+ (joined
+ (portRef D (instanceRef blk00000302))
+ (portRef Q (instanceRef blk0000031b))
+ )
+ )
+ (net sig00000f33
+ (joined
+ (portRef D (instanceRef blk00000303))
+ (portRef Q (instanceRef blk0000031c))
+ )
+ )
+ (net sig00000f34
+ (joined
+ (portRef D (instanceRef blk00000304))
+ (portRef Q (instanceRef blk0000031a))
+ )
+ )
+ (net sig00000f35
+ (joined
+ (portRef CE (instanceRef blk00000305))
+ (portRef CE (instanceRef blk00000306))
+ (portRef CE (instanceRef blk00000307))
+ (portRef CE (instanceRef blk00000308))
+ (portRef CE (instanceRef blk00000309))
+ (portRef CE (instanceRef blk0000030a))
+ (portRef CE (instanceRef blk0000030b))
+ (portRef CE (instanceRef blk0000030c))
+ (portRef CE (instanceRef blk0000030d))
+ (portRef CE (instanceRef blk0000030e))
+ (portRef CE (instanceRef blk0000030f))
+ (portRef CE (instanceRef blk00000310))
+ (portRef CE (instanceRef blk00000311))
+ (portRef CE (instanceRef blk00000312))
+ (portRef CE (instanceRef blk00000313))
+ (portRef CE (instanceRef blk00000314))
+ (portRef CE (instanceRef blk00000315))
+ (portRef CE (instanceRef blk00000316))
+ (portRef CE (instanceRef blk00000317))
+ (portRef CE (instanceRef blk00000318))
+ (portRef CE (instanceRef blk00000319))
+ (portRef CE (instanceRef blk0000031a))
+ (portRef CE (instanceRef blk0000031b))
+ (portRef CE (instanceRef blk0000031c))
+ (portRef O (instanceRef blk0000031d))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO8_blk000002b8 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000002b9
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000002ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ea
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000e9a
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk000002d2))
+ (portRef A0 (instanceRef blk000002d3))
+ (portRef A0 (instanceRef blk000002d4))
+ (portRef A0 (instanceRef blk000002d5))
+ (portRef A0 (instanceRef blk000002d6))
+ (portRef A0 (instanceRef blk000002d7))
+ (portRef A0 (instanceRef blk000002d8))
+ (portRef A0 (instanceRef blk000002d9))
+ (portRef A0 (instanceRef blk000002da))
+ (portRef A0 (instanceRef blk000002db))
+ (portRef A0 (instanceRef blk000002dc))
+ (portRef A0 (instanceRef blk000002dd))
+ (portRef A0 (instanceRef blk000002de))
+ (portRef A0 (instanceRef blk000002df))
+ (portRef A0 (instanceRef blk000002e0))
+ (portRef A0 (instanceRef blk000002e1))
+ (portRef A0 (instanceRef blk000002e2))
+ (portRef A0 (instanceRef blk000002e3))
+ (portRef A0 (instanceRef blk000002e4))
+ (portRef A0 (instanceRef blk000002e5))
+ (portRef A0 (instanceRef blk000002e6))
+ (portRef A0 (instanceRef blk000002e7))
+ (portRef A0 (instanceRef blk000002e8))
+ (portRef A0 (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000e9b
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000002e7))
+ )
+ )
+ (net sig00000e9c
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000e9d
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000002e8))
+ )
+ )
+ (net sig00000e9e
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000002e4))
+ )
+ )
+ (net sig00000e9f
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000002e6))
+ )
+ )
+ (net sig00000ea0
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000002e5))
+ )
+ )
+ (net sig00000ea1
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000002e1))
+ )
+ )
+ (net sig00000ea2
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000002e3))
+ )
+ )
+ (net sig00000ea3
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000ea4
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000002de))
+ )
+ )
+ (net sig00000ea5
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000ea6
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000002df))
+ )
+ )
+ (net sig00000ea7
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000002db))
+ )
+ )
+ (net sig00000ea8
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000002dd))
+ )
+ )
+ (net sig00000ea9
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000002dc))
+ )
+ )
+ (net sig00000eaa
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000002d8))
+ )
+ )
+ (net sig00000eab
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000002da))
+ )
+ )
+ (net sig00000eac
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000002d9))
+ )
+ )
+ (net sig00000ead
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000002d5))
+ )
+ )
+ (net sig00000eae
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000002d7))
+ )
+ )
+ (net sig00000eaf
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000002d6))
+ )
+ )
+ (net sig00000eb0
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000002d2))
+ )
+ )
+ (net sig00000eb1
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000002d4))
+ )
+ )
+ (net sig00000eb2
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000002d3))
+ )
+ )
+ (net sig00000eb3
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000eb4
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000002ba))
+ (portRef CE (instanceRef blk000002bb))
+ (portRef CE (instanceRef blk000002bc))
+ (portRef CE (instanceRef blk000002bd))
+ (portRef CE (instanceRef blk000002be))
+ (portRef CE (instanceRef blk000002bf))
+ (portRef CE (instanceRef blk000002c0))
+ (portRef CE (instanceRef blk000002c1))
+ (portRef CE (instanceRef blk000002c2))
+ (portRef CE (instanceRef blk000002c3))
+ (portRef CE (instanceRef blk000002c4))
+ (portRef CE (instanceRef blk000002c5))
+ (portRef CE (instanceRef blk000002c6))
+ (portRef CE (instanceRef blk000002c7))
+ (portRef CE (instanceRef blk000002c8))
+ (portRef CE (instanceRef blk000002c9))
+ (portRef CE (instanceRef blk000002ca))
+ (portRef CE (instanceRef blk000002cb))
+ (portRef CE (instanceRef blk000002cc))
+ (portRef CE (instanceRef blk000002cd))
+ (portRef CE (instanceRef blk000002ce))
+ (portRef CE (instanceRef blk000002cf))
+ (portRef CE (instanceRef blk000002d0))
+ (portRef CE (instanceRef blk000002d1))
+ (portRef I0 (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000eb5
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000002ba))
+ (portRef C (instanceRef blk000002bb))
+ (portRef C (instanceRef blk000002bc))
+ (portRef C (instanceRef blk000002bd))
+ (portRef C (instanceRef blk000002be))
+ (portRef C (instanceRef blk000002bf))
+ (portRef C (instanceRef blk000002c0))
+ (portRef C (instanceRef blk000002c1))
+ (portRef C (instanceRef blk000002c2))
+ (portRef C (instanceRef blk000002c3))
+ (portRef C (instanceRef blk000002c4))
+ (portRef C (instanceRef blk000002c5))
+ (portRef C (instanceRef blk000002c6))
+ (portRef C (instanceRef blk000002c7))
+ (portRef C (instanceRef blk000002c8))
+ (portRef C (instanceRef blk000002c9))
+ (portRef C (instanceRef blk000002ca))
+ (portRef C (instanceRef blk000002cb))
+ (portRef C (instanceRef blk000002cc))
+ (portRef C (instanceRef blk000002cd))
+ (portRef C (instanceRef blk000002ce))
+ (portRef C (instanceRef blk000002cf))
+ (portRef C (instanceRef blk000002d0))
+ (portRef C (instanceRef blk000002d1))
+ (portRef CLK (instanceRef blk000002d2))
+ (portRef CLK (instanceRef blk000002d3))
+ (portRef CLK (instanceRef blk000002d4))
+ (portRef CLK (instanceRef blk000002d5))
+ (portRef CLK (instanceRef blk000002d6))
+ (portRef CLK (instanceRef blk000002d7))
+ (portRef CLK (instanceRef blk000002d8))
+ (portRef CLK (instanceRef blk000002d9))
+ (portRef CLK (instanceRef blk000002da))
+ (portRef CLK (instanceRef blk000002db))
+ (portRef CLK (instanceRef blk000002dc))
+ (portRef CLK (instanceRef blk000002dd))
+ (portRef CLK (instanceRef blk000002de))
+ (portRef CLK (instanceRef blk000002df))
+ (portRef CLK (instanceRef blk000002e0))
+ (portRef CLK (instanceRef blk000002e1))
+ (portRef CLK (instanceRef blk000002e2))
+ (portRef CLK (instanceRef blk000002e3))
+ (portRef CLK (instanceRef blk000002e4))
+ (portRef CLK (instanceRef blk000002e5))
+ (portRef CLK (instanceRef blk000002e6))
+ (portRef CLK (instanceRef blk000002e7))
+ (portRef CLK (instanceRef blk000002e8))
+ (portRef CLK (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000eb6
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000002d1))
+ )
+ )
+ (net sig00000eb7
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000002d0))
+ )
+ )
+ (net sig00000eb8
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000002cf))
+ )
+ )
+ (net sig00000eb9
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000002ce))
+ )
+ )
+ (net sig00000eba
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000002cd))
+ )
+ )
+ (net sig00000ebb
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000002cc))
+ )
+ )
+ (net sig00000ebc
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000002cb))
+ )
+ )
+ (net sig00000ebd
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000002ca))
+ )
+ )
+ (net sig00000ebe
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000002c9))
+ )
+ )
+ (net sig00000ebf
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000002c8))
+ )
+ )
+ (net sig00000ec0
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000002c7))
+ )
+ )
+ (net sig00000ec1
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000002c6))
+ )
+ )
+ (net sig00000ec2
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000002c5))
+ )
+ )
+ (net sig00000ec3
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000002c4))
+ )
+ )
+ (net sig00000ec4
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000002c3))
+ )
+ )
+ (net sig00000ec5
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000002c2))
+ )
+ )
+ (net sig00000ec6
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000002c1))
+ )
+ )
+ (net sig00000ec7
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000002c0))
+ )
+ )
+ (net sig00000ec8
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000002bf))
+ )
+ )
+ (net sig00000ec9
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000002be))
+ )
+ )
+ (net sig00000eca
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000002bd))
+ )
+ )
+ (net sig00000ecb
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000002bc))
+ )
+ )
+ (net sig00000ecc
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000002bb))
+ )
+ )
+ (net sig00000ecd
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000002ba))
+ )
+ )
+ (net sig00000ece
+ (joined
+ (portRef G (instanceRef blk000002b9))
+ (portRef A1 (instanceRef blk000002d2))
+ (portRef A2 (instanceRef blk000002d2))
+ (portRef A3 (instanceRef blk000002d2))
+ (portRef A1 (instanceRef blk000002d3))
+ (portRef A2 (instanceRef blk000002d3))
+ (portRef A3 (instanceRef blk000002d3))
+ (portRef A1 (instanceRef blk000002d4))
+ (portRef A2 (instanceRef blk000002d4))
+ (portRef A3 (instanceRef blk000002d4))
+ (portRef A1 (instanceRef blk000002d5))
+ (portRef A2 (instanceRef blk000002d5))
+ (portRef A3 (instanceRef blk000002d5))
+ (portRef A1 (instanceRef blk000002d6))
+ (portRef A2 (instanceRef blk000002d6))
+ (portRef A3 (instanceRef blk000002d6))
+ (portRef A1 (instanceRef blk000002d7))
+ (portRef A2 (instanceRef blk000002d7))
+ (portRef A3 (instanceRef blk000002d7))
+ (portRef A1 (instanceRef blk000002d8))
+ (portRef A2 (instanceRef blk000002d8))
+ (portRef A3 (instanceRef blk000002d8))
+ (portRef A1 (instanceRef blk000002d9))
+ (portRef A2 (instanceRef blk000002d9))
+ (portRef A3 (instanceRef blk000002d9))
+ (portRef A1 (instanceRef blk000002da))
+ (portRef A2 (instanceRef blk000002da))
+ (portRef A3 (instanceRef blk000002da))
+ (portRef A1 (instanceRef blk000002db))
+ (portRef A2 (instanceRef blk000002db))
+ (portRef A3 (instanceRef blk000002db))
+ (portRef A1 (instanceRef blk000002dc))
+ (portRef A2 (instanceRef blk000002dc))
+ (portRef A3 (instanceRef blk000002dc))
+ (portRef A1 (instanceRef blk000002dd))
+ (portRef A2 (instanceRef blk000002dd))
+ (portRef A3 (instanceRef blk000002dd))
+ (portRef A1 (instanceRef blk000002de))
+ (portRef A2 (instanceRef blk000002de))
+ (portRef A3 (instanceRef blk000002de))
+ (portRef A1 (instanceRef blk000002df))
+ (portRef A2 (instanceRef blk000002df))
+ (portRef A3 (instanceRef blk000002df))
+ (portRef A1 (instanceRef blk000002e0))
+ (portRef A2 (instanceRef blk000002e0))
+ (portRef A3 (instanceRef blk000002e0))
+ (portRef A1 (instanceRef blk000002e1))
+ (portRef A2 (instanceRef blk000002e1))
+ (portRef A3 (instanceRef blk000002e1))
+ (portRef A1 (instanceRef blk000002e2))
+ (portRef A2 (instanceRef blk000002e2))
+ (portRef A3 (instanceRef blk000002e2))
+ (portRef A1 (instanceRef blk000002e3))
+ (portRef A2 (instanceRef blk000002e3))
+ (portRef A3 (instanceRef blk000002e3))
+ (portRef A1 (instanceRef blk000002e4))
+ (portRef A2 (instanceRef blk000002e4))
+ (portRef A3 (instanceRef blk000002e4))
+ (portRef A1 (instanceRef blk000002e5))
+ (portRef A2 (instanceRef blk000002e5))
+ (portRef A3 (instanceRef blk000002e5))
+ (portRef A1 (instanceRef blk000002e6))
+ (portRef A2 (instanceRef blk000002e6))
+ (portRef A3 (instanceRef blk000002e6))
+ (portRef A1 (instanceRef blk000002e7))
+ (portRef A2 (instanceRef blk000002e7))
+ (portRef A3 (instanceRef blk000002e7))
+ (portRef A1 (instanceRef blk000002e8))
+ (portRef A2 (instanceRef blk000002e8))
+ (portRef A3 (instanceRef blk000002e8))
+ (portRef A1 (instanceRef blk000002e9))
+ (portRef A2 (instanceRef blk000002e9))
+ (portRef A3 (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000ecf
+ (joined
+ (portRef D (instanceRef blk000002ba))
+ (portRef Q (instanceRef blk000002d3))
+ )
+ )
+ (net sig00000ed0
+ (joined
+ (portRef D (instanceRef blk000002bb))
+ (portRef Q (instanceRef blk000002d4))
+ )
+ )
+ (net sig00000ed1
+ (joined
+ (portRef D (instanceRef blk000002bc))
+ (portRef Q (instanceRef blk000002d2))
+ )
+ )
+ (net sig00000ed2
+ (joined
+ (portRef D (instanceRef blk000002bd))
+ (portRef Q (instanceRef blk000002d6))
+ )
+ )
+ (net sig00000ed3
+ (joined
+ (portRef D (instanceRef blk000002be))
+ (portRef Q (instanceRef blk000002d7))
+ )
+ )
+ (net sig00000ed4
+ (joined
+ (portRef D (instanceRef blk000002bf))
+ (portRef Q (instanceRef blk000002d5))
+ )
+ )
+ (net sig00000ed5
+ (joined
+ (portRef D (instanceRef blk000002c0))
+ (portRef Q (instanceRef blk000002d9))
+ )
+ )
+ (net sig00000ed6
+ (joined
+ (portRef D (instanceRef blk000002c1))
+ (portRef Q (instanceRef blk000002da))
+ )
+ )
+ (net sig00000ed7
+ (joined
+ (portRef D (instanceRef blk000002c2))
+ (portRef Q (instanceRef blk000002d8))
+ )
+ )
+ (net sig00000ed8
+ (joined
+ (portRef D (instanceRef blk000002c3))
+ (portRef Q (instanceRef blk000002dc))
+ )
+ )
+ (net sig00000ed9
+ (joined
+ (portRef D (instanceRef blk000002c4))
+ (portRef Q (instanceRef blk000002dd))
+ )
+ )
+ (net sig00000eda
+ (joined
+ (portRef D (instanceRef blk000002c5))
+ (portRef Q (instanceRef blk000002db))
+ )
+ )
+ (net sig00000edb
+ (joined
+ (portRef D (instanceRef blk000002c6))
+ (portRef Q (instanceRef blk000002df))
+ )
+ )
+ (net sig00000edc
+ (joined
+ (portRef D (instanceRef blk000002c7))
+ (portRef Q (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000edd
+ (joined
+ (portRef D (instanceRef blk000002c8))
+ (portRef Q (instanceRef blk000002de))
+ )
+ )
+ (net sig00000ede
+ (joined
+ (portRef D (instanceRef blk000002c9))
+ (portRef Q (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000edf
+ (joined
+ (portRef D (instanceRef blk000002ca))
+ (portRef Q (instanceRef blk000002e3))
+ )
+ )
+ (net sig00000ee0
+ (joined
+ (portRef D (instanceRef blk000002cb))
+ (portRef Q (instanceRef blk000002e1))
+ )
+ )
+ (net sig00000ee1
+ (joined
+ (portRef D (instanceRef blk000002cc))
+ (portRef Q (instanceRef blk000002e5))
+ )
+ )
+ (net sig00000ee2
+ (joined
+ (portRef D (instanceRef blk000002cd))
+ (portRef Q (instanceRef blk000002e6))
+ )
+ )
+ (net sig00000ee3
+ (joined
+ (portRef D (instanceRef blk000002ce))
+ (portRef Q (instanceRef blk000002e4))
+ )
+ )
+ (net sig00000ee4
+ (joined
+ (portRef D (instanceRef blk000002cf))
+ (portRef Q (instanceRef blk000002e8))
+ )
+ )
+ (net sig00000ee5
+ (joined
+ (portRef D (instanceRef blk000002d0))
+ (portRef Q (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000ee6
+ (joined
+ (portRef D (instanceRef blk000002d1))
+ (portRef Q (instanceRef blk000002e7))
+ )
+ )
+ (net sig00000ee7
+ (joined
+ (portRef CE (instanceRef blk000002d2))
+ (portRef CE (instanceRef blk000002d3))
+ (portRef CE (instanceRef blk000002d4))
+ (portRef CE (instanceRef blk000002d5))
+ (portRef CE (instanceRef blk000002d6))
+ (portRef CE (instanceRef blk000002d7))
+ (portRef CE (instanceRef blk000002d8))
+ (portRef CE (instanceRef blk000002d9))
+ (portRef CE (instanceRef blk000002da))
+ (portRef CE (instanceRef blk000002db))
+ (portRef CE (instanceRef blk000002dc))
+ (portRef CE (instanceRef blk000002dd))
+ (portRef CE (instanceRef blk000002de))
+ (portRef CE (instanceRef blk000002df))
+ (portRef CE (instanceRef blk000002e0))
+ (portRef CE (instanceRef blk000002e1))
+ (portRef CE (instanceRef blk000002e2))
+ (portRef CE (instanceRef blk000002e3))
+ (portRef CE (instanceRef blk000002e4))
+ (portRef CE (instanceRef blk000002e5))
+ (portRef CE (instanceRef blk000002e6))
+ (portRef CE (instanceRef blk000002e7))
+ (portRef CE (instanceRef blk000002e8))
+ (portRef CE (instanceRef blk000002e9))
+ (portRef O (instanceRef blk000002ea))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO7_blk00000285 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000286
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000287
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000288
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000289
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000290
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000291
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000292
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000293
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000294
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000295
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000296
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000297
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000298
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000299
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000029a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000029b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000029c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000029d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000029e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000029f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b7
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000e4c
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000029f))
+ (portRef A0 (instanceRef blk000002a0))
+ (portRef A0 (instanceRef blk000002a1))
+ (portRef A0 (instanceRef blk000002a2))
+ (portRef A0 (instanceRef blk000002a3))
+ (portRef A0 (instanceRef blk000002a4))
+ (portRef A0 (instanceRef blk000002a5))
+ (portRef A0 (instanceRef blk000002a6))
+ (portRef A0 (instanceRef blk000002a7))
+ (portRef A0 (instanceRef blk000002a8))
+ (portRef A0 (instanceRef blk000002a9))
+ (portRef A0 (instanceRef blk000002aa))
+ (portRef A0 (instanceRef blk000002ab))
+ (portRef A0 (instanceRef blk000002ac))
+ (portRef A0 (instanceRef blk000002ad))
+ (portRef A0 (instanceRef blk000002ae))
+ (portRef A0 (instanceRef blk000002af))
+ (portRef A0 (instanceRef blk000002b0))
+ (portRef A0 (instanceRef blk000002b1))
+ (portRef A0 (instanceRef blk000002b2))
+ (portRef A0 (instanceRef blk000002b3))
+ (portRef A0 (instanceRef blk000002b4))
+ (portRef A0 (instanceRef blk000002b5))
+ (portRef A0 (instanceRef blk000002b6))
+ )
+ )
+ (net sig00000e4d
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000002b4))
+ )
+ )
+ (net sig00000e4e
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000002b6))
+ )
+ )
+ (net sig00000e4f
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000002b5))
+ )
+ )
+ (net sig00000e50
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000002b1))
+ )
+ )
+ (net sig00000e51
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000002b3))
+ )
+ )
+ (net sig00000e52
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000002b2))
+ )
+ )
+ (net sig00000e53
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000002ae))
+ )
+ )
+ (net sig00000e54
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000002b0))
+ )
+ )
+ (net sig00000e55
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000002af))
+ )
+ )
+ (net sig00000e56
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000002ab))
+ )
+ )
+ (net sig00000e57
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000e58
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000002ac))
+ )
+ )
+ (net sig00000e59
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000002a8))
+ )
+ )
+ (net sig00000e5a
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000002aa))
+ )
+ )
+ (net sig00000e5b
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000002a9))
+ )
+ )
+ (net sig00000e5c
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000002a5))
+ )
+ )
+ (net sig00000e5d
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000002a7))
+ )
+ )
+ (net sig00000e5e
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000002a6))
+ )
+ )
+ (net sig00000e5f
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000002a2))
+ )
+ )
+ (net sig00000e60
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000002a4))
+ )
+ )
+ (net sig00000e61
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000002a3))
+ )
+ )
+ (net sig00000e62
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000029f))
+ )
+ )
+ (net sig00000e63
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000002a1))
+ )
+ )
+ (net sig00000e64
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000002a0))
+ )
+ )
+ (net sig00000e65
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000002b7))
+ )
+ )
+ (net sig00000e66
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000287))
+ (portRef CE (instanceRef blk00000288))
+ (portRef CE (instanceRef blk00000289))
+ (portRef CE (instanceRef blk0000028a))
+ (portRef CE (instanceRef blk0000028b))
+ (portRef CE (instanceRef blk0000028c))
+ (portRef CE (instanceRef blk0000028d))
+ (portRef CE (instanceRef blk0000028e))
+ (portRef CE (instanceRef blk0000028f))
+ (portRef CE (instanceRef blk00000290))
+ (portRef CE (instanceRef blk00000291))
+ (portRef CE (instanceRef blk00000292))
+ (portRef CE (instanceRef blk00000293))
+ (portRef CE (instanceRef blk00000294))
+ (portRef CE (instanceRef blk00000295))
+ (portRef CE (instanceRef blk00000296))
+ (portRef CE (instanceRef blk00000297))
+ (portRef CE (instanceRef blk00000298))
+ (portRef CE (instanceRef blk00000299))
+ (portRef CE (instanceRef blk0000029a))
+ (portRef CE (instanceRef blk0000029b))
+ (portRef CE (instanceRef blk0000029c))
+ (portRef CE (instanceRef blk0000029d))
+ (portRef CE (instanceRef blk0000029e))
+ (portRef I0 (instanceRef blk000002b7))
+ )
+ )
+ (net sig00000e67
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000287))
+ (portRef C (instanceRef blk00000288))
+ (portRef C (instanceRef blk00000289))
+ (portRef C (instanceRef blk0000028a))
+ (portRef C (instanceRef blk0000028b))
+ (portRef C (instanceRef blk0000028c))
+ (portRef C (instanceRef blk0000028d))
+ (portRef C (instanceRef blk0000028e))
+ (portRef C (instanceRef blk0000028f))
+ (portRef C (instanceRef blk00000290))
+ (portRef C (instanceRef blk00000291))
+ (portRef C (instanceRef blk00000292))
+ (portRef C (instanceRef blk00000293))
+ (portRef C (instanceRef blk00000294))
+ (portRef C (instanceRef blk00000295))
+ (portRef C (instanceRef blk00000296))
+ (portRef C (instanceRef blk00000297))
+ (portRef C (instanceRef blk00000298))
+ (portRef C (instanceRef blk00000299))
+ (portRef C (instanceRef blk0000029a))
+ (portRef C (instanceRef blk0000029b))
+ (portRef C (instanceRef blk0000029c))
+ (portRef C (instanceRef blk0000029d))
+ (portRef C (instanceRef blk0000029e))
+ (portRef CLK (instanceRef blk0000029f))
+ (portRef CLK (instanceRef blk000002a0))
+ (portRef CLK (instanceRef blk000002a1))
+ (portRef CLK (instanceRef blk000002a2))
+ (portRef CLK (instanceRef blk000002a3))
+ (portRef CLK (instanceRef blk000002a4))
+ (portRef CLK (instanceRef blk000002a5))
+ (portRef CLK (instanceRef blk000002a6))
+ (portRef CLK (instanceRef blk000002a7))
+ (portRef CLK (instanceRef blk000002a8))
+ (portRef CLK (instanceRef blk000002a9))
+ (portRef CLK (instanceRef blk000002aa))
+ (portRef CLK (instanceRef blk000002ab))
+ (portRef CLK (instanceRef blk000002ac))
+ (portRef CLK (instanceRef blk000002ad))
+ (portRef CLK (instanceRef blk000002ae))
+ (portRef CLK (instanceRef blk000002af))
+ (portRef CLK (instanceRef blk000002b0))
+ (portRef CLK (instanceRef blk000002b1))
+ (portRef CLK (instanceRef blk000002b2))
+ (portRef CLK (instanceRef blk000002b3))
+ (portRef CLK (instanceRef blk000002b4))
+ (portRef CLK (instanceRef blk000002b5))
+ (portRef CLK (instanceRef blk000002b6))
+ )
+ )
+ (net sig00000e68
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000029e))
+ )
+ )
+ (net sig00000e69
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000029d))
+ )
+ )
+ (net sig00000e6a
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000029c))
+ )
+ )
+ (net sig00000e6b
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000029b))
+ )
+ )
+ (net sig00000e6c
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000029a))
+ )
+ )
+ (net sig00000e6d
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000299))
+ )
+ )
+ (net sig00000e6e
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000298))
+ )
+ )
+ (net sig00000e6f
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000297))
+ )
+ )
+ (net sig00000e70
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000296))
+ )
+ )
+ (net sig00000e71
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000295))
+ )
+ )
+ (net sig00000e72
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000294))
+ )
+ )
+ (net sig00000e73
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000293))
+ )
+ )
+ (net sig00000e74
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000292))
+ )
+ )
+ (net sig00000e75
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000291))
+ )
+ )
+ (net sig00000e76
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000290))
+ )
+ )
+ (net sig00000e77
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000028f))
+ )
+ )
+ (net sig00000e78
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000028e))
+ )
+ )
+ (net sig00000e79
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000028d))
+ )
+ )
+ (net sig00000e7a
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000028c))
+ )
+ )
+ (net sig00000e7b
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000028b))
+ )
+ )
+ (net sig00000e7c
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000028a))
+ )
+ )
+ (net sig00000e7d
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000289))
+ )
+ )
+ (net sig00000e7e
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000288))
+ )
+ )
+ (net sig00000e7f
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000287))
+ )
+ )
+ (net sig00000e80
+ (joined
+ (portRef G (instanceRef blk00000286))
+ (portRef A1 (instanceRef blk0000029f))
+ (portRef A2 (instanceRef blk0000029f))
+ (portRef A3 (instanceRef blk0000029f))
+ (portRef A1 (instanceRef blk000002a0))
+ (portRef A2 (instanceRef blk000002a0))
+ (portRef A3 (instanceRef blk000002a0))
+ (portRef A1 (instanceRef blk000002a1))
+ (portRef A2 (instanceRef blk000002a1))
+ (portRef A3 (instanceRef blk000002a1))
+ (portRef A1 (instanceRef blk000002a2))
+ (portRef A2 (instanceRef blk000002a2))
+ (portRef A3 (instanceRef blk000002a2))
+ (portRef A1 (instanceRef blk000002a3))
+ (portRef A2 (instanceRef blk000002a3))
+ (portRef A3 (instanceRef blk000002a3))
+ (portRef A1 (instanceRef blk000002a4))
+ (portRef A2 (instanceRef blk000002a4))
+ (portRef A3 (instanceRef blk000002a4))
+ (portRef A1 (instanceRef blk000002a5))
+ (portRef A2 (instanceRef blk000002a5))
+ (portRef A3 (instanceRef blk000002a5))
+ (portRef A1 (instanceRef blk000002a6))
+ (portRef A2 (instanceRef blk000002a6))
+ (portRef A3 (instanceRef blk000002a6))
+ (portRef A1 (instanceRef blk000002a7))
+ (portRef A2 (instanceRef blk000002a7))
+ (portRef A3 (instanceRef blk000002a7))
+ (portRef A1 (instanceRef blk000002a8))
+ (portRef A2 (instanceRef blk000002a8))
+ (portRef A3 (instanceRef blk000002a8))
+ (portRef A1 (instanceRef blk000002a9))
+ (portRef A2 (instanceRef blk000002a9))
+ (portRef A3 (instanceRef blk000002a9))
+ (portRef A1 (instanceRef blk000002aa))
+ (portRef A2 (instanceRef blk000002aa))
+ (portRef A3 (instanceRef blk000002aa))
+ (portRef A1 (instanceRef blk000002ab))
+ (portRef A2 (instanceRef blk000002ab))
+ (portRef A3 (instanceRef blk000002ab))
+ (portRef A1 (instanceRef blk000002ac))
+ (portRef A2 (instanceRef blk000002ac))
+ (portRef A3 (instanceRef blk000002ac))
+ (portRef A1 (instanceRef blk000002ad))
+ (portRef A2 (instanceRef blk000002ad))
+ (portRef A3 (instanceRef blk000002ad))
+ (portRef A1 (instanceRef blk000002ae))
+ (portRef A2 (instanceRef blk000002ae))
+ (portRef A3 (instanceRef blk000002ae))
+ (portRef A1 (instanceRef blk000002af))
+ (portRef A2 (instanceRef blk000002af))
+ (portRef A3 (instanceRef blk000002af))
+ (portRef A1 (instanceRef blk000002b0))
+ (portRef A2 (instanceRef blk000002b0))
+ (portRef A3 (instanceRef blk000002b0))
+ (portRef A1 (instanceRef blk000002b1))
+ (portRef A2 (instanceRef blk000002b1))
+ (portRef A3 (instanceRef blk000002b1))
+ (portRef A1 (instanceRef blk000002b2))
+ (portRef A2 (instanceRef blk000002b2))
+ (portRef A3 (instanceRef blk000002b2))
+ (portRef A1 (instanceRef blk000002b3))
+ (portRef A2 (instanceRef blk000002b3))
+ (portRef A3 (instanceRef blk000002b3))
+ (portRef A1 (instanceRef blk000002b4))
+ (portRef A2 (instanceRef blk000002b4))
+ (portRef A3 (instanceRef blk000002b4))
+ (portRef A1 (instanceRef blk000002b5))
+ (portRef A2 (instanceRef blk000002b5))
+ (portRef A3 (instanceRef blk000002b5))
+ (portRef A1 (instanceRef blk000002b6))
+ (portRef A2 (instanceRef blk000002b6))
+ (portRef A3 (instanceRef blk000002b6))
+ )
+ )
+ (net sig00000e81
+ (joined
+ (portRef D (instanceRef blk00000287))
+ (portRef Q (instanceRef blk000002a0))
+ )
+ )
+ (net sig00000e82
+ (joined
+ (portRef D (instanceRef blk00000288))
+ (portRef Q (instanceRef blk000002a1))
+ )
+ )
+ (net sig00000e83
+ (joined
+ (portRef D (instanceRef blk00000289))
+ (portRef Q (instanceRef blk0000029f))
+ )
+ )
+ (net sig00000e84
+ (joined
+ (portRef D (instanceRef blk0000028a))
+ (portRef Q (instanceRef blk000002a3))
+ )
+ )
+ (net sig00000e85
+ (joined
+ (portRef D (instanceRef blk0000028b))
+ (portRef Q (instanceRef blk000002a4))
+ )
+ )
+ (net sig00000e86
+ (joined
+ (portRef D (instanceRef blk0000028c))
+ (portRef Q (instanceRef blk000002a2))
+ )
+ )
+ (net sig00000e87
+ (joined
+ (portRef D (instanceRef blk0000028d))
+ (portRef Q (instanceRef blk000002a6))
+ )
+ )
+ (net sig00000e88
+ (joined
+ (portRef D (instanceRef blk0000028e))
+ (portRef Q (instanceRef blk000002a7))
+ )
+ )
+ (net sig00000e89
+ (joined
+ (portRef D (instanceRef blk0000028f))
+ (portRef Q (instanceRef blk000002a5))
+ )
+ )
+ (net sig00000e8a
+ (joined
+ (portRef D (instanceRef blk00000290))
+ (portRef Q (instanceRef blk000002a9))
+ )
+ )
+ (net sig00000e8b
+ (joined
+ (portRef D (instanceRef blk00000291))
+ (portRef Q (instanceRef blk000002aa))
+ )
+ )
+ (net sig00000e8c
+ (joined
+ (portRef D (instanceRef blk00000292))
+ (portRef Q (instanceRef blk000002a8))
+ )
+ )
+ (net sig00000e8d
+ (joined
+ (portRef D (instanceRef blk00000293))
+ (portRef Q (instanceRef blk000002ac))
+ )
+ )
+ (net sig00000e8e
+ (joined
+ (portRef D (instanceRef blk00000294))
+ (portRef Q (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000e8f
+ (joined
+ (portRef D (instanceRef blk00000295))
+ (portRef Q (instanceRef blk000002ab))
+ )
+ )
+ (net sig00000e90
+ (joined
+ (portRef D (instanceRef blk00000296))
+ (portRef Q (instanceRef blk000002af))
+ )
+ )
+ (net sig00000e91
+ (joined
+ (portRef D (instanceRef blk00000297))
+ (portRef Q (instanceRef blk000002b0))
+ )
+ )
+ (net sig00000e92
+ (joined
+ (portRef D (instanceRef blk00000298))
+ (portRef Q (instanceRef blk000002ae))
+ )
+ )
+ (net sig00000e93
+ (joined
+ (portRef D (instanceRef blk00000299))
+ (portRef Q (instanceRef blk000002b2))
+ )
+ )
+ (net sig00000e94
+ (joined
+ (portRef D (instanceRef blk0000029a))
+ (portRef Q (instanceRef blk000002b3))
+ )
+ )
+ (net sig00000e95
+ (joined
+ (portRef D (instanceRef blk0000029b))
+ (portRef Q (instanceRef blk000002b1))
+ )
+ )
+ (net sig00000e96
+ (joined
+ (portRef D (instanceRef blk0000029c))
+ (portRef Q (instanceRef blk000002b5))
+ )
+ )
+ (net sig00000e97
+ (joined
+ (portRef D (instanceRef blk0000029d))
+ (portRef Q (instanceRef blk000002b6))
+ )
+ )
+ (net sig00000e98
+ (joined
+ (portRef D (instanceRef blk0000029e))
+ (portRef Q (instanceRef blk000002b4))
+ )
+ )
+ (net sig00000e99
+ (joined
+ (portRef CE (instanceRef blk0000029f))
+ (portRef CE (instanceRef blk000002a0))
+ (portRef CE (instanceRef blk000002a1))
+ (portRef CE (instanceRef blk000002a2))
+ (portRef CE (instanceRef blk000002a3))
+ (portRef CE (instanceRef blk000002a4))
+ (portRef CE (instanceRef blk000002a5))
+ (portRef CE (instanceRef blk000002a6))
+ (portRef CE (instanceRef blk000002a7))
+ (portRef CE (instanceRef blk000002a8))
+ (portRef CE (instanceRef blk000002a9))
+ (portRef CE (instanceRef blk000002aa))
+ (portRef CE (instanceRef blk000002ab))
+ (portRef CE (instanceRef blk000002ac))
+ (portRef CE (instanceRef blk000002ad))
+ (portRef CE (instanceRef blk000002ae))
+ (portRef CE (instanceRef blk000002af))
+ (portRef CE (instanceRef blk000002b0))
+ (portRef CE (instanceRef blk000002b1))
+ (portRef CE (instanceRef blk000002b2))
+ (portRef CE (instanceRef blk000002b3))
+ (portRef CE (instanceRef blk000002b4))
+ (portRef CE (instanceRef blk000002b5))
+ (portRef CE (instanceRef blk000002b6))
+ (portRef O (instanceRef blk000002b7))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO6_blk00000252 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000253
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000254
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000255
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000256
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000257
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000258
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000259
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000260
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000261
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000262
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000263
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000264
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000265
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000266
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000267
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000268
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000269
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000026a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000026b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000026c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000270
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000271
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000272
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000273
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000274
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000275
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000276
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000277
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000278
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000279
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000280
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000281
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000282
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000283
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000284
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000dfe
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000026c))
+ (portRef A0 (instanceRef blk0000026d))
+ (portRef A0 (instanceRef blk0000026e))
+ (portRef A0 (instanceRef blk0000026f))
+ (portRef A0 (instanceRef blk00000270))
+ (portRef A0 (instanceRef blk00000271))
+ (portRef A0 (instanceRef blk00000272))
+ (portRef A0 (instanceRef blk00000273))
+ (portRef A0 (instanceRef blk00000274))
+ (portRef A0 (instanceRef blk00000275))
+ (portRef A0 (instanceRef blk00000276))
+ (portRef A0 (instanceRef blk00000277))
+ (portRef A0 (instanceRef blk00000278))
+ (portRef A0 (instanceRef blk00000279))
+ (portRef A0 (instanceRef blk0000027a))
+ (portRef A0 (instanceRef blk0000027b))
+ (portRef A0 (instanceRef blk0000027c))
+ (portRef A0 (instanceRef blk0000027d))
+ (portRef A0 (instanceRef blk0000027e))
+ (portRef A0 (instanceRef blk0000027f))
+ (portRef A0 (instanceRef blk00000280))
+ (portRef A0 (instanceRef blk00000281))
+ (portRef A0 (instanceRef blk00000282))
+ (portRef A0 (instanceRef blk00000283))
+ )
+ )
+ (net sig00000dff
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000281))
+ )
+ )
+ (net sig00000e00
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000283))
+ )
+ )
+ (net sig00000e01
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000282))
+ )
+ )
+ (net sig00000e02
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000e03
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000280))
+ )
+ )
+ (net sig00000e04
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000027f))
+ )
+ )
+ (net sig00000e05
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000027b))
+ )
+ )
+ (net sig00000e06
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000027d))
+ )
+ )
+ (net sig00000e07
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000027c))
+ )
+ )
+ (net sig00000e08
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000278))
+ )
+ )
+ (net sig00000e09
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000027a))
+ )
+ )
+ (net sig00000e0a
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000279))
+ )
+ )
+ (net sig00000e0b
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000275))
+ )
+ )
+ (net sig00000e0c
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000277))
+ )
+ )
+ (net sig00000e0d
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000276))
+ )
+ )
+ (net sig00000e0e
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000272))
+ )
+ )
+ (net sig00000e0f
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000274))
+ )
+ )
+ (net sig00000e10
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000273))
+ )
+ )
+ (net sig00000e11
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000026f))
+ )
+ )
+ (net sig00000e12
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000271))
+ )
+ )
+ (net sig00000e13
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000270))
+ )
+ )
+ (net sig00000e14
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000026c))
+ )
+ )
+ (net sig00000e15
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000026e))
+ )
+ )
+ (net sig00000e16
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000026d))
+ )
+ )
+ (net sig00000e17
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000284))
+ )
+ )
+ (net sig00000e18
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000254))
+ (portRef CE (instanceRef blk00000255))
+ (portRef CE (instanceRef blk00000256))
+ (portRef CE (instanceRef blk00000257))
+ (portRef CE (instanceRef blk00000258))
+ (portRef CE (instanceRef blk00000259))
+ (portRef CE (instanceRef blk0000025a))
+ (portRef CE (instanceRef blk0000025b))
+ (portRef CE (instanceRef blk0000025c))
+ (portRef CE (instanceRef blk0000025d))
+ (portRef CE (instanceRef blk0000025e))
+ (portRef CE (instanceRef blk0000025f))
+ (portRef CE (instanceRef blk00000260))
+ (portRef CE (instanceRef blk00000261))
+ (portRef CE (instanceRef blk00000262))
+ (portRef CE (instanceRef blk00000263))
+ (portRef CE (instanceRef blk00000264))
+ (portRef CE (instanceRef blk00000265))
+ (portRef CE (instanceRef blk00000266))
+ (portRef CE (instanceRef blk00000267))
+ (portRef CE (instanceRef blk00000268))
+ (portRef CE (instanceRef blk00000269))
+ (portRef CE (instanceRef blk0000026a))
+ (portRef CE (instanceRef blk0000026b))
+ (portRef I0 (instanceRef blk00000284))
+ )
+ )
+ (net sig00000e19
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000254))
+ (portRef C (instanceRef blk00000255))
+ (portRef C (instanceRef blk00000256))
+ (portRef C (instanceRef blk00000257))
+ (portRef C (instanceRef blk00000258))
+ (portRef C (instanceRef blk00000259))
+ (portRef C (instanceRef blk0000025a))
+ (portRef C (instanceRef blk0000025b))
+ (portRef C (instanceRef blk0000025c))
+ (portRef C (instanceRef blk0000025d))
+ (portRef C (instanceRef blk0000025e))
+ (portRef C (instanceRef blk0000025f))
+ (portRef C (instanceRef blk00000260))
+ (portRef C (instanceRef blk00000261))
+ (portRef C (instanceRef blk00000262))
+ (portRef C (instanceRef blk00000263))
+ (portRef C (instanceRef blk00000264))
+ (portRef C (instanceRef blk00000265))
+ (portRef C (instanceRef blk00000266))
+ (portRef C (instanceRef blk00000267))
+ (portRef C (instanceRef blk00000268))
+ (portRef C (instanceRef blk00000269))
+ (portRef C (instanceRef blk0000026a))
+ (portRef C (instanceRef blk0000026b))
+ (portRef CLK (instanceRef blk0000026c))
+ (portRef CLK (instanceRef blk0000026d))
+ (portRef CLK (instanceRef blk0000026e))
+ (portRef CLK (instanceRef blk0000026f))
+ (portRef CLK (instanceRef blk00000270))
+ (portRef CLK (instanceRef blk00000271))
+ (portRef CLK (instanceRef blk00000272))
+ (portRef CLK (instanceRef blk00000273))
+ (portRef CLK (instanceRef blk00000274))
+ (portRef CLK (instanceRef blk00000275))
+ (portRef CLK (instanceRef blk00000276))
+ (portRef CLK (instanceRef blk00000277))
+ (portRef CLK (instanceRef blk00000278))
+ (portRef CLK (instanceRef blk00000279))
+ (portRef CLK (instanceRef blk0000027a))
+ (portRef CLK (instanceRef blk0000027b))
+ (portRef CLK (instanceRef blk0000027c))
+ (portRef CLK (instanceRef blk0000027d))
+ (portRef CLK (instanceRef blk0000027e))
+ (portRef CLK (instanceRef blk0000027f))
+ (portRef CLK (instanceRef blk00000280))
+ (portRef CLK (instanceRef blk00000281))
+ (portRef CLK (instanceRef blk00000282))
+ (portRef CLK (instanceRef blk00000283))
+ )
+ )
+ (net sig00000e1a
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000026b))
+ )
+ )
+ (net sig00000e1b
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000026a))
+ )
+ )
+ (net sig00000e1c
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000269))
+ )
+ )
+ (net sig00000e1d
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000268))
+ )
+ )
+ (net sig00000e1e
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000267))
+ )
+ )
+ (net sig00000e1f
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000266))
+ )
+ )
+ (net sig00000e20
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000265))
+ )
+ )
+ (net sig00000e21
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000264))
+ )
+ )
+ (net sig00000e22
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000263))
+ )
+ )
+ (net sig00000e23
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000262))
+ )
+ )
+ (net sig00000e24
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000261))
+ )
+ )
+ (net sig00000e25
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000260))
+ )
+ )
+ (net sig00000e26
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000025f))
+ )
+ )
+ (net sig00000e27
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000025e))
+ )
+ )
+ (net sig00000e28
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000025d))
+ )
+ )
+ (net sig00000e29
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000025c))
+ )
+ )
+ (net sig00000e2a
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000025b))
+ )
+ )
+ (net sig00000e2b
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000025a))
+ )
+ )
+ (net sig00000e2c
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000259))
+ )
+ )
+ (net sig00000e2d
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000258))
+ )
+ )
+ (net sig00000e2e
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000257))
+ )
+ )
+ (net sig00000e2f
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000256))
+ )
+ )
+ (net sig00000e30
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000255))
+ )
+ )
+ (net sig00000e31
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000254))
+ )
+ )
+ (net sig00000e32
+ (joined
+ (portRef G (instanceRef blk00000253))
+ (portRef A1 (instanceRef blk0000026c))
+ (portRef A2 (instanceRef blk0000026c))
+ (portRef A3 (instanceRef blk0000026c))
+ (portRef A1 (instanceRef blk0000026d))
+ (portRef A2 (instanceRef blk0000026d))
+ (portRef A3 (instanceRef blk0000026d))
+ (portRef A1 (instanceRef blk0000026e))
+ (portRef A2 (instanceRef blk0000026e))
+ (portRef A3 (instanceRef blk0000026e))
+ (portRef A1 (instanceRef blk0000026f))
+ (portRef A2 (instanceRef blk0000026f))
+ (portRef A3 (instanceRef blk0000026f))
+ (portRef A1 (instanceRef blk00000270))
+ (portRef A2 (instanceRef blk00000270))
+ (portRef A3 (instanceRef blk00000270))
+ (portRef A1 (instanceRef blk00000271))
+ (portRef A2 (instanceRef blk00000271))
+ (portRef A3 (instanceRef blk00000271))
+ (portRef A1 (instanceRef blk00000272))
+ (portRef A2 (instanceRef blk00000272))
+ (portRef A3 (instanceRef blk00000272))
+ (portRef A1 (instanceRef blk00000273))
+ (portRef A2 (instanceRef blk00000273))
+ (portRef A3 (instanceRef blk00000273))
+ (portRef A1 (instanceRef blk00000274))
+ (portRef A2 (instanceRef blk00000274))
+ (portRef A3 (instanceRef blk00000274))
+ (portRef A1 (instanceRef blk00000275))
+ (portRef A2 (instanceRef blk00000275))
+ (portRef A3 (instanceRef blk00000275))
+ (portRef A1 (instanceRef blk00000276))
+ (portRef A2 (instanceRef blk00000276))
+ (portRef A3 (instanceRef blk00000276))
+ (portRef A1 (instanceRef blk00000277))
+ (portRef A2 (instanceRef blk00000277))
+ (portRef A3 (instanceRef blk00000277))
+ (portRef A1 (instanceRef blk00000278))
+ (portRef A2 (instanceRef blk00000278))
+ (portRef A3 (instanceRef blk00000278))
+ (portRef A1 (instanceRef blk00000279))
+ (portRef A2 (instanceRef blk00000279))
+ (portRef A3 (instanceRef blk00000279))
+ (portRef A1 (instanceRef blk0000027a))
+ (portRef A2 (instanceRef blk0000027a))
+ (portRef A3 (instanceRef blk0000027a))
+ (portRef A1 (instanceRef blk0000027b))
+ (portRef A2 (instanceRef blk0000027b))
+ (portRef A3 (instanceRef blk0000027b))
+ (portRef A1 (instanceRef blk0000027c))
+ (portRef A2 (instanceRef blk0000027c))
+ (portRef A3 (instanceRef blk0000027c))
+ (portRef A1 (instanceRef blk0000027d))
+ (portRef A2 (instanceRef blk0000027d))
+ (portRef A3 (instanceRef blk0000027d))
+ (portRef A1 (instanceRef blk0000027e))
+ (portRef A2 (instanceRef blk0000027e))
+ (portRef A3 (instanceRef blk0000027e))
+ (portRef A1 (instanceRef blk0000027f))
+ (portRef A2 (instanceRef blk0000027f))
+ (portRef A3 (instanceRef blk0000027f))
+ (portRef A1 (instanceRef blk00000280))
+ (portRef A2 (instanceRef blk00000280))
+ (portRef A3 (instanceRef blk00000280))
+ (portRef A1 (instanceRef blk00000281))
+ (portRef A2 (instanceRef blk00000281))
+ (portRef A3 (instanceRef blk00000281))
+ (portRef A1 (instanceRef blk00000282))
+ (portRef A2 (instanceRef blk00000282))
+ (portRef A3 (instanceRef blk00000282))
+ (portRef A1 (instanceRef blk00000283))
+ (portRef A2 (instanceRef blk00000283))
+ (portRef A3 (instanceRef blk00000283))
+ )
+ )
+ (net sig00000e33
+ (joined
+ (portRef D (instanceRef blk00000254))
+ (portRef Q (instanceRef blk0000026d))
+ )
+ )
+ (net sig00000e34
+ (joined
+ (portRef D (instanceRef blk00000255))
+ (portRef Q (instanceRef blk0000026e))
+ )
+ )
+ (net sig00000e35
+ (joined
+ (portRef D (instanceRef blk00000256))
+ (portRef Q (instanceRef blk0000026c))
+ )
+ )
+ (net sig00000e36
+ (joined
+ (portRef D (instanceRef blk00000257))
+ (portRef Q (instanceRef blk00000270))
+ )
+ )
+ (net sig00000e37
+ (joined
+ (portRef D (instanceRef blk00000258))
+ (portRef Q (instanceRef blk00000271))
+ )
+ )
+ (net sig00000e38
+ (joined
+ (portRef D (instanceRef blk00000259))
+ (portRef Q (instanceRef blk0000026f))
+ )
+ )
+ (net sig00000e39
+ (joined
+ (portRef D (instanceRef blk0000025a))
+ (portRef Q (instanceRef blk00000273))
+ )
+ )
+ (net sig00000e3a
+ (joined
+ (portRef D (instanceRef blk0000025b))
+ (portRef Q (instanceRef blk00000274))
+ )
+ )
+ (net sig00000e3b
+ (joined
+ (portRef D (instanceRef blk0000025c))
+ (portRef Q (instanceRef blk00000272))
+ )
+ )
+ (net sig00000e3c
+ (joined
+ (portRef D (instanceRef blk0000025d))
+ (portRef Q (instanceRef blk00000276))
+ )
+ )
+ (net sig00000e3d
+ (joined
+ (portRef D (instanceRef blk0000025e))
+ (portRef Q (instanceRef blk00000277))
+ )
+ )
+ (net sig00000e3e
+ (joined
+ (portRef D (instanceRef blk0000025f))
+ (portRef Q (instanceRef blk00000275))
+ )
+ )
+ (net sig00000e3f
+ (joined
+ (portRef D (instanceRef blk00000260))
+ (portRef Q (instanceRef blk00000279))
+ )
+ )
+ (net sig00000e40
+ (joined
+ (portRef D (instanceRef blk00000261))
+ (portRef Q (instanceRef blk0000027a))
+ )
+ )
+ (net sig00000e41
+ (joined
+ (portRef D (instanceRef blk00000262))
+ (portRef Q (instanceRef blk00000278))
+ )
+ )
+ (net sig00000e42
+ (joined
+ (portRef D (instanceRef blk00000263))
+ (portRef Q (instanceRef blk0000027c))
+ )
+ )
+ (net sig00000e43
+ (joined
+ (portRef D (instanceRef blk00000264))
+ (portRef Q (instanceRef blk0000027d))
+ )
+ )
+ (net sig00000e44
+ (joined
+ (portRef D (instanceRef blk00000265))
+ (portRef Q (instanceRef blk0000027b))
+ )
+ )
+ (net sig00000e45
+ (joined
+ (portRef D (instanceRef blk00000266))
+ (portRef Q (instanceRef blk0000027f))
+ )
+ )
+ (net sig00000e46
+ (joined
+ (portRef D (instanceRef blk00000267))
+ (portRef Q (instanceRef blk00000280))
+ )
+ )
+ (net sig00000e47
+ (joined
+ (portRef D (instanceRef blk00000268))
+ (portRef Q (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000e48
+ (joined
+ (portRef D (instanceRef blk00000269))
+ (portRef Q (instanceRef blk00000282))
+ )
+ )
+ (net sig00000e49
+ (joined
+ (portRef D (instanceRef blk0000026a))
+ (portRef Q (instanceRef blk00000283))
+ )
+ )
+ (net sig00000e4a
+ (joined
+ (portRef D (instanceRef blk0000026b))
+ (portRef Q (instanceRef blk00000281))
+ )
+ )
+ (net sig00000e4b
+ (joined
+ (portRef CE (instanceRef blk0000026c))
+ (portRef CE (instanceRef blk0000026d))
+ (portRef CE (instanceRef blk0000026e))
+ (portRef CE (instanceRef blk0000026f))
+ (portRef CE (instanceRef blk00000270))
+ (portRef CE (instanceRef blk00000271))
+ (portRef CE (instanceRef blk00000272))
+ (portRef CE (instanceRef blk00000273))
+ (portRef CE (instanceRef blk00000274))
+ (portRef CE (instanceRef blk00000275))
+ (portRef CE (instanceRef blk00000276))
+ (portRef CE (instanceRef blk00000277))
+ (portRef CE (instanceRef blk00000278))
+ (portRef CE (instanceRef blk00000279))
+ (portRef CE (instanceRef blk0000027a))
+ (portRef CE (instanceRef blk0000027b))
+ (portRef CE (instanceRef blk0000027c))
+ (portRef CE (instanceRef blk0000027d))
+ (portRef CE (instanceRef blk0000027e))
+ (portRef CE (instanceRef blk0000027f))
+ (portRef CE (instanceRef blk00000280))
+ (portRef CE (instanceRef blk00000281))
+ (portRef CE (instanceRef blk00000282))
+ (portRef CE (instanceRef blk00000283))
+ (portRef O (instanceRef blk00000284))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO5_blk0000021f "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000220
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000221
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000222
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000223
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000224
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000225
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000226
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000227
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000228
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000229
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000230
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000231
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000232
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000233
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000234
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000235
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000236
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000237
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000238
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000239
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000240
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000241
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000242
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000243
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000244
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000245
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000246
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000247
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000248
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000249
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000250
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000251
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000db0
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000239))
+ (portRef A0 (instanceRef blk0000023a))
+ (portRef A0 (instanceRef blk0000023b))
+ (portRef A0 (instanceRef blk0000023c))
+ (portRef A0 (instanceRef blk0000023d))
+ (portRef A0 (instanceRef blk0000023e))
+ (portRef A0 (instanceRef blk0000023f))
+ (portRef A0 (instanceRef blk00000240))
+ (portRef A0 (instanceRef blk00000241))
+ (portRef A0 (instanceRef blk00000242))
+ (portRef A0 (instanceRef blk00000243))
+ (portRef A0 (instanceRef blk00000244))
+ (portRef A0 (instanceRef blk00000245))
+ (portRef A0 (instanceRef blk00000246))
+ (portRef A0 (instanceRef blk00000247))
+ (portRef A0 (instanceRef blk00000248))
+ (portRef A0 (instanceRef blk00000249))
+ (portRef A0 (instanceRef blk0000024a))
+ (portRef A0 (instanceRef blk0000024b))
+ (portRef A0 (instanceRef blk0000024c))
+ (portRef A0 (instanceRef blk0000024d))
+ (portRef A0 (instanceRef blk0000024e))
+ (portRef A0 (instanceRef blk0000024f))
+ (portRef A0 (instanceRef blk00000250))
+ )
+ )
+ (net sig00000db1
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000024e))
+ )
+ )
+ (net sig00000db2
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000250))
+ )
+ )
+ (net sig00000db3
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000024f))
+ )
+ )
+ (net sig00000db4
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000db5
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000024d))
+ )
+ )
+ (net sig00000db6
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000024c))
+ )
+ )
+ (net sig00000db7
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000248))
+ )
+ )
+ (net sig00000db8
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000024a))
+ )
+ )
+ (net sig00000db9
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000249))
+ )
+ )
+ (net sig00000dba
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000245))
+ )
+ )
+ (net sig00000dbb
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000247))
+ )
+ )
+ (net sig00000dbc
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000246))
+ )
+ )
+ (net sig00000dbd
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000242))
+ )
+ )
+ (net sig00000dbe
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000244))
+ )
+ )
+ (net sig00000dbf
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000243))
+ )
+ )
+ (net sig00000dc0
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000023f))
+ )
+ )
+ (net sig00000dc1
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000241))
+ )
+ )
+ (net sig00000dc2
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000240))
+ )
+ )
+ (net sig00000dc3
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000023c))
+ )
+ )
+ (net sig00000dc4
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000023e))
+ )
+ )
+ (net sig00000dc5
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000023d))
+ )
+ )
+ (net sig00000dc6
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000239))
+ )
+ )
+ (net sig00000dc7
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000023b))
+ )
+ )
+ (net sig00000dc8
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000023a))
+ )
+ )
+ (net sig00000dc9
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000251))
+ )
+ )
+ (net sig00000dca
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000221))
+ (portRef CE (instanceRef blk00000222))
+ (portRef CE (instanceRef blk00000223))
+ (portRef CE (instanceRef blk00000224))
+ (portRef CE (instanceRef blk00000225))
+ (portRef CE (instanceRef blk00000226))
+ (portRef CE (instanceRef blk00000227))
+ (portRef CE (instanceRef blk00000228))
+ (portRef CE (instanceRef blk00000229))
+ (portRef CE (instanceRef blk0000022a))
+ (portRef CE (instanceRef blk0000022b))
+ (portRef CE (instanceRef blk0000022c))
+ (portRef CE (instanceRef blk0000022d))
+ (portRef CE (instanceRef blk0000022e))
+ (portRef CE (instanceRef blk0000022f))
+ (portRef CE (instanceRef blk00000230))
+ (portRef CE (instanceRef blk00000231))
+ (portRef CE (instanceRef blk00000232))
+ (portRef CE (instanceRef blk00000233))
+ (portRef CE (instanceRef blk00000234))
+ (portRef CE (instanceRef blk00000235))
+ (portRef CE (instanceRef blk00000236))
+ (portRef CE (instanceRef blk00000237))
+ (portRef CE (instanceRef blk00000238))
+ (portRef I0 (instanceRef blk00000251))
+ )
+ )
+ (net sig00000dcb
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000221))
+ (portRef C (instanceRef blk00000222))
+ (portRef C (instanceRef blk00000223))
+ (portRef C (instanceRef blk00000224))
+ (portRef C (instanceRef blk00000225))
+ (portRef C (instanceRef blk00000226))
+ (portRef C (instanceRef blk00000227))
+ (portRef C (instanceRef blk00000228))
+ (portRef C (instanceRef blk00000229))
+ (portRef C (instanceRef blk0000022a))
+ (portRef C (instanceRef blk0000022b))
+ (portRef C (instanceRef blk0000022c))
+ (portRef C (instanceRef blk0000022d))
+ (portRef C (instanceRef blk0000022e))
+ (portRef C (instanceRef blk0000022f))
+ (portRef C (instanceRef blk00000230))
+ (portRef C (instanceRef blk00000231))
+ (portRef C (instanceRef blk00000232))
+ (portRef C (instanceRef blk00000233))
+ (portRef C (instanceRef blk00000234))
+ (portRef C (instanceRef blk00000235))
+ (portRef C (instanceRef blk00000236))
+ (portRef C (instanceRef blk00000237))
+ (portRef C (instanceRef blk00000238))
+ (portRef CLK (instanceRef blk00000239))
+ (portRef CLK (instanceRef blk0000023a))
+ (portRef CLK (instanceRef blk0000023b))
+ (portRef CLK (instanceRef blk0000023c))
+ (portRef CLK (instanceRef blk0000023d))
+ (portRef CLK (instanceRef blk0000023e))
+ (portRef CLK (instanceRef blk0000023f))
+ (portRef CLK (instanceRef blk00000240))
+ (portRef CLK (instanceRef blk00000241))
+ (portRef CLK (instanceRef blk00000242))
+ (portRef CLK (instanceRef blk00000243))
+ (portRef CLK (instanceRef blk00000244))
+ (portRef CLK (instanceRef blk00000245))
+ (portRef CLK (instanceRef blk00000246))
+ (portRef CLK (instanceRef blk00000247))
+ (portRef CLK (instanceRef blk00000248))
+ (portRef CLK (instanceRef blk00000249))
+ (portRef CLK (instanceRef blk0000024a))
+ (portRef CLK (instanceRef blk0000024b))
+ (portRef CLK (instanceRef blk0000024c))
+ (portRef CLK (instanceRef blk0000024d))
+ (portRef CLK (instanceRef blk0000024e))
+ (portRef CLK (instanceRef blk0000024f))
+ (portRef CLK (instanceRef blk00000250))
+ )
+ )
+ (net sig00000dcc
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000238))
+ )
+ )
+ (net sig00000dcd
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000237))
+ )
+ )
+ (net sig00000dce
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000236))
+ )
+ )
+ (net sig00000dcf
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000235))
+ )
+ )
+ (net sig00000dd0
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000234))
+ )
+ )
+ (net sig00000dd1
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000233))
+ )
+ )
+ (net sig00000dd2
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000232))
+ )
+ )
+ (net sig00000dd3
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000231))
+ )
+ )
+ (net sig00000dd4
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000230))
+ )
+ )
+ (net sig00000dd5
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000022f))
+ )
+ )
+ (net sig00000dd6
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000022e))
+ )
+ )
+ (net sig00000dd7
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000022d))
+ )
+ )
+ (net sig00000dd8
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000022c))
+ )
+ )
+ (net sig00000dd9
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000022b))
+ )
+ )
+ (net sig00000dda
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000022a))
+ )
+ )
+ (net sig00000ddb
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000229))
+ )
+ )
+ (net sig00000ddc
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000228))
+ )
+ )
+ (net sig00000ddd
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000227))
+ )
+ )
+ (net sig00000dde
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000226))
+ )
+ )
+ (net sig00000ddf
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000225))
+ )
+ )
+ (net sig00000de0
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000224))
+ )
+ )
+ (net sig00000de1
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000223))
+ )
+ )
+ (net sig00000de2
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000222))
+ )
+ )
+ (net sig00000de3
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000221))
+ )
+ )
+ (net sig00000de4
+ (joined
+ (portRef G (instanceRef blk00000220))
+ (portRef A1 (instanceRef blk00000239))
+ (portRef A2 (instanceRef blk00000239))
+ (portRef A3 (instanceRef blk00000239))
+ (portRef A1 (instanceRef blk0000023a))
+ (portRef A2 (instanceRef blk0000023a))
+ (portRef A3 (instanceRef blk0000023a))
+ (portRef A1 (instanceRef blk0000023b))
+ (portRef A2 (instanceRef blk0000023b))
+ (portRef A3 (instanceRef blk0000023b))
+ (portRef A1 (instanceRef blk0000023c))
+ (portRef A2 (instanceRef blk0000023c))
+ (portRef A3 (instanceRef blk0000023c))
+ (portRef A1 (instanceRef blk0000023d))
+ (portRef A2 (instanceRef blk0000023d))
+ (portRef A3 (instanceRef blk0000023d))
+ (portRef A1 (instanceRef blk0000023e))
+ (portRef A2 (instanceRef blk0000023e))
+ (portRef A3 (instanceRef blk0000023e))
+ (portRef A1 (instanceRef blk0000023f))
+ (portRef A2 (instanceRef blk0000023f))
+ (portRef A3 (instanceRef blk0000023f))
+ (portRef A1 (instanceRef blk00000240))
+ (portRef A2 (instanceRef blk00000240))
+ (portRef A3 (instanceRef blk00000240))
+ (portRef A1 (instanceRef blk00000241))
+ (portRef A2 (instanceRef blk00000241))
+ (portRef A3 (instanceRef blk00000241))
+ (portRef A1 (instanceRef blk00000242))
+ (portRef A2 (instanceRef blk00000242))
+ (portRef A3 (instanceRef blk00000242))
+ (portRef A1 (instanceRef blk00000243))
+ (portRef A2 (instanceRef blk00000243))
+ (portRef A3 (instanceRef blk00000243))
+ (portRef A1 (instanceRef blk00000244))
+ (portRef A2 (instanceRef blk00000244))
+ (portRef A3 (instanceRef blk00000244))
+ (portRef A1 (instanceRef blk00000245))
+ (portRef A2 (instanceRef blk00000245))
+ (portRef A3 (instanceRef blk00000245))
+ (portRef A1 (instanceRef blk00000246))
+ (portRef A2 (instanceRef blk00000246))
+ (portRef A3 (instanceRef blk00000246))
+ (portRef A1 (instanceRef blk00000247))
+ (portRef A2 (instanceRef blk00000247))
+ (portRef A3 (instanceRef blk00000247))
+ (portRef A1 (instanceRef blk00000248))
+ (portRef A2 (instanceRef blk00000248))
+ (portRef A3 (instanceRef blk00000248))
+ (portRef A1 (instanceRef blk00000249))
+ (portRef A2 (instanceRef blk00000249))
+ (portRef A3 (instanceRef blk00000249))
+ (portRef A1 (instanceRef blk0000024a))
+ (portRef A2 (instanceRef blk0000024a))
+ (portRef A3 (instanceRef blk0000024a))
+ (portRef A1 (instanceRef blk0000024b))
+ (portRef A2 (instanceRef blk0000024b))
+ (portRef A3 (instanceRef blk0000024b))
+ (portRef A1 (instanceRef blk0000024c))
+ (portRef A2 (instanceRef blk0000024c))
+ (portRef A3 (instanceRef blk0000024c))
+ (portRef A1 (instanceRef blk0000024d))
+ (portRef A2 (instanceRef blk0000024d))
+ (portRef A3 (instanceRef blk0000024d))
+ (portRef A1 (instanceRef blk0000024e))
+ (portRef A2 (instanceRef blk0000024e))
+ (portRef A3 (instanceRef blk0000024e))
+ (portRef A1 (instanceRef blk0000024f))
+ (portRef A2 (instanceRef blk0000024f))
+ (portRef A3 (instanceRef blk0000024f))
+ (portRef A1 (instanceRef blk00000250))
+ (portRef A2 (instanceRef blk00000250))
+ (portRef A3 (instanceRef blk00000250))
+ )
+ )
+ (net sig00000de5
+ (joined
+ (portRef D (instanceRef blk00000221))
+ (portRef Q (instanceRef blk0000023a))
+ )
+ )
+ (net sig00000de6
+ (joined
+ (portRef D (instanceRef blk00000222))
+ (portRef Q (instanceRef blk0000023b))
+ )
+ )
+ (net sig00000de7
+ (joined
+ (portRef D (instanceRef blk00000223))
+ (portRef Q (instanceRef blk00000239))
+ )
+ )
+ (net sig00000de8
+ (joined
+ (portRef D (instanceRef blk00000224))
+ (portRef Q (instanceRef blk0000023d))
+ )
+ )
+ (net sig00000de9
+ (joined
+ (portRef D (instanceRef blk00000225))
+ (portRef Q (instanceRef blk0000023e))
+ )
+ )
+ (net sig00000dea
+ (joined
+ (portRef D (instanceRef blk00000226))
+ (portRef Q (instanceRef blk0000023c))
+ )
+ )
+ (net sig00000deb
+ (joined
+ (portRef D (instanceRef blk00000227))
+ (portRef Q (instanceRef blk00000240))
+ )
+ )
+ (net sig00000dec
+ (joined
+ (portRef D (instanceRef blk00000228))
+ (portRef Q (instanceRef blk00000241))
+ )
+ )
+ (net sig00000ded
+ (joined
+ (portRef D (instanceRef blk00000229))
+ (portRef Q (instanceRef blk0000023f))
+ )
+ )
+ (net sig00000dee
+ (joined
+ (portRef D (instanceRef blk0000022a))
+ (portRef Q (instanceRef blk00000243))
+ )
+ )
+ (net sig00000def
+ (joined
+ (portRef D (instanceRef blk0000022b))
+ (portRef Q (instanceRef blk00000244))
+ )
+ )
+ (net sig00000df0
+ (joined
+ (portRef D (instanceRef blk0000022c))
+ (portRef Q (instanceRef blk00000242))
+ )
+ )
+ (net sig00000df1
+ (joined
+ (portRef D (instanceRef blk0000022d))
+ (portRef Q (instanceRef blk00000246))
+ )
+ )
+ (net sig00000df2
+ (joined
+ (portRef D (instanceRef blk0000022e))
+ (portRef Q (instanceRef blk00000247))
+ )
+ )
+ (net sig00000df3
+ (joined
+ (portRef D (instanceRef blk0000022f))
+ (portRef Q (instanceRef blk00000245))
+ )
+ )
+ (net sig00000df4
+ (joined
+ (portRef D (instanceRef blk00000230))
+ (portRef Q (instanceRef blk00000249))
+ )
+ )
+ (net sig00000df5
+ (joined
+ (portRef D (instanceRef blk00000231))
+ (portRef Q (instanceRef blk0000024a))
+ )
+ )
+ (net sig00000df6
+ (joined
+ (portRef D (instanceRef blk00000232))
+ (portRef Q (instanceRef blk00000248))
+ )
+ )
+ (net sig00000df7
+ (joined
+ (portRef D (instanceRef blk00000233))
+ (portRef Q (instanceRef blk0000024c))
+ )
+ )
+ (net sig00000df8
+ (joined
+ (portRef D (instanceRef blk00000234))
+ (portRef Q (instanceRef blk0000024d))
+ )
+ )
+ (net sig00000df9
+ (joined
+ (portRef D (instanceRef blk00000235))
+ (portRef Q (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000dfa
+ (joined
+ (portRef D (instanceRef blk00000236))
+ (portRef Q (instanceRef blk0000024f))
+ )
+ )
+ (net sig00000dfb
+ (joined
+ (portRef D (instanceRef blk00000237))
+ (portRef Q (instanceRef blk00000250))
+ )
+ )
+ (net sig00000dfc
+ (joined
+ (portRef D (instanceRef blk00000238))
+ (portRef Q (instanceRef blk0000024e))
+ )
+ )
+ (net sig00000dfd
+ (joined
+ (portRef CE (instanceRef blk00000239))
+ (portRef CE (instanceRef blk0000023a))
+ (portRef CE (instanceRef blk0000023b))
+ (portRef CE (instanceRef blk0000023c))
+ (portRef CE (instanceRef blk0000023d))
+ (portRef CE (instanceRef blk0000023e))
+ (portRef CE (instanceRef blk0000023f))
+ (portRef CE (instanceRef blk00000240))
+ (portRef CE (instanceRef blk00000241))
+ (portRef CE (instanceRef blk00000242))
+ (portRef CE (instanceRef blk00000243))
+ (portRef CE (instanceRef blk00000244))
+ (portRef CE (instanceRef blk00000245))
+ (portRef CE (instanceRef blk00000246))
+ (portRef CE (instanceRef blk00000247))
+ (portRef CE (instanceRef blk00000248))
+ (portRef CE (instanceRef blk00000249))
+ (portRef CE (instanceRef blk0000024a))
+ (portRef CE (instanceRef blk0000024b))
+ (portRef CE (instanceRef blk0000024c))
+ (portRef CE (instanceRef blk0000024d))
+ (portRef CE (instanceRef blk0000024e))
+ (portRef CE (instanceRef blk0000024f))
+ (portRef CE (instanceRef blk00000250))
+ (portRef O (instanceRef blk00000251))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO4_blk000001ec "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000001ed
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000001ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fe
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000200
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000201
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000202
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000203
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000204
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000205
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000206
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000207
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000208
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000209
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000210
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000211
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000212
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000213
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000214
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000215
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000216
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000217
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000218
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000219
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000021a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000021b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000021c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000021d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000021e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000d62
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk00000206))
+ (portRef A0 (instanceRef blk00000207))
+ (portRef A0 (instanceRef blk00000208))
+ (portRef A0 (instanceRef blk00000209))
+ (portRef A0 (instanceRef blk0000020a))
+ (portRef A0 (instanceRef blk0000020b))
+ (portRef A0 (instanceRef blk0000020c))
+ (portRef A0 (instanceRef blk0000020d))
+ (portRef A0 (instanceRef blk0000020e))
+ (portRef A0 (instanceRef blk0000020f))
+ (portRef A0 (instanceRef blk00000210))
+ (portRef A0 (instanceRef blk00000211))
+ (portRef A0 (instanceRef blk00000212))
+ (portRef A0 (instanceRef blk00000213))
+ (portRef A0 (instanceRef blk00000214))
+ (portRef A0 (instanceRef blk00000215))
+ (portRef A0 (instanceRef blk00000216))
+ (portRef A0 (instanceRef blk00000217))
+ (portRef A0 (instanceRef blk00000218))
+ (portRef A0 (instanceRef blk00000219))
+ (portRef A0 (instanceRef blk0000021a))
+ (portRef A0 (instanceRef blk0000021b))
+ (portRef A0 (instanceRef blk0000021c))
+ (portRef A0 (instanceRef blk0000021d))
+ )
+ )
+ (net sig00000d63
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000021b))
+ )
+ )
+ (net sig00000d64
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000021d))
+ )
+ )
+ (net sig00000d65
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000021c))
+ )
+ )
+ (net sig00000d66
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000218))
+ )
+ )
+ (net sig00000d67
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000021a))
+ )
+ )
+ (net sig00000d68
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000219))
+ )
+ )
+ (net sig00000d69
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000215))
+ )
+ )
+ (net sig00000d6a
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000217))
+ )
+ )
+ (net sig00000d6b
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000216))
+ )
+ )
+ (net sig00000d6c
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000212))
+ )
+ )
+ (net sig00000d6d
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000214))
+ )
+ )
+ (net sig00000d6e
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000213))
+ )
+ )
+ (net sig00000d6f
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000020f))
+ )
+ )
+ (net sig00000d70
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000211))
+ )
+ )
+ (net sig00000d71
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000210))
+ )
+ )
+ (net sig00000d72
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000020c))
+ )
+ )
+ (net sig00000d73
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000020e))
+ )
+ )
+ (net sig00000d74
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000020d))
+ )
+ )
+ (net sig00000d75
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000209))
+ )
+ )
+ (net sig00000d76
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000020b))
+ )
+ )
+ (net sig00000d77
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000020a))
+ )
+ )
+ (net sig00000d78
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000206))
+ )
+ )
+ (net sig00000d79
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000208))
+ )
+ )
+ (net sig00000d7a
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000207))
+ )
+ )
+ (net sig00000d7b
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000021e))
+ )
+ )
+ (net sig00000d7c
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000001ee))
+ (portRef CE (instanceRef blk000001ef))
+ (portRef CE (instanceRef blk000001f0))
+ (portRef CE (instanceRef blk000001f1))
+ (portRef CE (instanceRef blk000001f2))
+ (portRef CE (instanceRef blk000001f3))
+ (portRef CE (instanceRef blk000001f4))
+ (portRef CE (instanceRef blk000001f5))
+ (portRef CE (instanceRef blk000001f6))
+ (portRef CE (instanceRef blk000001f7))
+ (portRef CE (instanceRef blk000001f8))
+ (portRef CE (instanceRef blk000001f9))
+ (portRef CE (instanceRef blk000001fa))
+ (portRef CE (instanceRef blk000001fb))
+ (portRef CE (instanceRef blk000001fc))
+ (portRef CE (instanceRef blk000001fd))
+ (portRef CE (instanceRef blk000001fe))
+ (portRef CE (instanceRef blk000001ff))
+ (portRef CE (instanceRef blk00000200))
+ (portRef CE (instanceRef blk00000201))
+ (portRef CE (instanceRef blk00000202))
+ (portRef CE (instanceRef blk00000203))
+ (portRef CE (instanceRef blk00000204))
+ (portRef CE (instanceRef blk00000205))
+ (portRef I0 (instanceRef blk0000021e))
+ )
+ )
+ (net sig00000d7d
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000001ee))
+ (portRef C (instanceRef blk000001ef))
+ (portRef C (instanceRef blk000001f0))
+ (portRef C (instanceRef blk000001f1))
+ (portRef C (instanceRef blk000001f2))
+ (portRef C (instanceRef blk000001f3))
+ (portRef C (instanceRef blk000001f4))
+ (portRef C (instanceRef blk000001f5))
+ (portRef C (instanceRef blk000001f6))
+ (portRef C (instanceRef blk000001f7))
+ (portRef C (instanceRef blk000001f8))
+ (portRef C (instanceRef blk000001f9))
+ (portRef C (instanceRef blk000001fa))
+ (portRef C (instanceRef blk000001fb))
+ (portRef C (instanceRef blk000001fc))
+ (portRef C (instanceRef blk000001fd))
+ (portRef C (instanceRef blk000001fe))
+ (portRef C (instanceRef blk000001ff))
+ (portRef C (instanceRef blk00000200))
+ (portRef C (instanceRef blk00000201))
+ (portRef C (instanceRef blk00000202))
+ (portRef C (instanceRef blk00000203))
+ (portRef C (instanceRef blk00000204))
+ (portRef C (instanceRef blk00000205))
+ (portRef CLK (instanceRef blk00000206))
+ (portRef CLK (instanceRef blk00000207))
+ (portRef CLK (instanceRef blk00000208))
+ (portRef CLK (instanceRef blk00000209))
+ (portRef CLK (instanceRef blk0000020a))
+ (portRef CLK (instanceRef blk0000020b))
+ (portRef CLK (instanceRef blk0000020c))
+ (portRef CLK (instanceRef blk0000020d))
+ (portRef CLK (instanceRef blk0000020e))
+ (portRef CLK (instanceRef blk0000020f))
+ (portRef CLK (instanceRef blk00000210))
+ (portRef CLK (instanceRef blk00000211))
+ (portRef CLK (instanceRef blk00000212))
+ (portRef CLK (instanceRef blk00000213))
+ (portRef CLK (instanceRef blk00000214))
+ (portRef CLK (instanceRef blk00000215))
+ (portRef CLK (instanceRef blk00000216))
+ (portRef CLK (instanceRef blk00000217))
+ (portRef CLK (instanceRef blk00000218))
+ (portRef CLK (instanceRef blk00000219))
+ (portRef CLK (instanceRef blk0000021a))
+ (portRef CLK (instanceRef blk0000021b))
+ (portRef CLK (instanceRef blk0000021c))
+ (portRef CLK (instanceRef blk0000021d))
+ )
+ )
+ (net sig00000d7e
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000205))
+ )
+ )
+ (net sig00000d7f
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000204))
+ )
+ )
+ (net sig00000d80
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000203))
+ )
+ )
+ (net sig00000d81
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000202))
+ )
+ )
+ (net sig00000d82
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000201))
+ )
+ )
+ (net sig00000d83
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000200))
+ )
+ )
+ (net sig00000d84
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000001ff))
+ )
+ )
+ (net sig00000d85
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000001fe))
+ )
+ )
+ (net sig00000d86
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000001fd))
+ )
+ )
+ (net sig00000d87
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000001fc))
+ )
+ )
+ (net sig00000d88
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000001fb))
+ )
+ )
+ (net sig00000d89
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000001fa))
+ )
+ )
+ (net sig00000d8a
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000001f9))
+ )
+ )
+ (net sig00000d8b
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000001f8))
+ )
+ )
+ (net sig00000d8c
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000001f7))
+ )
+ )
+ (net sig00000d8d
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000001f6))
+ )
+ )
+ (net sig00000d8e
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000001f5))
+ )
+ )
+ (net sig00000d8f
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000001f4))
+ )
+ )
+ (net sig00000d90
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000001f3))
+ )
+ )
+ (net sig00000d91
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000001f2))
+ )
+ )
+ (net sig00000d92
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000001f1))
+ )
+ )
+ (net sig00000d93
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000001f0))
+ )
+ )
+ (net sig00000d94
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000001ef))
+ )
+ )
+ (net sig00000d95
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000001ee))
+ )
+ )
+ (net sig00000d96
+ (joined
+ (portRef G (instanceRef blk000001ed))
+ (portRef A1 (instanceRef blk00000206))
+ (portRef A2 (instanceRef blk00000206))
+ (portRef A3 (instanceRef blk00000206))
+ (portRef A1 (instanceRef blk00000207))
+ (portRef A2 (instanceRef blk00000207))
+ (portRef A3 (instanceRef blk00000207))
+ (portRef A1 (instanceRef blk00000208))
+ (portRef A2 (instanceRef blk00000208))
+ (portRef A3 (instanceRef blk00000208))
+ (portRef A1 (instanceRef blk00000209))
+ (portRef A2 (instanceRef blk00000209))
+ (portRef A3 (instanceRef blk00000209))
+ (portRef A1 (instanceRef blk0000020a))
+ (portRef A2 (instanceRef blk0000020a))
+ (portRef A3 (instanceRef blk0000020a))
+ (portRef A1 (instanceRef blk0000020b))
+ (portRef A2 (instanceRef blk0000020b))
+ (portRef A3 (instanceRef blk0000020b))
+ (portRef A1 (instanceRef blk0000020c))
+ (portRef A2 (instanceRef blk0000020c))
+ (portRef A3 (instanceRef blk0000020c))
+ (portRef A1 (instanceRef blk0000020d))
+ (portRef A2 (instanceRef blk0000020d))
+ (portRef A3 (instanceRef blk0000020d))
+ (portRef A1 (instanceRef blk0000020e))
+ (portRef A2 (instanceRef blk0000020e))
+ (portRef A3 (instanceRef blk0000020e))
+ (portRef A1 (instanceRef blk0000020f))
+ (portRef A2 (instanceRef blk0000020f))
+ (portRef A3 (instanceRef blk0000020f))
+ (portRef A1 (instanceRef blk00000210))
+ (portRef A2 (instanceRef blk00000210))
+ (portRef A3 (instanceRef blk00000210))
+ (portRef A1 (instanceRef blk00000211))
+ (portRef A2 (instanceRef blk00000211))
+ (portRef A3 (instanceRef blk00000211))
+ (portRef A1 (instanceRef blk00000212))
+ (portRef A2 (instanceRef blk00000212))
+ (portRef A3 (instanceRef blk00000212))
+ (portRef A1 (instanceRef blk00000213))
+ (portRef A2 (instanceRef blk00000213))
+ (portRef A3 (instanceRef blk00000213))
+ (portRef A1 (instanceRef blk00000214))
+ (portRef A2 (instanceRef blk00000214))
+ (portRef A3 (instanceRef blk00000214))
+ (portRef A1 (instanceRef blk00000215))
+ (portRef A2 (instanceRef blk00000215))
+ (portRef A3 (instanceRef blk00000215))
+ (portRef A1 (instanceRef blk00000216))
+ (portRef A2 (instanceRef blk00000216))
+ (portRef A3 (instanceRef blk00000216))
+ (portRef A1 (instanceRef blk00000217))
+ (portRef A2 (instanceRef blk00000217))
+ (portRef A3 (instanceRef blk00000217))
+ (portRef A1 (instanceRef blk00000218))
+ (portRef A2 (instanceRef blk00000218))
+ (portRef A3 (instanceRef blk00000218))
+ (portRef A1 (instanceRef blk00000219))
+ (portRef A2 (instanceRef blk00000219))
+ (portRef A3 (instanceRef blk00000219))
+ (portRef A1 (instanceRef blk0000021a))
+ (portRef A2 (instanceRef blk0000021a))
+ (portRef A3 (instanceRef blk0000021a))
+ (portRef A1 (instanceRef blk0000021b))
+ (portRef A2 (instanceRef blk0000021b))
+ (portRef A3 (instanceRef blk0000021b))
+ (portRef A1 (instanceRef blk0000021c))
+ (portRef A2 (instanceRef blk0000021c))
+ (portRef A3 (instanceRef blk0000021c))
+ (portRef A1 (instanceRef blk0000021d))
+ (portRef A2 (instanceRef blk0000021d))
+ (portRef A3 (instanceRef blk0000021d))
+ )
+ )
+ (net sig00000d97
+ (joined
+ (portRef D (instanceRef blk000001ee))
+ (portRef Q (instanceRef blk00000207))
+ )
+ )
+ (net sig00000d98
+ (joined
+ (portRef D (instanceRef blk000001ef))
+ (portRef Q (instanceRef blk00000208))
+ )
+ )
+ (net sig00000d99
+ (joined
+ (portRef D (instanceRef blk000001f0))
+ (portRef Q (instanceRef blk00000206))
+ )
+ )
+ (net sig00000d9a
+ (joined
+ (portRef D (instanceRef blk000001f1))
+ (portRef Q (instanceRef blk0000020a))
+ )
+ )
+ (net sig00000d9b
+ (joined
+ (portRef D (instanceRef blk000001f2))
+ (portRef Q (instanceRef blk0000020b))
+ )
+ )
+ (net sig00000d9c
+ (joined
+ (portRef D (instanceRef blk000001f3))
+ (portRef Q (instanceRef blk00000209))
+ )
+ )
+ (net sig00000d9d
+ (joined
+ (portRef D (instanceRef blk000001f4))
+ (portRef Q (instanceRef blk0000020d))
+ )
+ )
+ (net sig00000d9e
+ (joined
+ (portRef D (instanceRef blk000001f5))
+ (portRef Q (instanceRef blk0000020e))
+ )
+ )
+ (net sig00000d9f
+ (joined
+ (portRef D (instanceRef blk000001f6))
+ (portRef Q (instanceRef blk0000020c))
+ )
+ )
+ (net sig00000da0
+ (joined
+ (portRef D (instanceRef blk000001f7))
+ (portRef Q (instanceRef blk00000210))
+ )
+ )
+ (net sig00000da1
+ (joined
+ (portRef D (instanceRef blk000001f8))
+ (portRef Q (instanceRef blk00000211))
+ )
+ )
+ (net sig00000da2
+ (joined
+ (portRef D (instanceRef blk000001f9))
+ (portRef Q (instanceRef blk0000020f))
+ )
+ )
+ (net sig00000da3
+ (joined
+ (portRef D (instanceRef blk000001fa))
+ (portRef Q (instanceRef blk00000213))
+ )
+ )
+ (net sig00000da4
+ (joined
+ (portRef D (instanceRef blk000001fb))
+ (portRef Q (instanceRef blk00000214))
+ )
+ )
+ (net sig00000da5
+ (joined
+ (portRef D (instanceRef blk000001fc))
+ (portRef Q (instanceRef blk00000212))
+ )
+ )
+ (net sig00000da6
+ (joined
+ (portRef D (instanceRef blk000001fd))
+ (portRef Q (instanceRef blk00000216))
+ )
+ )
+ (net sig00000da7
+ (joined
+ (portRef D (instanceRef blk000001fe))
+ (portRef Q (instanceRef blk00000217))
+ )
+ )
+ (net sig00000da8
+ (joined
+ (portRef D (instanceRef blk000001ff))
+ (portRef Q (instanceRef blk00000215))
+ )
+ )
+ (net sig00000da9
+ (joined
+ (portRef D (instanceRef blk00000200))
+ (portRef Q (instanceRef blk00000219))
+ )
+ )
+ (net sig00000daa
+ (joined
+ (portRef D (instanceRef blk00000201))
+ (portRef Q (instanceRef blk0000021a))
+ )
+ )
+ (net sig00000dab
+ (joined
+ (portRef D (instanceRef blk00000202))
+ (portRef Q (instanceRef blk00000218))
+ )
+ )
+ (net sig00000dac
+ (joined
+ (portRef D (instanceRef blk00000203))
+ (portRef Q (instanceRef blk0000021c))
+ )
+ )
+ (net sig00000dad
+ (joined
+ (portRef D (instanceRef blk00000204))
+ (portRef Q (instanceRef blk0000021d))
+ )
+ )
+ (net sig00000dae
+ (joined
+ (portRef D (instanceRef blk00000205))
+ (portRef Q (instanceRef blk0000021b))
+ )
+ )
+ (net sig00000daf
+ (joined
+ (portRef CE (instanceRef blk00000206))
+ (portRef CE (instanceRef blk00000207))
+ (portRef CE (instanceRef blk00000208))
+ (portRef CE (instanceRef blk00000209))
+ (portRef CE (instanceRef blk0000020a))
+ (portRef CE (instanceRef blk0000020b))
+ (portRef CE (instanceRef blk0000020c))
+ (portRef CE (instanceRef blk0000020d))
+ (portRef CE (instanceRef blk0000020e))
+ (portRef CE (instanceRef blk0000020f))
+ (portRef CE (instanceRef blk00000210))
+ (portRef CE (instanceRef blk00000211))
+ (portRef CE (instanceRef blk00000212))
+ (portRef CE (instanceRef blk00000213))
+ (portRef CE (instanceRef blk00000214))
+ (portRef CE (instanceRef blk00000215))
+ (portRef CE (instanceRef blk00000216))
+ (portRef CE (instanceRef blk00000217))
+ (portRef CE (instanceRef blk00000218))
+ (portRef CE (instanceRef blk00000219))
+ (portRef CE (instanceRef blk0000021a))
+ (portRef CE (instanceRef blk0000021b))
+ (portRef CE (instanceRef blk0000021c))
+ (portRef CE (instanceRef blk0000021d))
+ (portRef O (instanceRef blk0000021e))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO3_blk000001b9 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000001ba
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000001bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001d0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001d2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001eb
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000d14
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk000001d3))
+ (portRef A0 (instanceRef blk000001d4))
+ (portRef A0 (instanceRef blk000001d5))
+ (portRef A0 (instanceRef blk000001d6))
+ (portRef A0 (instanceRef blk000001d7))
+ (portRef A0 (instanceRef blk000001d8))
+ (portRef A0 (instanceRef blk000001d9))
+ (portRef A0 (instanceRef blk000001da))
+ (portRef A0 (instanceRef blk000001db))
+ (portRef A0 (instanceRef blk000001dc))
+ (portRef A0 (instanceRef blk000001dd))
+ (portRef A0 (instanceRef blk000001de))
+ (portRef A0 (instanceRef blk000001df))
+ (portRef A0 (instanceRef blk000001e0))
+ (portRef A0 (instanceRef blk000001e1))
+ (portRef A0 (instanceRef blk000001e2))
+ (portRef A0 (instanceRef blk000001e3))
+ (portRef A0 (instanceRef blk000001e4))
+ (portRef A0 (instanceRef blk000001e5))
+ (portRef A0 (instanceRef blk000001e6))
+ (portRef A0 (instanceRef blk000001e7))
+ (portRef A0 (instanceRef blk000001e8))
+ (portRef A0 (instanceRef blk000001e9))
+ (portRef A0 (instanceRef blk000001ea))
+ )
+ )
+ (net sig00000d15
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000001e8))
+ )
+ )
+ (net sig00000d16
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000001ea))
+ )
+ )
+ (net sig00000d17
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000001e9))
+ )
+ )
+ (net sig00000d18
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000d19
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000001e7))
+ )
+ )
+ (net sig00000d1a
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000001e6))
+ )
+ )
+ (net sig00000d1b
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000001e2))
+ )
+ )
+ (net sig00000d1c
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000001e4))
+ )
+ )
+ (net sig00000d1d
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000d1e
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000001df))
+ )
+ )
+ (net sig00000d1f
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000001e1))
+ )
+ )
+ (net sig00000d20
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000001e0))
+ )
+ )
+ (net sig00000d21
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000001dc))
+ )
+ )
+ (net sig00000d22
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000001de))
+ )
+ )
+ (net sig00000d23
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000001dd))
+ )
+ )
+ (net sig00000d24
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000001d9))
+ )
+ )
+ (net sig00000d25
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000001db))
+ )
+ )
+ (net sig00000d26
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000001da))
+ )
+ )
+ (net sig00000d27
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000001d6))
+ )
+ )
+ (net sig00000d28
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000001d8))
+ )
+ )
+ (net sig00000d29
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000001d7))
+ )
+ )
+ (net sig00000d2a
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000001d3))
+ )
+ )
+ (net sig00000d2b
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000001d5))
+ )
+ )
+ (net sig00000d2c
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000001d4))
+ )
+ )
+ (net sig00000d2d
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000001eb))
+ )
+ )
+ (net sig00000d2e
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000001bb))
+ (portRef CE (instanceRef blk000001bc))
+ (portRef CE (instanceRef blk000001bd))
+ (portRef CE (instanceRef blk000001be))
+ (portRef CE (instanceRef blk000001bf))
+ (portRef CE (instanceRef blk000001c0))
+ (portRef CE (instanceRef blk000001c1))
+ (portRef CE (instanceRef blk000001c2))
+ (portRef CE (instanceRef blk000001c3))
+ (portRef CE (instanceRef blk000001c4))
+ (portRef CE (instanceRef blk000001c5))
+ (portRef CE (instanceRef blk000001c6))
+ (portRef CE (instanceRef blk000001c7))
+ (portRef CE (instanceRef blk000001c8))
+ (portRef CE (instanceRef blk000001c9))
+ (portRef CE (instanceRef blk000001ca))
+ (portRef CE (instanceRef blk000001cb))
+ (portRef CE (instanceRef blk000001cc))
+ (portRef CE (instanceRef blk000001cd))
+ (portRef CE (instanceRef blk000001ce))
+ (portRef CE (instanceRef blk000001cf))
+ (portRef CE (instanceRef blk000001d0))
+ (portRef CE (instanceRef blk000001d1))
+ (portRef CE (instanceRef blk000001d2))
+ (portRef I0 (instanceRef blk000001eb))
+ )
+ )
+ (net sig00000d2f
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000001bb))
+ (portRef C (instanceRef blk000001bc))
+ (portRef C (instanceRef blk000001bd))
+ (portRef C (instanceRef blk000001be))
+ (portRef C (instanceRef blk000001bf))
+ (portRef C (instanceRef blk000001c0))
+ (portRef C (instanceRef blk000001c1))
+ (portRef C (instanceRef blk000001c2))
+ (portRef C (instanceRef blk000001c3))
+ (portRef C (instanceRef blk000001c4))
+ (portRef C (instanceRef blk000001c5))
+ (portRef C (instanceRef blk000001c6))
+ (portRef C (instanceRef blk000001c7))
+ (portRef C (instanceRef blk000001c8))
+ (portRef C (instanceRef blk000001c9))
+ (portRef C (instanceRef blk000001ca))
+ (portRef C (instanceRef blk000001cb))
+ (portRef C (instanceRef blk000001cc))
+ (portRef C (instanceRef blk000001cd))
+ (portRef C (instanceRef blk000001ce))
+ (portRef C (instanceRef blk000001cf))
+ (portRef C (instanceRef blk000001d0))
+ (portRef C (instanceRef blk000001d1))
+ (portRef C (instanceRef blk000001d2))
+ (portRef CLK (instanceRef blk000001d3))
+ (portRef CLK (instanceRef blk000001d4))
+ (portRef CLK (instanceRef blk000001d5))
+ (portRef CLK (instanceRef blk000001d6))
+ (portRef CLK (instanceRef blk000001d7))
+ (portRef CLK (instanceRef blk000001d8))
+ (portRef CLK (instanceRef blk000001d9))
+ (portRef CLK (instanceRef blk000001da))
+ (portRef CLK (instanceRef blk000001db))
+ (portRef CLK (instanceRef blk000001dc))
+ (portRef CLK (instanceRef blk000001dd))
+ (portRef CLK (instanceRef blk000001de))
+ (portRef CLK (instanceRef blk000001df))
+ (portRef CLK (instanceRef blk000001e0))
+ (portRef CLK (instanceRef blk000001e1))
+ (portRef CLK (instanceRef blk000001e2))
+ (portRef CLK (instanceRef blk000001e3))
+ (portRef CLK (instanceRef blk000001e4))
+ (portRef CLK (instanceRef blk000001e5))
+ (portRef CLK (instanceRef blk000001e6))
+ (portRef CLK (instanceRef blk000001e7))
+ (portRef CLK (instanceRef blk000001e8))
+ (portRef CLK (instanceRef blk000001e9))
+ (portRef CLK (instanceRef blk000001ea))
+ )
+ )
+ (net sig00000d30
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000001d2))
+ )
+ )
+ (net sig00000d31
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000001d1))
+ )
+ )
+ (net sig00000d32
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000001d0))
+ )
+ )
+ (net sig00000d33
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000001cf))
+ )
+ )
+ (net sig00000d34
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000001ce))
+ )
+ )
+ (net sig00000d35
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000001cd))
+ )
+ )
+ (net sig00000d36
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000001cc))
+ )
+ )
+ (net sig00000d37
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000001cb))
+ )
+ )
+ (net sig00000d38
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000001ca))
+ )
+ )
+ (net sig00000d39
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000001c9))
+ )
+ )
+ (net sig00000d3a
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000001c8))
+ )
+ )
+ (net sig00000d3b
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000001c7))
+ )
+ )
+ (net sig00000d3c
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000001c6))
+ )
+ )
+ (net sig00000d3d
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000001c5))
+ )
+ )
+ (net sig00000d3e
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000001c4))
+ )
+ )
+ (net sig00000d3f
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000001c3))
+ )
+ )
+ (net sig00000d40
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000001c2))
+ )
+ )
+ (net sig00000d41
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000001c1))
+ )
+ )
+ (net sig00000d42
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000001c0))
+ )
+ )
+ (net sig00000d43
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000001bf))
+ )
+ )
+ (net sig00000d44
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000001be))
+ )
+ )
+ (net sig00000d45
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000001bd))
+ )
+ )
+ (net sig00000d46
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000001bc))
+ )
+ )
+ (net sig00000d47
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000001bb))
+ )
+ )
+ (net sig00000d48
+ (joined
+ (portRef G (instanceRef blk000001ba))
+ (portRef A1 (instanceRef blk000001d3))
+ (portRef A2 (instanceRef blk000001d3))
+ (portRef A3 (instanceRef blk000001d3))
+ (portRef A1 (instanceRef blk000001d4))
+ (portRef A2 (instanceRef blk000001d4))
+ (portRef A3 (instanceRef blk000001d4))
+ (portRef A1 (instanceRef blk000001d5))
+ (portRef A2 (instanceRef blk000001d5))
+ (portRef A3 (instanceRef blk000001d5))
+ (portRef A1 (instanceRef blk000001d6))
+ (portRef A2 (instanceRef blk000001d6))
+ (portRef A3 (instanceRef blk000001d6))
+ (portRef A1 (instanceRef blk000001d7))
+ (portRef A2 (instanceRef blk000001d7))
+ (portRef A3 (instanceRef blk000001d7))
+ (portRef A1 (instanceRef blk000001d8))
+ (portRef A2 (instanceRef blk000001d8))
+ (portRef A3 (instanceRef blk000001d8))
+ (portRef A1 (instanceRef blk000001d9))
+ (portRef A2 (instanceRef blk000001d9))
+ (portRef A3 (instanceRef blk000001d9))
+ (portRef A1 (instanceRef blk000001da))
+ (portRef A2 (instanceRef blk000001da))
+ (portRef A3 (instanceRef blk000001da))
+ (portRef A1 (instanceRef blk000001db))
+ (portRef A2 (instanceRef blk000001db))
+ (portRef A3 (instanceRef blk000001db))
+ (portRef A1 (instanceRef blk000001dc))
+ (portRef A2 (instanceRef blk000001dc))
+ (portRef A3 (instanceRef blk000001dc))
+ (portRef A1 (instanceRef blk000001dd))
+ (portRef A2 (instanceRef blk000001dd))
+ (portRef A3 (instanceRef blk000001dd))
+ (portRef A1 (instanceRef blk000001de))
+ (portRef A2 (instanceRef blk000001de))
+ (portRef A3 (instanceRef blk000001de))
+ (portRef A1 (instanceRef blk000001df))
+ (portRef A2 (instanceRef blk000001df))
+ (portRef A3 (instanceRef blk000001df))
+ (portRef A1 (instanceRef blk000001e0))
+ (portRef A2 (instanceRef blk000001e0))
+ (portRef A3 (instanceRef blk000001e0))
+ (portRef A1 (instanceRef blk000001e1))
+ (portRef A2 (instanceRef blk000001e1))
+ (portRef A3 (instanceRef blk000001e1))
+ (portRef A1 (instanceRef blk000001e2))
+ (portRef A2 (instanceRef blk000001e2))
+ (portRef A3 (instanceRef blk000001e2))
+ (portRef A1 (instanceRef blk000001e3))
+ (portRef A2 (instanceRef blk000001e3))
+ (portRef A3 (instanceRef blk000001e3))
+ (portRef A1 (instanceRef blk000001e4))
+ (portRef A2 (instanceRef blk000001e4))
+ (portRef A3 (instanceRef blk000001e4))
+ (portRef A1 (instanceRef blk000001e5))
+ (portRef A2 (instanceRef blk000001e5))
+ (portRef A3 (instanceRef blk000001e5))
+ (portRef A1 (instanceRef blk000001e6))
+ (portRef A2 (instanceRef blk000001e6))
+ (portRef A3 (instanceRef blk000001e6))
+ (portRef A1 (instanceRef blk000001e7))
+ (portRef A2 (instanceRef blk000001e7))
+ (portRef A3 (instanceRef blk000001e7))
+ (portRef A1 (instanceRef blk000001e8))
+ (portRef A2 (instanceRef blk000001e8))
+ (portRef A3 (instanceRef blk000001e8))
+ (portRef A1 (instanceRef blk000001e9))
+ (portRef A2 (instanceRef blk000001e9))
+ (portRef A3 (instanceRef blk000001e9))
+ (portRef A1 (instanceRef blk000001ea))
+ (portRef A2 (instanceRef blk000001ea))
+ (portRef A3 (instanceRef blk000001ea))
+ )
+ )
+ (net sig00000d49
+ (joined
+ (portRef D (instanceRef blk000001bb))
+ (portRef Q (instanceRef blk000001d4))
+ )
+ )
+ (net sig00000d4a
+ (joined
+ (portRef D (instanceRef blk000001bc))
+ (portRef Q (instanceRef blk000001d5))
+ )
+ )
+ (net sig00000d4b
+ (joined
+ (portRef D (instanceRef blk000001bd))
+ (portRef Q (instanceRef blk000001d3))
+ )
+ )
+ (net sig00000d4c
+ (joined
+ (portRef D (instanceRef blk000001be))
+ (portRef Q (instanceRef blk000001d7))
+ )
+ )
+ (net sig00000d4d
+ (joined
+ (portRef D (instanceRef blk000001bf))
+ (portRef Q (instanceRef blk000001d8))
+ )
+ )
+ (net sig00000d4e
+ (joined
+ (portRef D (instanceRef blk000001c0))
+ (portRef Q (instanceRef blk000001d6))
+ )
+ )
+ (net sig00000d4f
+ (joined
+ (portRef D (instanceRef blk000001c1))
+ (portRef Q (instanceRef blk000001da))
+ )
+ )
+ (net sig00000d50
+ (joined
+ (portRef D (instanceRef blk000001c2))
+ (portRef Q (instanceRef blk000001db))
+ )
+ )
+ (net sig00000d51
+ (joined
+ (portRef D (instanceRef blk000001c3))
+ (portRef Q (instanceRef blk000001d9))
+ )
+ )
+ (net sig00000d52
+ (joined
+ (portRef D (instanceRef blk000001c4))
+ (portRef Q (instanceRef blk000001dd))
+ )
+ )
+ (net sig00000d53
+ (joined
+ (portRef D (instanceRef blk000001c5))
+ (portRef Q (instanceRef blk000001de))
+ )
+ )
+ (net sig00000d54
+ (joined
+ (portRef D (instanceRef blk000001c6))
+ (portRef Q (instanceRef blk000001dc))
+ )
+ )
+ (net sig00000d55
+ (joined
+ (portRef D (instanceRef blk000001c7))
+ (portRef Q (instanceRef blk000001e0))
+ )
+ )
+ (net sig00000d56
+ (joined
+ (portRef D (instanceRef blk000001c8))
+ (portRef Q (instanceRef blk000001e1))
+ )
+ )
+ (net sig00000d57
+ (joined
+ (portRef D (instanceRef blk000001c9))
+ (portRef Q (instanceRef blk000001df))
+ )
+ )
+ (net sig00000d58
+ (joined
+ (portRef D (instanceRef blk000001ca))
+ (portRef Q (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000d59
+ (joined
+ (portRef D (instanceRef blk000001cb))
+ (portRef Q (instanceRef blk000001e4))
+ )
+ )
+ (net sig00000d5a
+ (joined
+ (portRef D (instanceRef blk000001cc))
+ (portRef Q (instanceRef blk000001e2))
+ )
+ )
+ (net sig00000d5b
+ (joined
+ (portRef D (instanceRef blk000001cd))
+ (portRef Q (instanceRef blk000001e6))
+ )
+ )
+ (net sig00000d5c
+ (joined
+ (portRef D (instanceRef blk000001ce))
+ (portRef Q (instanceRef blk000001e7))
+ )
+ )
+ (net sig00000d5d
+ (joined
+ (portRef D (instanceRef blk000001cf))
+ (portRef Q (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000d5e
+ (joined
+ (portRef D (instanceRef blk000001d0))
+ (portRef Q (instanceRef blk000001e9))
+ )
+ )
+ (net sig00000d5f
+ (joined
+ (portRef D (instanceRef blk000001d1))
+ (portRef Q (instanceRef blk000001ea))
+ )
+ )
+ (net sig00000d60
+ (joined
+ (portRef D (instanceRef blk000001d2))
+ (portRef Q (instanceRef blk000001e8))
+ )
+ )
+ (net sig00000d61
+ (joined
+ (portRef CE (instanceRef blk000001d3))
+ (portRef CE (instanceRef blk000001d4))
+ (portRef CE (instanceRef blk000001d5))
+ (portRef CE (instanceRef blk000001d6))
+ (portRef CE (instanceRef blk000001d7))
+ (portRef CE (instanceRef blk000001d8))
+ (portRef CE (instanceRef blk000001d9))
+ (portRef CE (instanceRef blk000001da))
+ (portRef CE (instanceRef blk000001db))
+ (portRef CE (instanceRef blk000001dc))
+ (portRef CE (instanceRef blk000001dd))
+ (portRef CE (instanceRef blk000001de))
+ (portRef CE (instanceRef blk000001df))
+ (portRef CE (instanceRef blk000001e0))
+ (portRef CE (instanceRef blk000001e1))
+ (portRef CE (instanceRef blk000001e2))
+ (portRef CE (instanceRef blk000001e3))
+ (portRef CE (instanceRef blk000001e4))
+ (portRef CE (instanceRef blk000001e5))
+ (portRef CE (instanceRef blk000001e6))
+ (portRef CE (instanceRef blk000001e7))
+ (portRef CE (instanceRef blk000001e8))
+ (portRef CE (instanceRef blk000001e9))
+ (portRef CE (instanceRef blk000001ea))
+ (portRef O (instanceRef blk000001eb))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO2_blk00000186 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000187
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000188
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000189
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000190
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000191
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000192
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000193
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000194
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000195
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000196
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000197
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000198
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000199
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000019a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000019b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000019c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000019d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000019e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000019f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b8
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000cc6
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk000001a0))
+ (portRef A0 (instanceRef blk000001a1))
+ (portRef A0 (instanceRef blk000001a2))
+ (portRef A0 (instanceRef blk000001a3))
+ (portRef A0 (instanceRef blk000001a4))
+ (portRef A0 (instanceRef blk000001a5))
+ (portRef A0 (instanceRef blk000001a6))
+ (portRef A0 (instanceRef blk000001a7))
+ (portRef A0 (instanceRef blk000001a8))
+ (portRef A0 (instanceRef blk000001a9))
+ (portRef A0 (instanceRef blk000001aa))
+ (portRef A0 (instanceRef blk000001ab))
+ (portRef A0 (instanceRef blk000001ac))
+ (portRef A0 (instanceRef blk000001ad))
+ (portRef A0 (instanceRef blk000001ae))
+ (portRef A0 (instanceRef blk000001af))
+ (portRef A0 (instanceRef blk000001b0))
+ (portRef A0 (instanceRef blk000001b1))
+ (portRef A0 (instanceRef blk000001b2))
+ (portRef A0 (instanceRef blk000001b3))
+ (portRef A0 (instanceRef blk000001b4))
+ (portRef A0 (instanceRef blk000001b5))
+ (portRef A0 (instanceRef blk000001b6))
+ (portRef A0 (instanceRef blk000001b7))
+ )
+ )
+ (net sig00000cc7
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000001b5))
+ )
+ )
+ (net sig00000cc8
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000001b7))
+ )
+ )
+ (net sig00000cc9
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000001b6))
+ )
+ )
+ (net sig00000cca
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000001b2))
+ )
+ )
+ (net sig00000ccb
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000001b4))
+ )
+ )
+ (net sig00000ccc
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000001b3))
+ )
+ )
+ (net sig00000ccd
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000001af))
+ )
+ )
+ (net sig00000cce
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000001b1))
+ )
+ )
+ (net sig00000ccf
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000001b0))
+ )
+ )
+ (net sig00000cd0
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000001ac))
+ )
+ )
+ (net sig00000cd1
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000001ae))
+ )
+ )
+ (net sig00000cd2
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000001ad))
+ )
+ )
+ (net sig00000cd3
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000001a9))
+ )
+ )
+ (net sig00000cd4
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000001ab))
+ )
+ )
+ (net sig00000cd5
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000001aa))
+ )
+ )
+ (net sig00000cd6
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000001a6))
+ )
+ )
+ (net sig00000cd7
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000001a8))
+ )
+ )
+ (net sig00000cd8
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000001a7))
+ )
+ )
+ (net sig00000cd9
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000001a3))
+ )
+ )
+ (net sig00000cda
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000001a5))
+ )
+ )
+ (net sig00000cdb
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000001a4))
+ )
+ )
+ (net sig00000cdc
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000001a0))
+ )
+ )
+ (net sig00000cdd
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000001a2))
+ )
+ )
+ (net sig00000cde
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000001a1))
+ )
+ )
+ (net sig00000cdf
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000001b8))
+ )
+ )
+ (net sig00000ce0
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000188))
+ (portRef CE (instanceRef blk00000189))
+ (portRef CE (instanceRef blk0000018a))
+ (portRef CE (instanceRef blk0000018b))
+ (portRef CE (instanceRef blk0000018c))
+ (portRef CE (instanceRef blk0000018d))
+ (portRef CE (instanceRef blk0000018e))
+ (portRef CE (instanceRef blk0000018f))
+ (portRef CE (instanceRef blk00000190))
+ (portRef CE (instanceRef blk00000191))
+ (portRef CE (instanceRef blk00000192))
+ (portRef CE (instanceRef blk00000193))
+ (portRef CE (instanceRef blk00000194))
+ (portRef CE (instanceRef blk00000195))
+ (portRef CE (instanceRef blk00000196))
+ (portRef CE (instanceRef blk00000197))
+ (portRef CE (instanceRef blk00000198))
+ (portRef CE (instanceRef blk00000199))
+ (portRef CE (instanceRef blk0000019a))
+ (portRef CE (instanceRef blk0000019b))
+ (portRef CE (instanceRef blk0000019c))
+ (portRef CE (instanceRef blk0000019d))
+ (portRef CE (instanceRef blk0000019e))
+ (portRef CE (instanceRef blk0000019f))
+ (portRef I0 (instanceRef blk000001b8))
+ )
+ )
+ (net sig00000ce1
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000188))
+ (portRef C (instanceRef blk00000189))
+ (portRef C (instanceRef blk0000018a))
+ (portRef C (instanceRef blk0000018b))
+ (portRef C (instanceRef blk0000018c))
+ (portRef C (instanceRef blk0000018d))
+ (portRef C (instanceRef blk0000018e))
+ (portRef C (instanceRef blk0000018f))
+ (portRef C (instanceRef blk00000190))
+ (portRef C (instanceRef blk00000191))
+ (portRef C (instanceRef blk00000192))
+ (portRef C (instanceRef blk00000193))
+ (portRef C (instanceRef blk00000194))
+ (portRef C (instanceRef blk00000195))
+ (portRef C (instanceRef blk00000196))
+ (portRef C (instanceRef blk00000197))
+ (portRef C (instanceRef blk00000198))
+ (portRef C (instanceRef blk00000199))
+ (portRef C (instanceRef blk0000019a))
+ (portRef C (instanceRef blk0000019b))
+ (portRef C (instanceRef blk0000019c))
+ (portRef C (instanceRef blk0000019d))
+ (portRef C (instanceRef blk0000019e))
+ (portRef C (instanceRef blk0000019f))
+ (portRef CLK (instanceRef blk000001a0))
+ (portRef CLK (instanceRef blk000001a1))
+ (portRef CLK (instanceRef blk000001a2))
+ (portRef CLK (instanceRef blk000001a3))
+ (portRef CLK (instanceRef blk000001a4))
+ (portRef CLK (instanceRef blk000001a5))
+ (portRef CLK (instanceRef blk000001a6))
+ (portRef CLK (instanceRef blk000001a7))
+ (portRef CLK (instanceRef blk000001a8))
+ (portRef CLK (instanceRef blk000001a9))
+ (portRef CLK (instanceRef blk000001aa))
+ (portRef CLK (instanceRef blk000001ab))
+ (portRef CLK (instanceRef blk000001ac))
+ (portRef CLK (instanceRef blk000001ad))
+ (portRef CLK (instanceRef blk000001ae))
+ (portRef CLK (instanceRef blk000001af))
+ (portRef CLK (instanceRef blk000001b0))
+ (portRef CLK (instanceRef blk000001b1))
+ (portRef CLK (instanceRef blk000001b2))
+ (portRef CLK (instanceRef blk000001b3))
+ (portRef CLK (instanceRef blk000001b4))
+ (portRef CLK (instanceRef blk000001b5))
+ (portRef CLK (instanceRef blk000001b6))
+ (portRef CLK (instanceRef blk000001b7))
+ )
+ )
+ (net sig00000ce2
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000019f))
+ )
+ )
+ (net sig00000ce3
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000019e))
+ )
+ )
+ (net sig00000ce4
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000019d))
+ )
+ )
+ (net sig00000ce5
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000019c))
+ )
+ )
+ (net sig00000ce6
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000019b))
+ )
+ )
+ (net sig00000ce7
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000019a))
+ )
+ )
+ (net sig00000ce8
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000199))
+ )
+ )
+ (net sig00000ce9
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000198))
+ )
+ )
+ (net sig00000cea
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000197))
+ )
+ )
+ (net sig00000ceb
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000196))
+ )
+ )
+ (net sig00000cec
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000195))
+ )
+ )
+ (net sig00000ced
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000194))
+ )
+ )
+ (net sig00000cee
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000193))
+ )
+ )
+ (net sig00000cef
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000192))
+ )
+ )
+ (net sig00000cf0
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000191))
+ )
+ )
+ (net sig00000cf1
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000190))
+ )
+ )
+ (net sig00000cf2
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000018f))
+ )
+ )
+ (net sig00000cf3
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000018e))
+ )
+ )
+ (net sig00000cf4
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000018d))
+ )
+ )
+ (net sig00000cf5
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000018c))
+ )
+ )
+ (net sig00000cf6
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000018b))
+ )
+ )
+ (net sig00000cf7
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000018a))
+ )
+ )
+ (net sig00000cf8
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000189))
+ )
+ )
+ (net sig00000cf9
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000188))
+ )
+ )
+ (net sig00000cfa
+ (joined
+ (portRef G (instanceRef blk00000187))
+ (portRef A1 (instanceRef blk000001a0))
+ (portRef A2 (instanceRef blk000001a0))
+ (portRef A3 (instanceRef blk000001a0))
+ (portRef A1 (instanceRef blk000001a1))
+ (portRef A2 (instanceRef blk000001a1))
+ (portRef A3 (instanceRef blk000001a1))
+ (portRef A1 (instanceRef blk000001a2))
+ (portRef A2 (instanceRef blk000001a2))
+ (portRef A3 (instanceRef blk000001a2))
+ (portRef A1 (instanceRef blk000001a3))
+ (portRef A2 (instanceRef blk000001a3))
+ (portRef A3 (instanceRef blk000001a3))
+ (portRef A1 (instanceRef blk000001a4))
+ (portRef A2 (instanceRef blk000001a4))
+ (portRef A3 (instanceRef blk000001a4))
+ (portRef A1 (instanceRef blk000001a5))
+ (portRef A2 (instanceRef blk000001a5))
+ (portRef A3 (instanceRef blk000001a5))
+ (portRef A1 (instanceRef blk000001a6))
+ (portRef A2 (instanceRef blk000001a6))
+ (portRef A3 (instanceRef blk000001a6))
+ (portRef A1 (instanceRef blk000001a7))
+ (portRef A2 (instanceRef blk000001a7))
+ (portRef A3 (instanceRef blk000001a7))
+ (portRef A1 (instanceRef blk000001a8))
+ (portRef A2 (instanceRef blk000001a8))
+ (portRef A3 (instanceRef blk000001a8))
+ (portRef A1 (instanceRef blk000001a9))
+ (portRef A2 (instanceRef blk000001a9))
+ (portRef A3 (instanceRef blk000001a9))
+ (portRef A1 (instanceRef blk000001aa))
+ (portRef A2 (instanceRef blk000001aa))
+ (portRef A3 (instanceRef blk000001aa))
+ (portRef A1 (instanceRef blk000001ab))
+ (portRef A2 (instanceRef blk000001ab))
+ (portRef A3 (instanceRef blk000001ab))
+ (portRef A1 (instanceRef blk000001ac))
+ (portRef A2 (instanceRef blk000001ac))
+ (portRef A3 (instanceRef blk000001ac))
+ (portRef A1 (instanceRef blk000001ad))
+ (portRef A2 (instanceRef blk000001ad))
+ (portRef A3 (instanceRef blk000001ad))
+ (portRef A1 (instanceRef blk000001ae))
+ (portRef A2 (instanceRef blk000001ae))
+ (portRef A3 (instanceRef blk000001ae))
+ (portRef A1 (instanceRef blk000001af))
+ (portRef A2 (instanceRef blk000001af))
+ (portRef A3 (instanceRef blk000001af))
+ (portRef A1 (instanceRef blk000001b0))
+ (portRef A2 (instanceRef blk000001b0))
+ (portRef A3 (instanceRef blk000001b0))
+ (portRef A1 (instanceRef blk000001b1))
+ (portRef A2 (instanceRef blk000001b1))
+ (portRef A3 (instanceRef blk000001b1))
+ (portRef A1 (instanceRef blk000001b2))
+ (portRef A2 (instanceRef blk000001b2))
+ (portRef A3 (instanceRef blk000001b2))
+ (portRef A1 (instanceRef blk000001b3))
+ (portRef A2 (instanceRef blk000001b3))
+ (portRef A3 (instanceRef blk000001b3))
+ (portRef A1 (instanceRef blk000001b4))
+ (portRef A2 (instanceRef blk000001b4))
+ (portRef A3 (instanceRef blk000001b4))
+ (portRef A1 (instanceRef blk000001b5))
+ (portRef A2 (instanceRef blk000001b5))
+ (portRef A3 (instanceRef blk000001b5))
+ (portRef A1 (instanceRef blk000001b6))
+ (portRef A2 (instanceRef blk000001b6))
+ (portRef A3 (instanceRef blk000001b6))
+ (portRef A1 (instanceRef blk000001b7))
+ (portRef A2 (instanceRef blk000001b7))
+ (portRef A3 (instanceRef blk000001b7))
+ )
+ )
+ (net sig00000cfb
+ (joined
+ (portRef D (instanceRef blk00000188))
+ (portRef Q (instanceRef blk000001a1))
+ )
+ )
+ (net sig00000cfc
+ (joined
+ (portRef D (instanceRef blk00000189))
+ (portRef Q (instanceRef blk000001a2))
+ )
+ )
+ (net sig00000cfd
+ (joined
+ (portRef D (instanceRef blk0000018a))
+ (portRef Q (instanceRef blk000001a0))
+ )
+ )
+ (net sig00000cfe
+ (joined
+ (portRef D (instanceRef blk0000018b))
+ (portRef Q (instanceRef blk000001a4))
+ )
+ )
+ (net sig00000cff
+ (joined
+ (portRef D (instanceRef blk0000018c))
+ (portRef Q (instanceRef blk000001a5))
+ )
+ )
+ (net sig00000d00
+ (joined
+ (portRef D (instanceRef blk0000018d))
+ (portRef Q (instanceRef blk000001a3))
+ )
+ )
+ (net sig00000d01
+ (joined
+ (portRef D (instanceRef blk0000018e))
+ (portRef Q (instanceRef blk000001a7))
+ )
+ )
+ (net sig00000d02
+ (joined
+ (portRef D (instanceRef blk0000018f))
+ (portRef Q (instanceRef blk000001a8))
+ )
+ )
+ (net sig00000d03
+ (joined
+ (portRef D (instanceRef blk00000190))
+ (portRef Q (instanceRef blk000001a6))
+ )
+ )
+ (net sig00000d04
+ (joined
+ (portRef D (instanceRef blk00000191))
+ (portRef Q (instanceRef blk000001aa))
+ )
+ )
+ (net sig00000d05
+ (joined
+ (portRef D (instanceRef blk00000192))
+ (portRef Q (instanceRef blk000001ab))
+ )
+ )
+ (net sig00000d06
+ (joined
+ (portRef D (instanceRef blk00000193))
+ (portRef Q (instanceRef blk000001a9))
+ )
+ )
+ (net sig00000d07
+ (joined
+ (portRef D (instanceRef blk00000194))
+ (portRef Q (instanceRef blk000001ad))
+ )
+ )
+ (net sig00000d08
+ (joined
+ (portRef D (instanceRef blk00000195))
+ (portRef Q (instanceRef blk000001ae))
+ )
+ )
+ (net sig00000d09
+ (joined
+ (portRef D (instanceRef blk00000196))
+ (portRef Q (instanceRef blk000001ac))
+ )
+ )
+ (net sig00000d0a
+ (joined
+ (portRef D (instanceRef blk00000197))
+ (portRef Q (instanceRef blk000001b0))
+ )
+ )
+ (net sig00000d0b
+ (joined
+ (portRef D (instanceRef blk00000198))
+ (portRef Q (instanceRef blk000001b1))
+ )
+ )
+ (net sig00000d0c
+ (joined
+ (portRef D (instanceRef blk00000199))
+ (portRef Q (instanceRef blk000001af))
+ )
+ )
+ (net sig00000d0d
+ (joined
+ (portRef D (instanceRef blk0000019a))
+ (portRef Q (instanceRef blk000001b3))
+ )
+ )
+ (net sig00000d0e
+ (joined
+ (portRef D (instanceRef blk0000019b))
+ (portRef Q (instanceRef blk000001b4))
+ )
+ )
+ (net sig00000d0f
+ (joined
+ (portRef D (instanceRef blk0000019c))
+ (portRef Q (instanceRef blk000001b2))
+ )
+ )
+ (net sig00000d10
+ (joined
+ (portRef D (instanceRef blk0000019d))
+ (portRef Q (instanceRef blk000001b6))
+ )
+ )
+ (net sig00000d11
+ (joined
+ (portRef D (instanceRef blk0000019e))
+ (portRef Q (instanceRef blk000001b7))
+ )
+ )
+ (net sig00000d12
+ (joined
+ (portRef D (instanceRef blk0000019f))
+ (portRef Q (instanceRef blk000001b5))
+ )
+ )
+ (net sig00000d13
+ (joined
+ (portRef CE (instanceRef blk000001a0))
+ (portRef CE (instanceRef blk000001a1))
+ (portRef CE (instanceRef blk000001a2))
+ (portRef CE (instanceRef blk000001a3))
+ (portRef CE (instanceRef blk000001a4))
+ (portRef CE (instanceRef blk000001a5))
+ (portRef CE (instanceRef blk000001a6))
+ (portRef CE (instanceRef blk000001a7))
+ (portRef CE (instanceRef blk000001a8))
+ (portRef CE (instanceRef blk000001a9))
+ (portRef CE (instanceRef blk000001aa))
+ (portRef CE (instanceRef blk000001ab))
+ (portRef CE (instanceRef blk000001ac))
+ (portRef CE (instanceRef blk000001ad))
+ (portRef CE (instanceRef blk000001ae))
+ (portRef CE (instanceRef blk000001af))
+ (portRef CE (instanceRef blk000001b0))
+ (portRef CE (instanceRef blk000001b1))
+ (portRef CE (instanceRef blk000001b2))
+ (portRef CE (instanceRef blk000001b3))
+ (portRef CE (instanceRef blk000001b4))
+ (portRef CE (instanceRef blk000001b5))
+ (portRef CE (instanceRef blk000001b6))
+ (portRef CE (instanceRef blk000001b7))
+ (portRef O (instanceRef blk000001b8))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO1_blk00000153 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000154
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000155
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000156
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000157
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000158
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000159
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000160
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000161
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000162
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000163
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000164
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000165
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000166
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000167
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000168
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000169
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000016a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000016b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000016c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000016d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000170
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000171
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000172
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000173
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000174
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000175
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000176
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000177
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000178
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000179
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000180
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000181
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000182
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000183
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000184
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000185
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000c78
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000016d))
+ (portRef A0 (instanceRef blk0000016e))
+ (portRef A0 (instanceRef blk0000016f))
+ (portRef A0 (instanceRef blk00000170))
+ (portRef A0 (instanceRef blk00000171))
+ (portRef A0 (instanceRef blk00000172))
+ (portRef A0 (instanceRef blk00000173))
+ (portRef A0 (instanceRef blk00000174))
+ (portRef A0 (instanceRef blk00000175))
+ (portRef A0 (instanceRef blk00000176))
+ (portRef A0 (instanceRef blk00000177))
+ (portRef A0 (instanceRef blk00000178))
+ (portRef A0 (instanceRef blk00000179))
+ (portRef A0 (instanceRef blk0000017a))
+ (portRef A0 (instanceRef blk0000017b))
+ (portRef A0 (instanceRef blk0000017c))
+ (portRef A0 (instanceRef blk0000017d))
+ (portRef A0 (instanceRef blk0000017e))
+ (portRef A0 (instanceRef blk0000017f))
+ (portRef A0 (instanceRef blk00000180))
+ (portRef A0 (instanceRef blk00000181))
+ (portRef A0 (instanceRef blk00000182))
+ (portRef A0 (instanceRef blk00000183))
+ (portRef A0 (instanceRef blk00000184))
+ )
+ )
+ (net sig00000c79
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000182))
+ )
+ )
+ (net sig00000c7a
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000184))
+ )
+ )
+ (net sig00000c7b
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000183))
+ )
+ )
+ (net sig00000c7c
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000017f))
+ )
+ )
+ (net sig00000c7d
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000181))
+ )
+ )
+ (net sig00000c7e
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000180))
+ )
+ )
+ (net sig00000c7f
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000017c))
+ )
+ )
+ (net sig00000c80
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000017e))
+ )
+ )
+ (net sig00000c81
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000017d))
+ )
+ )
+ (net sig00000c82
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000179))
+ )
+ )
+ (net sig00000c83
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000c84
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000017a))
+ )
+ )
+ (net sig00000c85
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000176))
+ )
+ )
+ (net sig00000c86
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000178))
+ )
+ )
+ (net sig00000c87
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000177))
+ )
+ )
+ (net sig00000c88
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000173))
+ )
+ )
+ (net sig00000c89
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000175))
+ )
+ )
+ (net sig00000c8a
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000174))
+ )
+ )
+ (net sig00000c8b
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000170))
+ )
+ )
+ (net sig00000c8c
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000172))
+ )
+ )
+ (net sig00000c8d
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000171))
+ )
+ )
+ (net sig00000c8e
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000016d))
+ )
+ )
+ (net sig00000c8f
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000016f))
+ )
+ )
+ (net sig00000c90
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000016e))
+ )
+ )
+ (net sig00000c91
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000185))
+ )
+ )
+ (net sig00000c92
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000155))
+ (portRef CE (instanceRef blk00000156))
+ (portRef CE (instanceRef blk00000157))
+ (portRef CE (instanceRef blk00000158))
+ (portRef CE (instanceRef blk00000159))
+ (portRef CE (instanceRef blk0000015a))
+ (portRef CE (instanceRef blk0000015b))
+ (portRef CE (instanceRef blk0000015c))
+ (portRef CE (instanceRef blk0000015d))
+ (portRef CE (instanceRef blk0000015e))
+ (portRef CE (instanceRef blk0000015f))
+ (portRef CE (instanceRef blk00000160))
+ (portRef CE (instanceRef blk00000161))
+ (portRef CE (instanceRef blk00000162))
+ (portRef CE (instanceRef blk00000163))
+ (portRef CE (instanceRef blk00000164))
+ (portRef CE (instanceRef blk00000165))
+ (portRef CE (instanceRef blk00000166))
+ (portRef CE (instanceRef blk00000167))
+ (portRef CE (instanceRef blk00000168))
+ (portRef CE (instanceRef blk00000169))
+ (portRef CE (instanceRef blk0000016a))
+ (portRef CE (instanceRef blk0000016b))
+ (portRef CE (instanceRef blk0000016c))
+ (portRef I0 (instanceRef blk00000185))
+ )
+ )
+ (net sig00000c93
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000155))
+ (portRef C (instanceRef blk00000156))
+ (portRef C (instanceRef blk00000157))
+ (portRef C (instanceRef blk00000158))
+ (portRef C (instanceRef blk00000159))
+ (portRef C (instanceRef blk0000015a))
+ (portRef C (instanceRef blk0000015b))
+ (portRef C (instanceRef blk0000015c))
+ (portRef C (instanceRef blk0000015d))
+ (portRef C (instanceRef blk0000015e))
+ (portRef C (instanceRef blk0000015f))
+ (portRef C (instanceRef blk00000160))
+ (portRef C (instanceRef blk00000161))
+ (portRef C (instanceRef blk00000162))
+ (portRef C (instanceRef blk00000163))
+ (portRef C (instanceRef blk00000164))
+ (portRef C (instanceRef blk00000165))
+ (portRef C (instanceRef blk00000166))
+ (portRef C (instanceRef blk00000167))
+ (portRef C (instanceRef blk00000168))
+ (portRef C (instanceRef blk00000169))
+ (portRef C (instanceRef blk0000016a))
+ (portRef C (instanceRef blk0000016b))
+ (portRef C (instanceRef blk0000016c))
+ (portRef CLK (instanceRef blk0000016d))
+ (portRef CLK (instanceRef blk0000016e))
+ (portRef CLK (instanceRef blk0000016f))
+ (portRef CLK (instanceRef blk00000170))
+ (portRef CLK (instanceRef blk00000171))
+ (portRef CLK (instanceRef blk00000172))
+ (portRef CLK (instanceRef blk00000173))
+ (portRef CLK (instanceRef blk00000174))
+ (portRef CLK (instanceRef blk00000175))
+ (portRef CLK (instanceRef blk00000176))
+ (portRef CLK (instanceRef blk00000177))
+ (portRef CLK (instanceRef blk00000178))
+ (portRef CLK (instanceRef blk00000179))
+ (portRef CLK (instanceRef blk0000017a))
+ (portRef CLK (instanceRef blk0000017b))
+ (portRef CLK (instanceRef blk0000017c))
+ (portRef CLK (instanceRef blk0000017d))
+ (portRef CLK (instanceRef blk0000017e))
+ (portRef CLK (instanceRef blk0000017f))
+ (portRef CLK (instanceRef blk00000180))
+ (portRef CLK (instanceRef blk00000181))
+ (portRef CLK (instanceRef blk00000182))
+ (portRef CLK (instanceRef blk00000183))
+ (portRef CLK (instanceRef blk00000184))
+ )
+ )
+ (net sig00000c94
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000016c))
+ )
+ )
+ (net sig00000c95
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000016b))
+ )
+ )
+ (net sig00000c96
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000016a))
+ )
+ )
+ (net sig00000c97
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000169))
+ )
+ )
+ (net sig00000c98
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000168))
+ )
+ )
+ (net sig00000c99
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000167))
+ )
+ )
+ (net sig00000c9a
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000166))
+ )
+ )
+ (net sig00000c9b
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000165))
+ )
+ )
+ (net sig00000c9c
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000164))
+ )
+ )
+ (net sig00000c9d
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000163))
+ )
+ )
+ (net sig00000c9e
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000162))
+ )
+ )
+ (net sig00000c9f
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000161))
+ )
+ )
+ (net sig00000ca0
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000160))
+ )
+ )
+ (net sig00000ca1
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000015f))
+ )
+ )
+ (net sig00000ca2
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000015e))
+ )
+ )
+ (net sig00000ca3
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000015d))
+ )
+ )
+ (net sig00000ca4
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000015c))
+ )
+ )
+ (net sig00000ca5
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000015b))
+ )
+ )
+ (net sig00000ca6
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000015a))
+ )
+ )
+ (net sig00000ca7
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000159))
+ )
+ )
+ (net sig00000ca8
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000158))
+ )
+ )
+ (net sig00000ca9
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000157))
+ )
+ )
+ (net sig00000caa
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000156))
+ )
+ )
+ (net sig00000cab
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000155))
+ )
+ )
+ (net sig00000cac
+ (joined
+ (portRef G (instanceRef blk00000154))
+ (portRef A1 (instanceRef blk0000016d))
+ (portRef A2 (instanceRef blk0000016d))
+ (portRef A3 (instanceRef blk0000016d))
+ (portRef A1 (instanceRef blk0000016e))
+ (portRef A2 (instanceRef blk0000016e))
+ (portRef A3 (instanceRef blk0000016e))
+ (portRef A1 (instanceRef blk0000016f))
+ (portRef A2 (instanceRef blk0000016f))
+ (portRef A3 (instanceRef blk0000016f))
+ (portRef A1 (instanceRef blk00000170))
+ (portRef A2 (instanceRef blk00000170))
+ (portRef A3 (instanceRef blk00000170))
+ (portRef A1 (instanceRef blk00000171))
+ (portRef A2 (instanceRef blk00000171))
+ (portRef A3 (instanceRef blk00000171))
+ (portRef A1 (instanceRef blk00000172))
+ (portRef A2 (instanceRef blk00000172))
+ (portRef A3 (instanceRef blk00000172))
+ (portRef A1 (instanceRef blk00000173))
+ (portRef A2 (instanceRef blk00000173))
+ (portRef A3 (instanceRef blk00000173))
+ (portRef A1 (instanceRef blk00000174))
+ (portRef A2 (instanceRef blk00000174))
+ (portRef A3 (instanceRef blk00000174))
+ (portRef A1 (instanceRef blk00000175))
+ (portRef A2 (instanceRef blk00000175))
+ (portRef A3 (instanceRef blk00000175))
+ (portRef A1 (instanceRef blk00000176))
+ (portRef A2 (instanceRef blk00000176))
+ (portRef A3 (instanceRef blk00000176))
+ (portRef A1 (instanceRef blk00000177))
+ (portRef A2 (instanceRef blk00000177))
+ (portRef A3 (instanceRef blk00000177))
+ (portRef A1 (instanceRef blk00000178))
+ (portRef A2 (instanceRef blk00000178))
+ (portRef A3 (instanceRef blk00000178))
+ (portRef A1 (instanceRef blk00000179))
+ (portRef A2 (instanceRef blk00000179))
+ (portRef A3 (instanceRef blk00000179))
+ (portRef A1 (instanceRef blk0000017a))
+ (portRef A2 (instanceRef blk0000017a))
+ (portRef A3 (instanceRef blk0000017a))
+ (portRef A1 (instanceRef blk0000017b))
+ (portRef A2 (instanceRef blk0000017b))
+ (portRef A3 (instanceRef blk0000017b))
+ (portRef A1 (instanceRef blk0000017c))
+ (portRef A2 (instanceRef blk0000017c))
+ (portRef A3 (instanceRef blk0000017c))
+ (portRef A1 (instanceRef blk0000017d))
+ (portRef A2 (instanceRef blk0000017d))
+ (portRef A3 (instanceRef blk0000017d))
+ (portRef A1 (instanceRef blk0000017e))
+ (portRef A2 (instanceRef blk0000017e))
+ (portRef A3 (instanceRef blk0000017e))
+ (portRef A1 (instanceRef blk0000017f))
+ (portRef A2 (instanceRef blk0000017f))
+ (portRef A3 (instanceRef blk0000017f))
+ (portRef A1 (instanceRef blk00000180))
+ (portRef A2 (instanceRef blk00000180))
+ (portRef A3 (instanceRef blk00000180))
+ (portRef A1 (instanceRef blk00000181))
+ (portRef A2 (instanceRef blk00000181))
+ (portRef A3 (instanceRef blk00000181))
+ (portRef A1 (instanceRef blk00000182))
+ (portRef A2 (instanceRef blk00000182))
+ (portRef A3 (instanceRef blk00000182))
+ (portRef A1 (instanceRef blk00000183))
+ (portRef A2 (instanceRef blk00000183))
+ (portRef A3 (instanceRef blk00000183))
+ (portRef A1 (instanceRef blk00000184))
+ (portRef A2 (instanceRef blk00000184))
+ (portRef A3 (instanceRef blk00000184))
+ )
+ )
+ (net sig00000cad
+ (joined
+ (portRef D (instanceRef blk00000155))
+ (portRef Q (instanceRef blk0000016e))
+ )
+ )
+ (net sig00000cae
+ (joined
+ (portRef D (instanceRef blk00000156))
+ (portRef Q (instanceRef blk0000016f))
+ )
+ )
+ (net sig00000caf
+ (joined
+ (portRef D (instanceRef blk00000157))
+ (portRef Q (instanceRef blk0000016d))
+ )
+ )
+ (net sig00000cb0
+ (joined
+ (portRef D (instanceRef blk00000158))
+ (portRef Q (instanceRef blk00000171))
+ )
+ )
+ (net sig00000cb1
+ (joined
+ (portRef D (instanceRef blk00000159))
+ (portRef Q (instanceRef blk00000172))
+ )
+ )
+ (net sig00000cb2
+ (joined
+ (portRef D (instanceRef blk0000015a))
+ (portRef Q (instanceRef blk00000170))
+ )
+ )
+ (net sig00000cb3
+ (joined
+ (portRef D (instanceRef blk0000015b))
+ (portRef Q (instanceRef blk00000174))
+ )
+ )
+ (net sig00000cb4
+ (joined
+ (portRef D (instanceRef blk0000015c))
+ (portRef Q (instanceRef blk00000175))
+ )
+ )
+ (net sig00000cb5
+ (joined
+ (portRef D (instanceRef blk0000015d))
+ (portRef Q (instanceRef blk00000173))
+ )
+ )
+ (net sig00000cb6
+ (joined
+ (portRef D (instanceRef blk0000015e))
+ (portRef Q (instanceRef blk00000177))
+ )
+ )
+ (net sig00000cb7
+ (joined
+ (portRef D (instanceRef blk0000015f))
+ (portRef Q (instanceRef blk00000178))
+ )
+ )
+ (net sig00000cb8
+ (joined
+ (portRef D (instanceRef blk00000160))
+ (portRef Q (instanceRef blk00000176))
+ )
+ )
+ (net sig00000cb9
+ (joined
+ (portRef D (instanceRef blk00000161))
+ (portRef Q (instanceRef blk0000017a))
+ )
+ )
+ (net sig00000cba
+ (joined
+ (portRef D (instanceRef blk00000162))
+ (portRef Q (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000cbb
+ (joined
+ (portRef D (instanceRef blk00000163))
+ (portRef Q (instanceRef blk00000179))
+ )
+ )
+ (net sig00000cbc
+ (joined
+ (portRef D (instanceRef blk00000164))
+ (portRef Q (instanceRef blk0000017d))
+ )
+ )
+ (net sig00000cbd
+ (joined
+ (portRef D (instanceRef blk00000165))
+ (portRef Q (instanceRef blk0000017e))
+ )
+ )
+ (net sig00000cbe
+ (joined
+ (portRef D (instanceRef blk00000166))
+ (portRef Q (instanceRef blk0000017c))
+ )
+ )
+ (net sig00000cbf
+ (joined
+ (portRef D (instanceRef blk00000167))
+ (portRef Q (instanceRef blk00000180))
+ )
+ )
+ (net sig00000cc0
+ (joined
+ (portRef D (instanceRef blk00000168))
+ (portRef Q (instanceRef blk00000181))
+ )
+ )
+ (net sig00000cc1
+ (joined
+ (portRef D (instanceRef blk00000169))
+ (portRef Q (instanceRef blk0000017f))
+ )
+ )
+ (net sig00000cc2
+ (joined
+ (portRef D (instanceRef blk0000016a))
+ (portRef Q (instanceRef blk00000183))
+ )
+ )
+ (net sig00000cc3
+ (joined
+ (portRef D (instanceRef blk0000016b))
+ (portRef Q (instanceRef blk00000184))
+ )
+ )
+ (net sig00000cc4
+ (joined
+ (portRef D (instanceRef blk0000016c))
+ (portRef Q (instanceRef blk00000182))
+ )
+ )
+ (net sig00000cc5
+ (joined
+ (portRef CE (instanceRef blk0000016d))
+ (portRef CE (instanceRef blk0000016e))
+ (portRef CE (instanceRef blk0000016f))
+ (portRef CE (instanceRef blk00000170))
+ (portRef CE (instanceRef blk00000171))
+ (portRef CE (instanceRef blk00000172))
+ (portRef CE (instanceRef blk00000173))
+ (portRef CE (instanceRef blk00000174))
+ (portRef CE (instanceRef blk00000175))
+ (portRef CE (instanceRef blk00000176))
+ (portRef CE (instanceRef blk00000177))
+ (portRef CE (instanceRef blk00000178))
+ (portRef CE (instanceRef blk00000179))
+ (portRef CE (instanceRef blk0000017a))
+ (portRef CE (instanceRef blk0000017b))
+ (portRef CE (instanceRef blk0000017c))
+ (portRef CE (instanceRef blk0000017d))
+ (portRef CE (instanceRef blk0000017e))
+ (portRef CE (instanceRef blk0000017f))
+ (portRef CE (instanceRef blk00000180))
+ (portRef CE (instanceRef blk00000181))
+ (portRef CE (instanceRef blk00000182))
+ (portRef CE (instanceRef blk00000183))
+ (portRef CE (instanceRef blk00000184))
+ (portRef O (instanceRef blk00000185))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_blk00000120 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000121
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000122
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000123
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000124
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000125
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000126
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000127
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000128
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000129
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000130
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000131
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000132
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000133
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000134
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000135
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000136
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000137
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000138
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000139
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000013a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000140
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000141
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000142
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000143
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000144
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000145
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000146
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000147
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000148
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000149
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000150
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000151
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000152
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000c2a
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A0 (instanceRef blk0000013a))
+ (portRef A0 (instanceRef blk0000013b))
+ (portRef A0 (instanceRef blk0000013c))
+ (portRef A0 (instanceRef blk0000013d))
+ (portRef A0 (instanceRef blk0000013e))
+ (portRef A0 (instanceRef blk0000013f))
+ (portRef A0 (instanceRef blk00000140))
+ (portRef A0 (instanceRef blk00000141))
+ (portRef A0 (instanceRef blk00000142))
+ (portRef A0 (instanceRef blk00000143))
+ (portRef A0 (instanceRef blk00000144))
+ (portRef A0 (instanceRef blk00000145))
+ (portRef A0 (instanceRef blk00000146))
+ (portRef A0 (instanceRef blk00000147))
+ (portRef A0 (instanceRef blk00000148))
+ (portRef A0 (instanceRef blk00000149))
+ (portRef A0 (instanceRef blk0000014a))
+ (portRef A0 (instanceRef blk0000014b))
+ (portRef A0 (instanceRef blk0000014c))
+ (portRef A0 (instanceRef blk0000014d))
+ (portRef A0 (instanceRef blk0000014e))
+ (portRef A0 (instanceRef blk0000014f))
+ (portRef A0 (instanceRef blk00000150))
+ (portRef A0 (instanceRef blk00000151))
+ )
+ )
+ (net sig00000c2b
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000014f))
+ )
+ )
+ (net sig00000c2c
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000151))
+ )
+ )
+ (net sig00000c2d
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000150))
+ )
+ )
+ (net sig00000c2e
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000014c))
+ )
+ )
+ (net sig00000c2f
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000014e))
+ )
+ )
+ (net sig00000c30
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000014d))
+ )
+ )
+ (net sig00000c31
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000149))
+ )
+ )
+ (net sig00000c32
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000014b))
+ )
+ )
+ (net sig00000c33
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000014a))
+ )
+ )
+ (net sig00000c34
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000146))
+ )
+ )
+ (net sig00000c35
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000148))
+ )
+ )
+ (net sig00000c36
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000147))
+ )
+ )
+ (net sig00000c37
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000143))
+ )
+ )
+ (net sig00000c38
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000145))
+ )
+ )
+ (net sig00000c39
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000144))
+ )
+ )
+ (net sig00000c3a
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000140))
+ )
+ )
+ (net sig00000c3b
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000142))
+ )
+ )
+ (net sig00000c3c
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000141))
+ )
+ )
+ (net sig00000c3d
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000013d))
+ )
+ )
+ (net sig00000c3e
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000013f))
+ )
+ )
+ (net sig00000c3f
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000013e))
+ )
+ )
+ (net sig00000c40
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000013a))
+ )
+ )
+ (net sig00000c41
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000013c))
+ )
+ )
+ (net sig00000c42
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000013b))
+ )
+ )
+ (net sig00000c43
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000152))
+ )
+ )
+ (net sig00000c44
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000122))
+ (portRef CE (instanceRef blk00000123))
+ (portRef CE (instanceRef blk00000124))
+ (portRef CE (instanceRef blk00000125))
+ (portRef CE (instanceRef blk00000126))
+ (portRef CE (instanceRef blk00000127))
+ (portRef CE (instanceRef blk00000128))
+ (portRef CE (instanceRef blk00000129))
+ (portRef CE (instanceRef blk0000012a))
+ (portRef CE (instanceRef blk0000012b))
+ (portRef CE (instanceRef blk0000012c))
+ (portRef CE (instanceRef blk0000012d))
+ (portRef CE (instanceRef blk0000012e))
+ (portRef CE (instanceRef blk0000012f))
+ (portRef CE (instanceRef blk00000130))
+ (portRef CE (instanceRef blk00000131))
+ (portRef CE (instanceRef blk00000132))
+ (portRef CE (instanceRef blk00000133))
+ (portRef CE (instanceRef blk00000134))
+ (portRef CE (instanceRef blk00000135))
+ (portRef CE (instanceRef blk00000136))
+ (portRef CE (instanceRef blk00000137))
+ (portRef CE (instanceRef blk00000138))
+ (portRef CE (instanceRef blk00000139))
+ (portRef I0 (instanceRef blk00000152))
+ )
+ )
+ (net sig00000c45
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000122))
+ (portRef C (instanceRef blk00000123))
+ (portRef C (instanceRef blk00000124))
+ (portRef C (instanceRef blk00000125))
+ (portRef C (instanceRef blk00000126))
+ (portRef C (instanceRef blk00000127))
+ (portRef C (instanceRef blk00000128))
+ (portRef C (instanceRef blk00000129))
+ (portRef C (instanceRef blk0000012a))
+ (portRef C (instanceRef blk0000012b))
+ (portRef C (instanceRef blk0000012c))
+ (portRef C (instanceRef blk0000012d))
+ (portRef C (instanceRef blk0000012e))
+ (portRef C (instanceRef blk0000012f))
+ (portRef C (instanceRef blk00000130))
+ (portRef C (instanceRef blk00000131))
+ (portRef C (instanceRef blk00000132))
+ (portRef C (instanceRef blk00000133))
+ (portRef C (instanceRef blk00000134))
+ (portRef C (instanceRef blk00000135))
+ (portRef C (instanceRef blk00000136))
+ (portRef C (instanceRef blk00000137))
+ (portRef C (instanceRef blk00000138))
+ (portRef C (instanceRef blk00000139))
+ (portRef CLK (instanceRef blk0000013a))
+ (portRef CLK (instanceRef blk0000013b))
+ (portRef CLK (instanceRef blk0000013c))
+ (portRef CLK (instanceRef blk0000013d))
+ (portRef CLK (instanceRef blk0000013e))
+ (portRef CLK (instanceRef blk0000013f))
+ (portRef CLK (instanceRef blk00000140))
+ (portRef CLK (instanceRef blk00000141))
+ (portRef CLK (instanceRef blk00000142))
+ (portRef CLK (instanceRef blk00000143))
+ (portRef CLK (instanceRef blk00000144))
+ (portRef CLK (instanceRef blk00000145))
+ (portRef CLK (instanceRef blk00000146))
+ (portRef CLK (instanceRef blk00000147))
+ (portRef CLK (instanceRef blk00000148))
+ (portRef CLK (instanceRef blk00000149))
+ (portRef CLK (instanceRef blk0000014a))
+ (portRef CLK (instanceRef blk0000014b))
+ (portRef CLK (instanceRef blk0000014c))
+ (portRef CLK (instanceRef blk0000014d))
+ (portRef CLK (instanceRef blk0000014e))
+ (portRef CLK (instanceRef blk0000014f))
+ (portRef CLK (instanceRef blk00000150))
+ (portRef CLK (instanceRef blk00000151))
+ )
+ )
+ (net sig00000c46
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000139))
+ )
+ )
+ (net sig00000c47
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000138))
+ )
+ )
+ (net sig00000c48
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000137))
+ )
+ )
+ (net sig00000c49
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000136))
+ )
+ )
+ (net sig00000c4a
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000135))
+ )
+ )
+ (net sig00000c4b
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000134))
+ )
+ )
+ (net sig00000c4c
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000133))
+ )
+ )
+ (net sig00000c4d
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000132))
+ )
+ )
+ (net sig00000c4e
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000131))
+ )
+ )
+ (net sig00000c4f
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000130))
+ )
+ )
+ (net sig00000c50
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000012f))
+ )
+ )
+ (net sig00000c51
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000012e))
+ )
+ )
+ (net sig00000c52
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000012d))
+ )
+ )
+ (net sig00000c53
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000012c))
+ )
+ )
+ (net sig00000c54
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000012b))
+ )
+ )
+ (net sig00000c55
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000012a))
+ )
+ )
+ (net sig00000c56
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000129))
+ )
+ )
+ (net sig00000c57
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000128))
+ )
+ )
+ (net sig00000c58
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000127))
+ )
+ )
+ (net sig00000c59
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000126))
+ )
+ )
+ (net sig00000c5a
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000125))
+ )
+ )
+ (net sig00000c5b
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000124))
+ )
+ )
+ (net sig00000c5c
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000123))
+ )
+ )
+ (net sig00000c5d
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000122))
+ )
+ )
+ (net sig00000c5e
+ (joined
+ (portRef G (instanceRef blk00000121))
+ (portRef A1 (instanceRef blk0000013a))
+ (portRef A2 (instanceRef blk0000013a))
+ (portRef A3 (instanceRef blk0000013a))
+ (portRef A1 (instanceRef blk0000013b))
+ (portRef A2 (instanceRef blk0000013b))
+ (portRef A3 (instanceRef blk0000013b))
+ (portRef A1 (instanceRef blk0000013c))
+ (portRef A2 (instanceRef blk0000013c))
+ (portRef A3 (instanceRef blk0000013c))
+ (portRef A1 (instanceRef blk0000013d))
+ (portRef A2 (instanceRef blk0000013d))
+ (portRef A3 (instanceRef blk0000013d))
+ (portRef A1 (instanceRef blk0000013e))
+ (portRef A2 (instanceRef blk0000013e))
+ (portRef A3 (instanceRef blk0000013e))
+ (portRef A1 (instanceRef blk0000013f))
+ (portRef A2 (instanceRef blk0000013f))
+ (portRef A3 (instanceRef blk0000013f))
+ (portRef A1 (instanceRef blk00000140))
+ (portRef A2 (instanceRef blk00000140))
+ (portRef A3 (instanceRef blk00000140))
+ (portRef A1 (instanceRef blk00000141))
+ (portRef A2 (instanceRef blk00000141))
+ (portRef A3 (instanceRef blk00000141))
+ (portRef A1 (instanceRef blk00000142))
+ (portRef A2 (instanceRef blk00000142))
+ (portRef A3 (instanceRef blk00000142))
+ (portRef A1 (instanceRef blk00000143))
+ (portRef A2 (instanceRef blk00000143))
+ (portRef A3 (instanceRef blk00000143))
+ (portRef A1 (instanceRef blk00000144))
+ (portRef A2 (instanceRef blk00000144))
+ (portRef A3 (instanceRef blk00000144))
+ (portRef A1 (instanceRef blk00000145))
+ (portRef A2 (instanceRef blk00000145))
+ (portRef A3 (instanceRef blk00000145))
+ (portRef A1 (instanceRef blk00000146))
+ (portRef A2 (instanceRef blk00000146))
+ (portRef A3 (instanceRef blk00000146))
+ (portRef A1 (instanceRef blk00000147))
+ (portRef A2 (instanceRef blk00000147))
+ (portRef A3 (instanceRef blk00000147))
+ (portRef A1 (instanceRef blk00000148))
+ (portRef A2 (instanceRef blk00000148))
+ (portRef A3 (instanceRef blk00000148))
+ (portRef A1 (instanceRef blk00000149))
+ (portRef A2 (instanceRef blk00000149))
+ (portRef A3 (instanceRef blk00000149))
+ (portRef A1 (instanceRef blk0000014a))
+ (portRef A2 (instanceRef blk0000014a))
+ (portRef A3 (instanceRef blk0000014a))
+ (portRef A1 (instanceRef blk0000014b))
+ (portRef A2 (instanceRef blk0000014b))
+ (portRef A3 (instanceRef blk0000014b))
+ (portRef A1 (instanceRef blk0000014c))
+ (portRef A2 (instanceRef blk0000014c))
+ (portRef A3 (instanceRef blk0000014c))
+ (portRef A1 (instanceRef blk0000014d))
+ (portRef A2 (instanceRef blk0000014d))
+ (portRef A3 (instanceRef blk0000014d))
+ (portRef A1 (instanceRef blk0000014e))
+ (portRef A2 (instanceRef blk0000014e))
+ (portRef A3 (instanceRef blk0000014e))
+ (portRef A1 (instanceRef blk0000014f))
+ (portRef A2 (instanceRef blk0000014f))
+ (portRef A3 (instanceRef blk0000014f))
+ (portRef A1 (instanceRef blk00000150))
+ (portRef A2 (instanceRef blk00000150))
+ (portRef A3 (instanceRef blk00000150))
+ (portRef A1 (instanceRef blk00000151))
+ (portRef A2 (instanceRef blk00000151))
+ (portRef A3 (instanceRef blk00000151))
+ )
+ )
+ (net sig00000c5f
+ (joined
+ (portRef D (instanceRef blk00000122))
+ (portRef Q (instanceRef blk0000013b))
+ )
+ )
+ (net sig00000c60
+ (joined
+ (portRef D (instanceRef blk00000123))
+ (portRef Q (instanceRef blk0000013c))
+ )
+ )
+ (net sig00000c61
+ (joined
+ (portRef D (instanceRef blk00000124))
+ (portRef Q (instanceRef blk0000013a))
+ )
+ )
+ (net sig00000c62
+ (joined
+ (portRef D (instanceRef blk00000125))
+ (portRef Q (instanceRef blk0000013e))
+ )
+ )
+ (net sig00000c63
+ (joined
+ (portRef D (instanceRef blk00000126))
+ (portRef Q (instanceRef blk0000013f))
+ )
+ )
+ (net sig00000c64
+ (joined
+ (portRef D (instanceRef blk00000127))
+ (portRef Q (instanceRef blk0000013d))
+ )
+ )
+ (net sig00000c65
+ (joined
+ (portRef D (instanceRef blk00000128))
+ (portRef Q (instanceRef blk00000141))
+ )
+ )
+ (net sig00000c66
+ (joined
+ (portRef D (instanceRef blk00000129))
+ (portRef Q (instanceRef blk00000142))
+ )
+ )
+ (net sig00000c67
+ (joined
+ (portRef D (instanceRef blk0000012a))
+ (portRef Q (instanceRef blk00000140))
+ )
+ )
+ (net sig00000c68
+ (joined
+ (portRef D (instanceRef blk0000012b))
+ (portRef Q (instanceRef blk00000144))
+ )
+ )
+ (net sig00000c69
+ (joined
+ (portRef D (instanceRef blk0000012c))
+ (portRef Q (instanceRef blk00000145))
+ )
+ )
+ (net sig00000c6a
+ (joined
+ (portRef D (instanceRef blk0000012d))
+ (portRef Q (instanceRef blk00000143))
+ )
+ )
+ (net sig00000c6b
+ (joined
+ (portRef D (instanceRef blk0000012e))
+ (portRef Q (instanceRef blk00000147))
+ )
+ )
+ (net sig00000c6c
+ (joined
+ (portRef D (instanceRef blk0000012f))
+ (portRef Q (instanceRef blk00000148))
+ )
+ )
+ (net sig00000c6d
+ (joined
+ (portRef D (instanceRef blk00000130))
+ (portRef Q (instanceRef blk00000146))
+ )
+ )
+ (net sig00000c6e
+ (joined
+ (portRef D (instanceRef blk00000131))
+ (portRef Q (instanceRef blk0000014a))
+ )
+ )
+ (net sig00000c6f
+ (joined
+ (portRef D (instanceRef blk00000132))
+ (portRef Q (instanceRef blk0000014b))
+ )
+ )
+ (net sig00000c70
+ (joined
+ (portRef D (instanceRef blk00000133))
+ (portRef Q (instanceRef blk00000149))
+ )
+ )
+ (net sig00000c71
+ (joined
+ (portRef D (instanceRef blk00000134))
+ (portRef Q (instanceRef blk0000014d))
+ )
+ )
+ (net sig00000c72
+ (joined
+ (portRef D (instanceRef blk00000135))
+ (portRef Q (instanceRef blk0000014e))
+ )
+ )
+ (net sig00000c73
+ (joined
+ (portRef D (instanceRef blk00000136))
+ (portRef Q (instanceRef blk0000014c))
+ )
+ )
+ (net sig00000c74
+ (joined
+ (portRef D (instanceRef blk00000137))
+ (portRef Q (instanceRef blk00000150))
+ )
+ )
+ (net sig00000c75
+ (joined
+ (portRef D (instanceRef blk00000138))
+ (portRef Q (instanceRef blk00000151))
+ )
+ )
+ (net sig00000c76
+ (joined
+ (portRef D (instanceRef blk00000139))
+ (portRef Q (instanceRef blk0000014f))
+ )
+ )
+ (net sig00000c77
+ (joined
+ (portRef CE (instanceRef blk0000013a))
+ (portRef CE (instanceRef blk0000013b))
+ (portRef CE (instanceRef blk0000013c))
+ (portRef CE (instanceRef blk0000013d))
+ (portRef CE (instanceRef blk0000013e))
+ (portRef CE (instanceRef blk0000013f))
+ (portRef CE (instanceRef blk00000140))
+ (portRef CE (instanceRef blk00000141))
+ (portRef CE (instanceRef blk00000142))
+ (portRef CE (instanceRef blk00000143))
+ (portRef CE (instanceRef blk00000144))
+ (portRef CE (instanceRef blk00000145))
+ (portRef CE (instanceRef blk00000146))
+ (portRef CE (instanceRef blk00000147))
+ (portRef CE (instanceRef blk00000148))
+ (portRef CE (instanceRef blk00000149))
+ (portRef CE (instanceRef blk0000014a))
+ (portRef CE (instanceRef blk0000014b))
+ (portRef CE (instanceRef blk0000014c))
+ (portRef CE (instanceRef blk0000014d))
+ (portRef CE (instanceRef blk0000014e))
+ (portRef CE (instanceRef blk0000014f))
+ (portRef CE (instanceRef blk00000150))
+ (portRef CE (instanceRef blk00000151))
+ (portRef O (instanceRef blk00000152))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_1_blk0000002c "dpr_ram_1")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<47:0>") 48)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<47:0>") 48)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000002d
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000002e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000030
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000031
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000032
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000033
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000034
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000035
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000036
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000037
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000038
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000039
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000040
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000041
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000042
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000043
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000044
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000045
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000046
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000047
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000048
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000049
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000050
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000051
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000052
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000053
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000054
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000055
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000056
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000057
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000058
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000059
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000005f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000060
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000061
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000062
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000063
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000064
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000065
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000066
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000067
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000068
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000069
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000070
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000071
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000072
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000073
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000074
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000075
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000076
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000077
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000078
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000079
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000080
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000081
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000082
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000083
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000084
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000085
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000086
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000087
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000088
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000089
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000b8f
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A2 (instanceRef blk0000005e))
+ (portRef A2 (instanceRef blk0000005f))
+ (portRef A2 (instanceRef blk00000060))
+ (portRef A2 (instanceRef blk00000061))
+ (portRef A2 (instanceRef blk00000062))
+ (portRef A2 (instanceRef blk00000063))
+ (portRef A2 (instanceRef blk00000064))
+ (portRef A2 (instanceRef blk00000065))
+ (portRef A2 (instanceRef blk00000066))
+ (portRef A2 (instanceRef blk00000067))
+ (portRef A2 (instanceRef blk00000068))
+ (portRef A2 (instanceRef blk00000069))
+ (portRef A2 (instanceRef blk0000006a))
+ (portRef A2 (instanceRef blk0000006b))
+ (portRef A2 (instanceRef blk0000006c))
+ (portRef A2 (instanceRef blk0000006d))
+ (portRef A2 (instanceRef blk0000006e))
+ (portRef A2 (instanceRef blk0000006f))
+ (portRef A2 (instanceRef blk00000070))
+ (portRef A2 (instanceRef blk00000071))
+ (portRef A2 (instanceRef blk00000072))
+ (portRef A2 (instanceRef blk00000073))
+ (portRef A2 (instanceRef blk00000074))
+ (portRef A2 (instanceRef blk00000075))
+ (portRef A2 (instanceRef blk00000076))
+ (portRef A2 (instanceRef blk00000077))
+ (portRef A2 (instanceRef blk00000078))
+ (portRef A2 (instanceRef blk00000079))
+ (portRef A2 (instanceRef blk0000007a))
+ (portRef A2 (instanceRef blk0000007b))
+ (portRef A2 (instanceRef blk0000007c))
+ (portRef A2 (instanceRef blk0000007d))
+ (portRef A2 (instanceRef blk0000007e))
+ (portRef A2 (instanceRef blk0000007f))
+ (portRef A2 (instanceRef blk00000080))
+ (portRef A2 (instanceRef blk00000081))
+ (portRef A2 (instanceRef blk00000082))
+ (portRef A2 (instanceRef blk00000083))
+ (portRef A2 (instanceRef blk00000084))
+ (portRef A2 (instanceRef blk00000085))
+ (portRef A2 (instanceRef blk00000086))
+ (portRef A2 (instanceRef blk00000087))
+ (portRef A2 (instanceRef blk00000088))
+ (portRef A2 (instanceRef blk00000089))
+ (portRef A2 (instanceRef blk0000008a))
+ (portRef A2 (instanceRef blk0000008b))
+ (portRef A2 (instanceRef blk0000008c))
+ (portRef A2 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000b90
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A1 (instanceRef blk0000005e))
+ (portRef A1 (instanceRef blk0000005f))
+ (portRef A1 (instanceRef blk00000060))
+ (portRef A1 (instanceRef blk00000061))
+ (portRef A1 (instanceRef blk00000062))
+ (portRef A1 (instanceRef blk00000063))
+ (portRef A1 (instanceRef blk00000064))
+ (portRef A1 (instanceRef blk00000065))
+ (portRef A1 (instanceRef blk00000066))
+ (portRef A1 (instanceRef blk00000067))
+ (portRef A1 (instanceRef blk00000068))
+ (portRef A1 (instanceRef blk00000069))
+ (portRef A1 (instanceRef blk0000006a))
+ (portRef A1 (instanceRef blk0000006b))
+ (portRef A1 (instanceRef blk0000006c))
+ (portRef A1 (instanceRef blk0000006d))
+ (portRef A1 (instanceRef blk0000006e))
+ (portRef A1 (instanceRef blk0000006f))
+ (portRef A1 (instanceRef blk00000070))
+ (portRef A1 (instanceRef blk00000071))
+ (portRef A1 (instanceRef blk00000072))
+ (portRef A1 (instanceRef blk00000073))
+ (portRef A1 (instanceRef blk00000074))
+ (portRef A1 (instanceRef blk00000075))
+ (portRef A1 (instanceRef blk00000076))
+ (portRef A1 (instanceRef blk00000077))
+ (portRef A1 (instanceRef blk00000078))
+ (portRef A1 (instanceRef blk00000079))
+ (portRef A1 (instanceRef blk0000007a))
+ (portRef A1 (instanceRef blk0000007b))
+ (portRef A1 (instanceRef blk0000007c))
+ (portRef A1 (instanceRef blk0000007d))
+ (portRef A1 (instanceRef blk0000007e))
+ (portRef A1 (instanceRef blk0000007f))
+ (portRef A1 (instanceRef blk00000080))
+ (portRef A1 (instanceRef blk00000081))
+ (portRef A1 (instanceRef blk00000082))
+ (portRef A1 (instanceRef blk00000083))
+ (portRef A1 (instanceRef blk00000084))
+ (portRef A1 (instanceRef blk00000085))
+ (portRef A1 (instanceRef blk00000086))
+ (portRef A1 (instanceRef blk00000087))
+ (portRef A1 (instanceRef blk00000088))
+ (portRef A1 (instanceRef blk00000089))
+ (portRef A1 (instanceRef blk0000008a))
+ (portRef A1 (instanceRef blk0000008b))
+ (portRef A1 (instanceRef blk0000008c))
+ (portRef A1 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000b91
+ (joined
+ (portRef (member ADDRA 2))
+ (portRef A0 (instanceRef blk0000005e))
+ (portRef A0 (instanceRef blk0000005f))
+ (portRef A0 (instanceRef blk00000060))
+ (portRef A0 (instanceRef blk00000061))
+ (portRef A0 (instanceRef blk00000062))
+ (portRef A0 (instanceRef blk00000063))
+ (portRef A0 (instanceRef blk00000064))
+ (portRef A0 (instanceRef blk00000065))
+ (portRef A0 (instanceRef blk00000066))
+ (portRef A0 (instanceRef blk00000067))
+ (portRef A0 (instanceRef blk00000068))
+ (portRef A0 (instanceRef blk00000069))
+ (portRef A0 (instanceRef blk0000006a))
+ (portRef A0 (instanceRef blk0000006b))
+ (portRef A0 (instanceRef blk0000006c))
+ (portRef A0 (instanceRef blk0000006d))
+ (portRef A0 (instanceRef blk0000006e))
+ (portRef A0 (instanceRef blk0000006f))
+ (portRef A0 (instanceRef blk00000070))
+ (portRef A0 (instanceRef blk00000071))
+ (portRef A0 (instanceRef blk00000072))
+ (portRef A0 (instanceRef blk00000073))
+ (portRef A0 (instanceRef blk00000074))
+ (portRef A0 (instanceRef blk00000075))
+ (portRef A0 (instanceRef blk00000076))
+ (portRef A0 (instanceRef blk00000077))
+ (portRef A0 (instanceRef blk00000078))
+ (portRef A0 (instanceRef blk00000079))
+ (portRef A0 (instanceRef blk0000007a))
+ (portRef A0 (instanceRef blk0000007b))
+ (portRef A0 (instanceRef blk0000007c))
+ (portRef A0 (instanceRef blk0000007d))
+ (portRef A0 (instanceRef blk0000007e))
+ (portRef A0 (instanceRef blk0000007f))
+ (portRef A0 (instanceRef blk00000080))
+ (portRef A0 (instanceRef blk00000081))
+ (portRef A0 (instanceRef blk00000082))
+ (portRef A0 (instanceRef blk00000083))
+ (portRef A0 (instanceRef blk00000084))
+ (portRef A0 (instanceRef blk00000085))
+ (portRef A0 (instanceRef blk00000086))
+ (portRef A0 (instanceRef blk00000087))
+ (portRef A0 (instanceRef blk00000088))
+ (portRef A0 (instanceRef blk00000089))
+ (portRef A0 (instanceRef blk0000008a))
+ (portRef A0 (instanceRef blk0000008b))
+ (portRef A0 (instanceRef blk0000008c))
+ (portRef A0 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000b92
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000b93
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000b94
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000008c))
+ )
+ )
+ (net sig00000b95
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000088))
+ )
+ )
+ (net sig00000b96
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk0000008a))
+ )
+ )
+ (net sig00000b97
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk00000089))
+ )
+ )
+ (net sig00000b98
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000085))
+ )
+ )
+ (net sig00000b99
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000087))
+ )
+ )
+ (net sig00000b9a
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000086))
+ )
+ )
+ (net sig00000b9b
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000082))
+ )
+ )
+ (net sig00000b9c
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000084))
+ )
+ )
+ (net sig00000b9d
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000083))
+ )
+ )
+ (net sig00000b9e
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk0000007f))
+ )
+ )
+ (net sig00000b9f
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000081))
+ )
+ )
+ (net sig00000ba0
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000080))
+ )
+ )
+ (net sig00000ba1
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk0000007c))
+ )
+ )
+ (net sig00000ba2
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk0000007e))
+ )
+ )
+ (net sig00000ba3
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk0000007d))
+ )
+ )
+ (net sig00000ba4
+ (joined
+ (portRef (member DA_IN 18))
+ (portRef D (instanceRef blk00000079))
+ )
+ )
+ (net sig00000ba5
+ (joined
+ (portRef (member DA_IN 19))
+ (portRef D (instanceRef blk0000007b))
+ )
+ )
+ (net sig00000ba6
+ (joined
+ (portRef (member DA_IN 20))
+ (portRef D (instanceRef blk0000007a))
+ )
+ )
+ (net sig00000ba7
+ (joined
+ (portRef (member DA_IN 21))
+ (portRef D (instanceRef blk00000076))
+ )
+ )
+ (net sig00000ba8
+ (joined
+ (portRef (member DA_IN 22))
+ (portRef D (instanceRef blk00000078))
+ )
+ )
+ (net sig00000ba9
+ (joined
+ (portRef (member DA_IN 23))
+ (portRef D (instanceRef blk00000077))
+ )
+ )
+ (net sig00000baa
+ (joined
+ (portRef (member DA_IN 24))
+ (portRef D (instanceRef blk00000073))
+ )
+ )
+ (net sig00000bab
+ (joined
+ (portRef (member DA_IN 25))
+ (portRef D (instanceRef blk00000075))
+ )
+ )
+ (net sig00000bac
+ (joined
+ (portRef (member DA_IN 26))
+ (portRef D (instanceRef blk00000074))
+ )
+ )
+ (net sig00000bad
+ (joined
+ (portRef (member DA_IN 27))
+ (portRef D (instanceRef blk00000070))
+ )
+ )
+ (net sig00000bae
+ (joined
+ (portRef (member DA_IN 28))
+ (portRef D (instanceRef blk00000072))
+ )
+ )
+ (net sig00000baf
+ (joined
+ (portRef (member DA_IN 29))
+ (portRef D (instanceRef blk00000071))
+ )
+ )
+ (net sig00000bb0
+ (joined
+ (portRef (member DA_IN 30))
+ (portRef D (instanceRef blk0000006d))
+ )
+ )
+ (net sig00000bb1
+ (joined
+ (portRef (member DA_IN 31))
+ (portRef D (instanceRef blk0000006f))
+ )
+ )
+ (net sig00000bb2
+ (joined
+ (portRef (member DA_IN 32))
+ (portRef D (instanceRef blk0000006e))
+ )
+ )
+ (net sig00000bb3
+ (joined
+ (portRef (member DA_IN 33))
+ (portRef D (instanceRef blk0000006a))
+ )
+ )
+ (net sig00000bb4
+ (joined
+ (portRef (member DA_IN 34))
+ (portRef D (instanceRef blk0000006c))
+ )
+ )
+ (net sig00000bb5
+ (joined
+ (portRef (member DA_IN 35))
+ (portRef D (instanceRef blk0000006b))
+ )
+ )
+ (net sig00000bb6
+ (joined
+ (portRef (member DA_IN 36))
+ (portRef D (instanceRef blk00000067))
+ )
+ )
+ (net sig00000bb7
+ (joined
+ (portRef (member DA_IN 37))
+ (portRef D (instanceRef blk00000069))
+ )
+ )
+ (net sig00000bb8
+ (joined
+ (portRef (member DA_IN 38))
+ (portRef D (instanceRef blk00000068))
+ )
+ )
+ (net sig00000bb9
+ (joined
+ (portRef (member DA_IN 39))
+ (portRef D (instanceRef blk00000064))
+ )
+ )
+ (net sig00000bba
+ (joined
+ (portRef (member DA_IN 40))
+ (portRef D (instanceRef blk00000066))
+ )
+ )
+ (net sig00000bbb
+ (joined
+ (portRef (member DA_IN 41))
+ (portRef D (instanceRef blk00000065))
+ )
+ )
+ (net sig00000bbc
+ (joined
+ (portRef (member DA_IN 42))
+ (portRef D (instanceRef blk00000061))
+ )
+ )
+ (net sig00000bbd
+ (joined
+ (portRef (member DA_IN 43))
+ (portRef D (instanceRef blk00000063))
+ )
+ )
+ (net sig00000bbe
+ (joined
+ (portRef (member DA_IN 44))
+ (portRef D (instanceRef blk00000062))
+ )
+ )
+ (net sig00000bbf
+ (joined
+ (portRef (member DA_IN 45))
+ (portRef D (instanceRef blk0000005e))
+ )
+ )
+ (net sig00000bc0
+ (joined
+ (portRef (member DA_IN 46))
+ (portRef D (instanceRef blk00000060))
+ )
+ )
+ (net sig00000bc1
+ (joined
+ (portRef (member DA_IN 47))
+ (portRef D (instanceRef blk0000005f))
+ )
+ )
+ (net sig00000bc2
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA2 (instanceRef blk0000005e))
+ (portRef DPRA2 (instanceRef blk0000005f))
+ (portRef DPRA2 (instanceRef blk00000060))
+ (portRef DPRA2 (instanceRef blk00000061))
+ (portRef DPRA2 (instanceRef blk00000062))
+ (portRef DPRA2 (instanceRef blk00000063))
+ (portRef DPRA2 (instanceRef blk00000064))
+ (portRef DPRA2 (instanceRef blk00000065))
+ (portRef DPRA2 (instanceRef blk00000066))
+ (portRef DPRA2 (instanceRef blk00000067))
+ (portRef DPRA2 (instanceRef blk00000068))
+ (portRef DPRA2 (instanceRef blk00000069))
+ (portRef DPRA2 (instanceRef blk0000006a))
+ (portRef DPRA2 (instanceRef blk0000006b))
+ (portRef DPRA2 (instanceRef blk0000006c))
+ (portRef DPRA2 (instanceRef blk0000006d))
+ (portRef DPRA2 (instanceRef blk0000006e))
+ (portRef DPRA2 (instanceRef blk0000006f))
+ (portRef DPRA2 (instanceRef blk00000070))
+ (portRef DPRA2 (instanceRef blk00000071))
+ (portRef DPRA2 (instanceRef blk00000072))
+ (portRef DPRA2 (instanceRef blk00000073))
+ (portRef DPRA2 (instanceRef blk00000074))
+ (portRef DPRA2 (instanceRef blk00000075))
+ (portRef DPRA2 (instanceRef blk00000076))
+ (portRef DPRA2 (instanceRef blk00000077))
+ (portRef DPRA2 (instanceRef blk00000078))
+ (portRef DPRA2 (instanceRef blk00000079))
+ (portRef DPRA2 (instanceRef blk0000007a))
+ (portRef DPRA2 (instanceRef blk0000007b))
+ (portRef DPRA2 (instanceRef blk0000007c))
+ (portRef DPRA2 (instanceRef blk0000007d))
+ (portRef DPRA2 (instanceRef blk0000007e))
+ (portRef DPRA2 (instanceRef blk0000007f))
+ (portRef DPRA2 (instanceRef blk00000080))
+ (portRef DPRA2 (instanceRef blk00000081))
+ (portRef DPRA2 (instanceRef blk00000082))
+ (portRef DPRA2 (instanceRef blk00000083))
+ (portRef DPRA2 (instanceRef blk00000084))
+ (portRef DPRA2 (instanceRef blk00000085))
+ (portRef DPRA2 (instanceRef blk00000086))
+ (portRef DPRA2 (instanceRef blk00000087))
+ (portRef DPRA2 (instanceRef blk00000088))
+ (portRef DPRA2 (instanceRef blk00000089))
+ (portRef DPRA2 (instanceRef blk0000008a))
+ (portRef DPRA2 (instanceRef blk0000008b))
+ (portRef DPRA2 (instanceRef blk0000008c))
+ (portRef DPRA2 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000bc3
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA1 (instanceRef blk0000005e))
+ (portRef DPRA1 (instanceRef blk0000005f))
+ (portRef DPRA1 (instanceRef blk00000060))
+ (portRef DPRA1 (instanceRef blk00000061))
+ (portRef DPRA1 (instanceRef blk00000062))
+ (portRef DPRA1 (instanceRef blk00000063))
+ (portRef DPRA1 (instanceRef blk00000064))
+ (portRef DPRA1 (instanceRef blk00000065))
+ (portRef DPRA1 (instanceRef blk00000066))
+ (portRef DPRA1 (instanceRef blk00000067))
+ (portRef DPRA1 (instanceRef blk00000068))
+ (portRef DPRA1 (instanceRef blk00000069))
+ (portRef DPRA1 (instanceRef blk0000006a))
+ (portRef DPRA1 (instanceRef blk0000006b))
+ (portRef DPRA1 (instanceRef blk0000006c))
+ (portRef DPRA1 (instanceRef blk0000006d))
+ (portRef DPRA1 (instanceRef blk0000006e))
+ (portRef DPRA1 (instanceRef blk0000006f))
+ (portRef DPRA1 (instanceRef blk00000070))
+ (portRef DPRA1 (instanceRef blk00000071))
+ (portRef DPRA1 (instanceRef blk00000072))
+ (portRef DPRA1 (instanceRef blk00000073))
+ (portRef DPRA1 (instanceRef blk00000074))
+ (portRef DPRA1 (instanceRef blk00000075))
+ (portRef DPRA1 (instanceRef blk00000076))
+ (portRef DPRA1 (instanceRef blk00000077))
+ (portRef DPRA1 (instanceRef blk00000078))
+ (portRef DPRA1 (instanceRef blk00000079))
+ (portRef DPRA1 (instanceRef blk0000007a))
+ (portRef DPRA1 (instanceRef blk0000007b))
+ (portRef DPRA1 (instanceRef blk0000007c))
+ (portRef DPRA1 (instanceRef blk0000007d))
+ (portRef DPRA1 (instanceRef blk0000007e))
+ (portRef DPRA1 (instanceRef blk0000007f))
+ (portRef DPRA1 (instanceRef blk00000080))
+ (portRef DPRA1 (instanceRef blk00000081))
+ (portRef DPRA1 (instanceRef blk00000082))
+ (portRef DPRA1 (instanceRef blk00000083))
+ (portRef DPRA1 (instanceRef blk00000084))
+ (portRef DPRA1 (instanceRef blk00000085))
+ (portRef DPRA1 (instanceRef blk00000086))
+ (portRef DPRA1 (instanceRef blk00000087))
+ (portRef DPRA1 (instanceRef blk00000088))
+ (portRef DPRA1 (instanceRef blk00000089))
+ (portRef DPRA1 (instanceRef blk0000008a))
+ (portRef DPRA1 (instanceRef blk0000008b))
+ (portRef DPRA1 (instanceRef blk0000008c))
+ (portRef DPRA1 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000bc4
+ (joined
+ (portRef (member ADDRB 2))
+ (portRef DPRA0 (instanceRef blk0000005e))
+ (portRef DPRA0 (instanceRef blk0000005f))
+ (portRef DPRA0 (instanceRef blk00000060))
+ (portRef DPRA0 (instanceRef blk00000061))
+ (portRef DPRA0 (instanceRef blk00000062))
+ (portRef DPRA0 (instanceRef blk00000063))
+ (portRef DPRA0 (instanceRef blk00000064))
+ (portRef DPRA0 (instanceRef blk00000065))
+ (portRef DPRA0 (instanceRef blk00000066))
+ (portRef DPRA0 (instanceRef blk00000067))
+ (portRef DPRA0 (instanceRef blk00000068))
+ (portRef DPRA0 (instanceRef blk00000069))
+ (portRef DPRA0 (instanceRef blk0000006a))
+ (portRef DPRA0 (instanceRef blk0000006b))
+ (portRef DPRA0 (instanceRef blk0000006c))
+ (portRef DPRA0 (instanceRef blk0000006d))
+ (portRef DPRA0 (instanceRef blk0000006e))
+ (portRef DPRA0 (instanceRef blk0000006f))
+ (portRef DPRA0 (instanceRef blk00000070))
+ (portRef DPRA0 (instanceRef blk00000071))
+ (portRef DPRA0 (instanceRef blk00000072))
+ (portRef DPRA0 (instanceRef blk00000073))
+ (portRef DPRA0 (instanceRef blk00000074))
+ (portRef DPRA0 (instanceRef blk00000075))
+ (portRef DPRA0 (instanceRef blk00000076))
+ (portRef DPRA0 (instanceRef blk00000077))
+ (portRef DPRA0 (instanceRef blk00000078))
+ (portRef DPRA0 (instanceRef blk00000079))
+ (portRef DPRA0 (instanceRef blk0000007a))
+ (portRef DPRA0 (instanceRef blk0000007b))
+ (portRef DPRA0 (instanceRef blk0000007c))
+ (portRef DPRA0 (instanceRef blk0000007d))
+ (portRef DPRA0 (instanceRef blk0000007e))
+ (portRef DPRA0 (instanceRef blk0000007f))
+ (portRef DPRA0 (instanceRef blk00000080))
+ (portRef DPRA0 (instanceRef blk00000081))
+ (portRef DPRA0 (instanceRef blk00000082))
+ (portRef DPRA0 (instanceRef blk00000083))
+ (portRef DPRA0 (instanceRef blk00000084))
+ (portRef DPRA0 (instanceRef blk00000085))
+ (portRef DPRA0 (instanceRef blk00000086))
+ (portRef DPRA0 (instanceRef blk00000087))
+ (portRef DPRA0 (instanceRef blk00000088))
+ (portRef DPRA0 (instanceRef blk00000089))
+ (portRef DPRA0 (instanceRef blk0000008a))
+ (portRef DPRA0 (instanceRef blk0000008b))
+ (portRef DPRA0 (instanceRef blk0000008c))
+ (portRef DPRA0 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000bc5
+ (joined
+ (portRef WEA)
+ (portRef I0 (instanceRef blk0000008e))
+ )
+ )
+ (net sig00000bc6
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000002e))
+ (portRef CE (instanceRef blk0000002f))
+ (portRef CE (instanceRef blk00000030))
+ (portRef CE (instanceRef blk00000031))
+ (portRef CE (instanceRef blk00000032))
+ (portRef CE (instanceRef blk00000033))
+ (portRef CE (instanceRef blk00000034))
+ (portRef CE (instanceRef blk00000035))
+ (portRef CE (instanceRef blk00000036))
+ (portRef CE (instanceRef blk00000037))
+ (portRef CE (instanceRef blk00000038))
+ (portRef CE (instanceRef blk00000039))
+ (portRef CE (instanceRef blk0000003a))
+ (portRef CE (instanceRef blk0000003b))
+ (portRef CE (instanceRef blk0000003c))
+ (portRef CE (instanceRef blk0000003d))
+ (portRef CE (instanceRef blk0000003e))
+ (portRef CE (instanceRef blk0000003f))
+ (portRef CE (instanceRef blk00000040))
+ (portRef CE (instanceRef blk00000041))
+ (portRef CE (instanceRef blk00000042))
+ (portRef CE (instanceRef blk00000043))
+ (portRef CE (instanceRef blk00000044))
+ (portRef CE (instanceRef blk00000045))
+ (portRef CE (instanceRef blk00000046))
+ (portRef CE (instanceRef blk00000047))
+ (portRef CE (instanceRef blk00000048))
+ (portRef CE (instanceRef blk00000049))
+ (portRef CE (instanceRef blk0000004a))
+ (portRef CE (instanceRef blk0000004b))
+ (portRef CE (instanceRef blk0000004c))
+ (portRef CE (instanceRef blk0000004d))
+ (portRef CE (instanceRef blk0000004e))
+ (portRef CE (instanceRef blk0000004f))
+ (portRef CE (instanceRef blk00000050))
+ (portRef CE (instanceRef blk00000051))
+ (portRef CE (instanceRef blk00000052))
+ (portRef CE (instanceRef blk00000053))
+ (portRef CE (instanceRef blk00000054))
+ (portRef CE (instanceRef blk00000055))
+ (portRef CE (instanceRef blk00000056))
+ (portRef CE (instanceRef blk00000057))
+ (portRef CE (instanceRef blk00000058))
+ (portRef CE (instanceRef blk00000059))
+ (portRef CE (instanceRef blk0000005a))
+ (portRef CE (instanceRef blk0000005b))
+ (portRef CE (instanceRef blk0000005c))
+ (portRef CE (instanceRef blk0000005d))
+ (portRef I1 (instanceRef blk0000008e))
+ )
+ )
+ (net sig00000bc7
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000002e))
+ (portRef C (instanceRef blk0000002f))
+ (portRef C (instanceRef blk00000030))
+ (portRef C (instanceRef blk00000031))
+ (portRef C (instanceRef blk00000032))
+ (portRef C (instanceRef blk00000033))
+ (portRef C (instanceRef blk00000034))
+ (portRef C (instanceRef blk00000035))
+ (portRef C (instanceRef blk00000036))
+ (portRef C (instanceRef blk00000037))
+ (portRef C (instanceRef blk00000038))
+ (portRef C (instanceRef blk00000039))
+ (portRef C (instanceRef blk0000003a))
+ (portRef C (instanceRef blk0000003b))
+ (portRef C (instanceRef blk0000003c))
+ (portRef C (instanceRef blk0000003d))
+ (portRef C (instanceRef blk0000003e))
+ (portRef C (instanceRef blk0000003f))
+ (portRef C (instanceRef blk00000040))
+ (portRef C (instanceRef blk00000041))
+ (portRef C (instanceRef blk00000042))
+ (portRef C (instanceRef blk00000043))
+ (portRef C (instanceRef blk00000044))
+ (portRef C (instanceRef blk00000045))
+ (portRef C (instanceRef blk00000046))
+ (portRef C (instanceRef blk00000047))
+ (portRef C (instanceRef blk00000048))
+ (portRef C (instanceRef blk00000049))
+ (portRef C (instanceRef blk0000004a))
+ (portRef C (instanceRef blk0000004b))
+ (portRef C (instanceRef blk0000004c))
+ (portRef C (instanceRef blk0000004d))
+ (portRef C (instanceRef blk0000004e))
+ (portRef C (instanceRef blk0000004f))
+ (portRef C (instanceRef blk00000050))
+ (portRef C (instanceRef blk00000051))
+ (portRef C (instanceRef blk00000052))
+ (portRef C (instanceRef blk00000053))
+ (portRef C (instanceRef blk00000054))
+ (portRef C (instanceRef blk00000055))
+ (portRef C (instanceRef blk00000056))
+ (portRef C (instanceRef blk00000057))
+ (portRef C (instanceRef blk00000058))
+ (portRef C (instanceRef blk00000059))
+ (portRef C (instanceRef blk0000005a))
+ (portRef C (instanceRef blk0000005b))
+ (portRef C (instanceRef blk0000005c))
+ (portRef C (instanceRef blk0000005d))
+ (portRef WCLK (instanceRef blk0000005e))
+ (portRef WCLK (instanceRef blk0000005f))
+ (portRef WCLK (instanceRef blk00000060))
+ (portRef WCLK (instanceRef blk00000061))
+ (portRef WCLK (instanceRef blk00000062))
+ (portRef WCLK (instanceRef blk00000063))
+ (portRef WCLK (instanceRef blk00000064))
+ (portRef WCLK (instanceRef blk00000065))
+ (portRef WCLK (instanceRef blk00000066))
+ (portRef WCLK (instanceRef blk00000067))
+ (portRef WCLK (instanceRef blk00000068))
+ (portRef WCLK (instanceRef blk00000069))
+ (portRef WCLK (instanceRef blk0000006a))
+ (portRef WCLK (instanceRef blk0000006b))
+ (portRef WCLK (instanceRef blk0000006c))
+ (portRef WCLK (instanceRef blk0000006d))
+ (portRef WCLK (instanceRef blk0000006e))
+ (portRef WCLK (instanceRef blk0000006f))
+ (portRef WCLK (instanceRef blk00000070))
+ (portRef WCLK (instanceRef blk00000071))
+ (portRef WCLK (instanceRef blk00000072))
+ (portRef WCLK (instanceRef blk00000073))
+ (portRef WCLK (instanceRef blk00000074))
+ (portRef WCLK (instanceRef blk00000075))
+ (portRef WCLK (instanceRef blk00000076))
+ (portRef WCLK (instanceRef blk00000077))
+ (portRef WCLK (instanceRef blk00000078))
+ (portRef WCLK (instanceRef blk00000079))
+ (portRef WCLK (instanceRef blk0000007a))
+ (portRef WCLK (instanceRef blk0000007b))
+ (portRef WCLK (instanceRef blk0000007c))
+ (portRef WCLK (instanceRef blk0000007d))
+ (portRef WCLK (instanceRef blk0000007e))
+ (portRef WCLK (instanceRef blk0000007f))
+ (portRef WCLK (instanceRef blk00000080))
+ (portRef WCLK (instanceRef blk00000081))
+ (portRef WCLK (instanceRef blk00000082))
+ (portRef WCLK (instanceRef blk00000083))
+ (portRef WCLK (instanceRef blk00000084))
+ (portRef WCLK (instanceRef blk00000085))
+ (portRef WCLK (instanceRef blk00000086))
+ (portRef WCLK (instanceRef blk00000087))
+ (portRef WCLK (instanceRef blk00000088))
+ (portRef WCLK (instanceRef blk00000089))
+ (portRef WCLK (instanceRef blk0000008a))
+ (portRef WCLK (instanceRef blk0000008b))
+ (portRef WCLK (instanceRef blk0000008c))
+ (portRef WCLK (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000bc8
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk0000005d))
+ )
+ )
+ (net sig00000bc9
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000005c))
+ )
+ )
+ (net sig00000bca
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000005b))
+ )
+ )
+ (net sig00000bcb
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk0000005a))
+ )
+ )
+ (net sig00000bcc
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000059))
+ )
+ )
+ (net sig00000bcd
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk00000058))
+ )
+ )
+ (net sig00000bce
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000057))
+ )
+ )
+ (net sig00000bcf
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000056))
+ )
+ )
+ (net sig00000bd0
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000055))
+ )
+ )
+ (net sig00000bd1
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000054))
+ )
+ )
+ (net sig00000bd2
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000053))
+ )
+ )
+ (net sig00000bd3
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000052))
+ )
+ )
+ (net sig00000bd4
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000051))
+ )
+ )
+ (net sig00000bd5
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000050))
+ )
+ )
+ (net sig00000bd6
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk0000004f))
+ )
+ )
+ (net sig00000bd7
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk0000004e))
+ )
+ )
+ (net sig00000bd8
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk0000004d))
+ )
+ )
+ (net sig00000bd9
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000004c))
+ )
+ )
+ (net sig00000bda
+ (joined
+ (portRef (member DB_OUT 18))
+ (portRef Q (instanceRef blk0000004b))
+ )
+ )
+ (net sig00000bdb
+ (joined
+ (portRef (member DB_OUT 19))
+ (portRef Q (instanceRef blk0000004a))
+ )
+ )
+ (net sig00000bdc
+ (joined
+ (portRef (member DB_OUT 20))
+ (portRef Q (instanceRef blk00000049))
+ )
+ )
+ (net sig00000bdd
+ (joined
+ (portRef (member DB_OUT 21))
+ (portRef Q (instanceRef blk00000048))
+ )
+ )
+ (net sig00000bde
+ (joined
+ (portRef (member DB_OUT 22))
+ (portRef Q (instanceRef blk00000047))
+ )
+ )
+ (net sig00000bdf
+ (joined
+ (portRef (member DB_OUT 23))
+ (portRef Q (instanceRef blk00000046))
+ )
+ )
+ (net sig00000be0
+ (joined
+ (portRef (member DB_OUT 24))
+ (portRef Q (instanceRef blk00000045))
+ )
+ )
+ (net sig00000be1
+ (joined
+ (portRef (member DB_OUT 25))
+ (portRef Q (instanceRef blk00000044))
+ )
+ )
+ (net sig00000be2
+ (joined
+ (portRef (member DB_OUT 26))
+ (portRef Q (instanceRef blk00000043))
+ )
+ )
+ (net sig00000be3
+ (joined
+ (portRef (member DB_OUT 27))
+ (portRef Q (instanceRef blk00000042))
+ )
+ )
+ (net sig00000be4
+ (joined
+ (portRef (member DB_OUT 28))
+ (portRef Q (instanceRef blk00000041))
+ )
+ )
+ (net sig00000be5
+ (joined
+ (portRef (member DB_OUT 29))
+ (portRef Q (instanceRef blk00000040))
+ )
+ )
+ (net sig00000be6
+ (joined
+ (portRef (member DB_OUT 30))
+ (portRef Q (instanceRef blk0000003f))
+ )
+ )
+ (net sig00000be7
+ (joined
+ (portRef (member DB_OUT 31))
+ (portRef Q (instanceRef blk0000003e))
+ )
+ )
+ (net sig00000be8
+ (joined
+ (portRef (member DB_OUT 32))
+ (portRef Q (instanceRef blk0000003d))
+ )
+ )
+ (net sig00000be9
+ (joined
+ (portRef (member DB_OUT 33))
+ (portRef Q (instanceRef blk0000003c))
+ )
+ )
+ (net sig00000bea
+ (joined
+ (portRef (member DB_OUT 34))
+ (portRef Q (instanceRef blk0000003b))
+ )
+ )
+ (net sig00000beb
+ (joined
+ (portRef (member DB_OUT 35))
+ (portRef Q (instanceRef blk0000003a))
+ )
+ )
+ (net sig00000bec
+ (joined
+ (portRef (member DB_OUT 36))
+ (portRef Q (instanceRef blk00000039))
+ )
+ )
+ (net sig00000bed
+ (joined
+ (portRef (member DB_OUT 37))
+ (portRef Q (instanceRef blk00000038))
+ )
+ )
+ (net sig00000bee
+ (joined
+ (portRef (member DB_OUT 38))
+ (portRef Q (instanceRef blk00000037))
+ )
+ )
+ (net sig00000bef
+ (joined
+ (portRef (member DB_OUT 39))
+ (portRef Q (instanceRef blk00000036))
+ )
+ )
+ (net sig00000bf0
+ (joined
+ (portRef (member DB_OUT 40))
+ (portRef Q (instanceRef blk00000035))
+ )
+ )
+ (net sig00000bf1
+ (joined
+ (portRef (member DB_OUT 41))
+ (portRef Q (instanceRef blk00000034))
+ )
+ )
+ (net sig00000bf2
+ (joined
+ (portRef (member DB_OUT 42))
+ (portRef Q (instanceRef blk00000033))
+ )
+ )
+ (net sig00000bf3
+ (joined
+ (portRef (member DB_OUT 43))
+ (portRef Q (instanceRef blk00000032))
+ )
+ )
+ (net sig00000bf4
+ (joined
+ (portRef (member DB_OUT 44))
+ (portRef Q (instanceRef blk00000031))
+ )
+ )
+ (net sig00000bf5
+ (joined
+ (portRef (member DB_OUT 45))
+ (portRef Q (instanceRef blk00000030))
+ )
+ )
+ (net sig00000bf6
+ (joined
+ (portRef (member DB_OUT 46))
+ (portRef Q (instanceRef blk0000002f))
+ )
+ )
+ (net sig00000bf7
+ (joined
+ (portRef (member DB_OUT 47))
+ (portRef Q (instanceRef blk0000002e))
+ )
+ )
+ (net sig00000bf8
+ (joined
+ (portRef G (instanceRef blk0000002d))
+ (portRef A3 (instanceRef blk0000005e))
+ (portRef A4 (instanceRef blk0000005e))
+ (portRef DPRA3 (instanceRef blk0000005e))
+ (portRef DPRA4 (instanceRef blk0000005e))
+ (portRef A3 (instanceRef blk0000005f))
+ (portRef A4 (instanceRef blk0000005f))
+ (portRef DPRA3 (instanceRef blk0000005f))
+ (portRef DPRA4 (instanceRef blk0000005f))
+ (portRef A3 (instanceRef blk00000060))
+ (portRef A4 (instanceRef blk00000060))
+ (portRef DPRA3 (instanceRef blk00000060))
+ (portRef DPRA4 (instanceRef blk00000060))
+ (portRef A3 (instanceRef blk00000061))
+ (portRef A4 (instanceRef blk00000061))
+ (portRef DPRA3 (instanceRef blk00000061))
+ (portRef DPRA4 (instanceRef blk00000061))
+ (portRef A3 (instanceRef blk00000062))
+ (portRef A4 (instanceRef blk00000062))
+ (portRef DPRA3 (instanceRef blk00000062))
+ (portRef DPRA4 (instanceRef blk00000062))
+ (portRef A3 (instanceRef blk00000063))
+ (portRef A4 (instanceRef blk00000063))
+ (portRef DPRA3 (instanceRef blk00000063))
+ (portRef DPRA4 (instanceRef blk00000063))
+ (portRef A3 (instanceRef blk00000064))
+ (portRef A4 (instanceRef blk00000064))
+ (portRef DPRA3 (instanceRef blk00000064))
+ (portRef DPRA4 (instanceRef blk00000064))
+ (portRef A3 (instanceRef blk00000065))
+ (portRef A4 (instanceRef blk00000065))
+ (portRef DPRA3 (instanceRef blk00000065))
+ (portRef DPRA4 (instanceRef blk00000065))
+ (portRef A3 (instanceRef blk00000066))
+ (portRef A4 (instanceRef blk00000066))
+ (portRef DPRA3 (instanceRef blk00000066))
+ (portRef DPRA4 (instanceRef blk00000066))
+ (portRef A3 (instanceRef blk00000067))
+ (portRef A4 (instanceRef blk00000067))
+ (portRef DPRA3 (instanceRef blk00000067))
+ (portRef DPRA4 (instanceRef blk00000067))
+ (portRef A3 (instanceRef blk00000068))
+ (portRef A4 (instanceRef blk00000068))
+ (portRef DPRA3 (instanceRef blk00000068))
+ (portRef DPRA4 (instanceRef blk00000068))
+ (portRef A3 (instanceRef blk00000069))
+ (portRef A4 (instanceRef blk00000069))
+ (portRef DPRA3 (instanceRef blk00000069))
+ (portRef DPRA4 (instanceRef blk00000069))
+ (portRef A3 (instanceRef blk0000006a))
+ (portRef A4 (instanceRef blk0000006a))
+ (portRef DPRA3 (instanceRef blk0000006a))
+ (portRef DPRA4 (instanceRef blk0000006a))
+ (portRef A3 (instanceRef blk0000006b))
+ (portRef A4 (instanceRef blk0000006b))
+ (portRef DPRA3 (instanceRef blk0000006b))
+ (portRef DPRA4 (instanceRef blk0000006b))
+ (portRef A3 (instanceRef blk0000006c))
+ (portRef A4 (instanceRef blk0000006c))
+ (portRef DPRA3 (instanceRef blk0000006c))
+ (portRef DPRA4 (instanceRef blk0000006c))
+ (portRef A3 (instanceRef blk0000006d))
+ (portRef A4 (instanceRef blk0000006d))
+ (portRef DPRA3 (instanceRef blk0000006d))
+ (portRef DPRA4 (instanceRef blk0000006d))
+ (portRef A3 (instanceRef blk0000006e))
+ (portRef A4 (instanceRef blk0000006e))
+ (portRef DPRA3 (instanceRef blk0000006e))
+ (portRef DPRA4 (instanceRef blk0000006e))
+ (portRef A3 (instanceRef blk0000006f))
+ (portRef A4 (instanceRef blk0000006f))
+ (portRef DPRA3 (instanceRef blk0000006f))
+ (portRef DPRA4 (instanceRef blk0000006f))
+ (portRef A3 (instanceRef blk00000070))
+ (portRef A4 (instanceRef blk00000070))
+ (portRef DPRA3 (instanceRef blk00000070))
+ (portRef DPRA4 (instanceRef blk00000070))
+ (portRef A3 (instanceRef blk00000071))
+ (portRef A4 (instanceRef blk00000071))
+ (portRef DPRA3 (instanceRef blk00000071))
+ (portRef DPRA4 (instanceRef blk00000071))
+ (portRef A3 (instanceRef blk00000072))
+ (portRef A4 (instanceRef blk00000072))
+ (portRef DPRA3 (instanceRef blk00000072))
+ (portRef DPRA4 (instanceRef blk00000072))
+ (portRef A3 (instanceRef blk00000073))
+ (portRef A4 (instanceRef blk00000073))
+ (portRef DPRA3 (instanceRef blk00000073))
+ (portRef DPRA4 (instanceRef blk00000073))
+ (portRef A3 (instanceRef blk00000074))
+ (portRef A4 (instanceRef blk00000074))
+ (portRef DPRA3 (instanceRef blk00000074))
+ (portRef DPRA4 (instanceRef blk00000074))
+ (portRef A3 (instanceRef blk00000075))
+ (portRef A4 (instanceRef blk00000075))
+ (portRef DPRA3 (instanceRef blk00000075))
+ (portRef DPRA4 (instanceRef blk00000075))
+ (portRef A3 (instanceRef blk00000076))
+ (portRef A4 (instanceRef blk00000076))
+ (portRef DPRA3 (instanceRef blk00000076))
+ (portRef DPRA4 (instanceRef blk00000076))
+ (portRef A3 (instanceRef blk00000077))
+ (portRef A4 (instanceRef blk00000077))
+ (portRef DPRA3 (instanceRef blk00000077))
+ (portRef DPRA4 (instanceRef blk00000077))
+ (portRef A3 (instanceRef blk00000078))
+ (portRef A4 (instanceRef blk00000078))
+ (portRef DPRA3 (instanceRef blk00000078))
+ (portRef DPRA4 (instanceRef blk00000078))
+ (portRef A3 (instanceRef blk00000079))
+ (portRef A4 (instanceRef blk00000079))
+ (portRef DPRA3 (instanceRef blk00000079))
+ (portRef DPRA4 (instanceRef blk00000079))
+ (portRef A3 (instanceRef blk0000007a))
+ (portRef A4 (instanceRef blk0000007a))
+ (portRef DPRA3 (instanceRef blk0000007a))
+ (portRef DPRA4 (instanceRef blk0000007a))
+ (portRef A3 (instanceRef blk0000007b))
+ (portRef A4 (instanceRef blk0000007b))
+ (portRef DPRA3 (instanceRef blk0000007b))
+ (portRef DPRA4 (instanceRef blk0000007b))
+ (portRef A3 (instanceRef blk0000007c))
+ (portRef A4 (instanceRef blk0000007c))
+ (portRef DPRA3 (instanceRef blk0000007c))
+ (portRef DPRA4 (instanceRef blk0000007c))
+ (portRef A3 (instanceRef blk0000007d))
+ (portRef A4 (instanceRef blk0000007d))
+ (portRef DPRA3 (instanceRef blk0000007d))
+ (portRef DPRA4 (instanceRef blk0000007d))
+ (portRef A3 (instanceRef blk0000007e))
+ (portRef A4 (instanceRef blk0000007e))
+ (portRef DPRA3 (instanceRef blk0000007e))
+ (portRef DPRA4 (instanceRef blk0000007e))
+ (portRef A3 (instanceRef blk0000007f))
+ (portRef A4 (instanceRef blk0000007f))
+ (portRef DPRA3 (instanceRef blk0000007f))
+ (portRef DPRA4 (instanceRef blk0000007f))
+ (portRef A3 (instanceRef blk00000080))
+ (portRef A4 (instanceRef blk00000080))
+ (portRef DPRA3 (instanceRef blk00000080))
+ (portRef DPRA4 (instanceRef blk00000080))
+ (portRef A3 (instanceRef blk00000081))
+ (portRef A4 (instanceRef blk00000081))
+ (portRef DPRA3 (instanceRef blk00000081))
+ (portRef DPRA4 (instanceRef blk00000081))
+ (portRef A3 (instanceRef blk00000082))
+ (portRef A4 (instanceRef blk00000082))
+ (portRef DPRA3 (instanceRef blk00000082))
+ (portRef DPRA4 (instanceRef blk00000082))
+ (portRef A3 (instanceRef blk00000083))
+ (portRef A4 (instanceRef blk00000083))
+ (portRef DPRA3 (instanceRef blk00000083))
+ (portRef DPRA4 (instanceRef blk00000083))
+ (portRef A3 (instanceRef blk00000084))
+ (portRef A4 (instanceRef blk00000084))
+ (portRef DPRA3 (instanceRef blk00000084))
+ (portRef DPRA4 (instanceRef blk00000084))
+ (portRef A3 (instanceRef blk00000085))
+ (portRef A4 (instanceRef blk00000085))
+ (portRef DPRA3 (instanceRef blk00000085))
+ (portRef DPRA4 (instanceRef blk00000085))
+ (portRef A3 (instanceRef blk00000086))
+ (portRef A4 (instanceRef blk00000086))
+ (portRef DPRA3 (instanceRef blk00000086))
+ (portRef DPRA4 (instanceRef blk00000086))
+ (portRef A3 (instanceRef blk00000087))
+ (portRef A4 (instanceRef blk00000087))
+ (portRef DPRA3 (instanceRef blk00000087))
+ (portRef DPRA4 (instanceRef blk00000087))
+ (portRef A3 (instanceRef blk00000088))
+ (portRef A4 (instanceRef blk00000088))
+ (portRef DPRA3 (instanceRef blk00000088))
+ (portRef DPRA4 (instanceRef blk00000088))
+ (portRef A3 (instanceRef blk00000089))
+ (portRef A4 (instanceRef blk00000089))
+ (portRef DPRA3 (instanceRef blk00000089))
+ (portRef DPRA4 (instanceRef blk00000089))
+ (portRef A3 (instanceRef blk0000008a))
+ (portRef A4 (instanceRef blk0000008a))
+ (portRef DPRA3 (instanceRef blk0000008a))
+ (portRef DPRA4 (instanceRef blk0000008a))
+ (portRef A3 (instanceRef blk0000008b))
+ (portRef A4 (instanceRef blk0000008b))
+ (portRef DPRA3 (instanceRef blk0000008b))
+ (portRef DPRA4 (instanceRef blk0000008b))
+ (portRef A3 (instanceRef blk0000008c))
+ (portRef A4 (instanceRef blk0000008c))
+ (portRef DPRA3 (instanceRef blk0000008c))
+ (portRef DPRA4 (instanceRef blk0000008c))
+ (portRef A3 (instanceRef blk0000008d))
+ (portRef A4 (instanceRef blk0000008d))
+ (portRef DPRA3 (instanceRef blk0000008d))
+ (portRef DPRA4 (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000bf9
+ (joined
+ (portRef D (instanceRef blk0000002e))
+ (portRef DPO (instanceRef blk0000005f))
+ )
+ )
+ (net sig00000bfa
+ (joined
+ (portRef D (instanceRef blk0000002f))
+ (portRef DPO (instanceRef blk00000060))
+ )
+ )
+ (net sig00000bfb
+ (joined
+ (portRef D (instanceRef blk00000030))
+ (portRef DPO (instanceRef blk0000005e))
+ )
+ )
+ (net sig00000bfc
+ (joined
+ (portRef D (instanceRef blk00000031))
+ (portRef DPO (instanceRef blk00000062))
+ )
+ )
+ (net sig00000bfd
+ (joined
+ (portRef D (instanceRef blk00000032))
+ (portRef DPO (instanceRef blk00000063))
+ )
+ )
+ (net sig00000bfe
+ (joined
+ (portRef D (instanceRef blk00000033))
+ (portRef DPO (instanceRef blk00000061))
+ )
+ )
+ (net sig00000bff
+ (joined
+ (portRef D (instanceRef blk00000034))
+ (portRef DPO (instanceRef blk00000065))
+ )
+ )
+ (net sig00000c00
+ (joined
+ (portRef D (instanceRef blk00000035))
+ (portRef DPO (instanceRef blk00000066))
+ )
+ )
+ (net sig00000c01
+ (joined
+ (portRef D (instanceRef blk00000036))
+ (portRef DPO (instanceRef blk00000064))
+ )
+ )
+ (net sig00000c02
+ (joined
+ (portRef D (instanceRef blk00000037))
+ (portRef DPO (instanceRef blk00000068))
+ )
+ )
+ (net sig00000c03
+ (joined
+ (portRef D (instanceRef blk00000038))
+ (portRef DPO (instanceRef blk00000069))
+ )
+ )
+ (net sig00000c04
+ (joined
+ (portRef D (instanceRef blk00000039))
+ (portRef DPO (instanceRef blk00000067))
+ )
+ )
+ (net sig00000c05
+ (joined
+ (portRef D (instanceRef blk0000003a))
+ (portRef DPO (instanceRef blk0000006b))
+ )
+ )
+ (net sig00000c06
+ (joined
+ (portRef D (instanceRef blk0000003b))
+ (portRef DPO (instanceRef blk0000006c))
+ )
+ )
+ (net sig00000c07
+ (joined
+ (portRef D (instanceRef blk0000003c))
+ (portRef DPO (instanceRef blk0000006a))
+ )
+ )
+ (net sig00000c08
+ (joined
+ (portRef D (instanceRef blk0000003d))
+ (portRef DPO (instanceRef blk0000006e))
+ )
+ )
+ (net sig00000c09
+ (joined
+ (portRef D (instanceRef blk0000003e))
+ (portRef DPO (instanceRef blk0000006f))
+ )
+ )
+ (net sig00000c0a
+ (joined
+ (portRef D (instanceRef blk0000003f))
+ (portRef DPO (instanceRef blk0000006d))
+ )
+ )
+ (net sig00000c0b
+ (joined
+ (portRef D (instanceRef blk00000040))
+ (portRef DPO (instanceRef blk00000071))
+ )
+ )
+ (net sig00000c0c
+ (joined
+ (portRef D (instanceRef blk00000041))
+ (portRef DPO (instanceRef blk00000072))
+ )
+ )
+ (net sig00000c0d
+ (joined
+ (portRef D (instanceRef blk00000042))
+ (portRef DPO (instanceRef blk00000070))
+ )
+ )
+ (net sig00000c0e
+ (joined
+ (portRef D (instanceRef blk00000043))
+ (portRef DPO (instanceRef blk00000074))
+ )
+ )
+ (net sig00000c0f
+ (joined
+ (portRef D (instanceRef blk00000044))
+ (portRef DPO (instanceRef blk00000075))
+ )
+ )
+ (net sig00000c10
+ (joined
+ (portRef D (instanceRef blk00000045))
+ (portRef DPO (instanceRef blk00000073))
+ )
+ )
+ (net sig00000c11
+ (joined
+ (portRef D (instanceRef blk00000046))
+ (portRef DPO (instanceRef blk00000077))
+ )
+ )
+ (net sig00000c12
+ (joined
+ (portRef D (instanceRef blk00000047))
+ (portRef DPO (instanceRef blk00000078))
+ )
+ )
+ (net sig00000c13
+ (joined
+ (portRef D (instanceRef blk00000048))
+ (portRef DPO (instanceRef blk00000076))
+ )
+ )
+ (net sig00000c14
+ (joined
+ (portRef D (instanceRef blk00000049))
+ (portRef DPO (instanceRef blk0000007a))
+ )
+ )
+ (net sig00000c15
+ (joined
+ (portRef D (instanceRef blk0000004a))
+ (portRef DPO (instanceRef blk0000007b))
+ )
+ )
+ (net sig00000c16
+ (joined
+ (portRef D (instanceRef blk0000004b))
+ (portRef DPO (instanceRef blk00000079))
+ )
+ )
+ (net sig00000c17
+ (joined
+ (portRef D (instanceRef blk0000004c))
+ (portRef DPO (instanceRef blk0000007d))
+ )
+ )
+ (net sig00000c18
+ (joined
+ (portRef D (instanceRef blk0000004d))
+ (portRef DPO (instanceRef blk0000007e))
+ )
+ )
+ (net sig00000c19
+ (joined
+ (portRef D (instanceRef blk0000004e))
+ (portRef DPO (instanceRef blk0000007c))
+ )
+ )
+ (net sig00000c1a
+ (joined
+ (portRef D (instanceRef blk0000004f))
+ (portRef DPO (instanceRef blk00000080))
+ )
+ )
+ (net sig00000c1b
+ (joined
+ (portRef D (instanceRef blk00000050))
+ (portRef DPO (instanceRef blk00000081))
+ )
+ )
+ (net sig00000c1c
+ (joined
+ (portRef D (instanceRef blk00000051))
+ (portRef DPO (instanceRef blk0000007f))
+ )
+ )
+ (net sig00000c1d
+ (joined
+ (portRef D (instanceRef blk00000052))
+ (portRef DPO (instanceRef blk00000083))
+ )
+ )
+ (net sig00000c1e
+ (joined
+ (portRef D (instanceRef blk00000053))
+ (portRef DPO (instanceRef blk00000084))
+ )
+ )
+ (net sig00000c1f
+ (joined
+ (portRef D (instanceRef blk00000054))
+ (portRef DPO (instanceRef blk00000082))
+ )
+ )
+ (net sig00000c20
+ (joined
+ (portRef D (instanceRef blk00000055))
+ (portRef DPO (instanceRef blk00000086))
+ )
+ )
+ (net sig00000c21
+ (joined
+ (portRef D (instanceRef blk00000056))
+ (portRef DPO (instanceRef blk00000087))
+ )
+ )
+ (net sig00000c22
+ (joined
+ (portRef D (instanceRef blk00000057))
+ (portRef DPO (instanceRef blk00000085))
+ )
+ )
+ (net sig00000c23
+ (joined
+ (portRef D (instanceRef blk00000058))
+ (portRef DPO (instanceRef blk00000089))
+ )
+ )
+ (net sig00000c24
+ (joined
+ (portRef D (instanceRef blk00000059))
+ (portRef DPO (instanceRef blk0000008a))
+ )
+ )
+ (net sig00000c25
+ (joined
+ (portRef D (instanceRef blk0000005a))
+ (portRef DPO (instanceRef blk00000088))
+ )
+ )
+ (net sig00000c26
+ (joined
+ (portRef D (instanceRef blk0000005b))
+ (portRef DPO (instanceRef blk0000008c))
+ )
+ )
+ (net sig00000c27
+ (joined
+ (portRef D (instanceRef blk0000005c))
+ (portRef DPO (instanceRef blk0000008d))
+ )
+ )
+ (net sig00000c28
+ (joined
+ (portRef D (instanceRef blk0000005d))
+ (portRef DPO (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000c29
+ (joined
+ (portRef WE (instanceRef blk0000005e))
+ (portRef WE (instanceRef blk0000005f))
+ (portRef WE (instanceRef blk00000060))
+ (portRef WE (instanceRef blk00000061))
+ (portRef WE (instanceRef blk00000062))
+ (portRef WE (instanceRef blk00000063))
+ (portRef WE (instanceRef blk00000064))
+ (portRef WE (instanceRef blk00000065))
+ (portRef WE (instanceRef blk00000066))
+ (portRef WE (instanceRef blk00000067))
+ (portRef WE (instanceRef blk00000068))
+ (portRef WE (instanceRef blk00000069))
+ (portRef WE (instanceRef blk0000006a))
+ (portRef WE (instanceRef blk0000006b))
+ (portRef WE (instanceRef blk0000006c))
+ (portRef WE (instanceRef blk0000006d))
+ (portRef WE (instanceRef blk0000006e))
+ (portRef WE (instanceRef blk0000006f))
+ (portRef WE (instanceRef blk00000070))
+ (portRef WE (instanceRef blk00000071))
+ (portRef WE (instanceRef blk00000072))
+ (portRef WE (instanceRef blk00000073))
+ (portRef WE (instanceRef blk00000074))
+ (portRef WE (instanceRef blk00000075))
+ (portRef WE (instanceRef blk00000076))
+ (portRef WE (instanceRef blk00000077))
+ (portRef WE (instanceRef blk00000078))
+ (portRef WE (instanceRef blk00000079))
+ (portRef WE (instanceRef blk0000007a))
+ (portRef WE (instanceRef blk0000007b))
+ (portRef WE (instanceRef blk0000007c))
+ (portRef WE (instanceRef blk0000007d))
+ (portRef WE (instanceRef blk0000007e))
+ (portRef WE (instanceRef blk0000007f))
+ (portRef WE (instanceRef blk00000080))
+ (portRef WE (instanceRef blk00000081))
+ (portRef WE (instanceRef blk00000082))
+ (portRef WE (instanceRef blk00000083))
+ (portRef WE (instanceRef blk00000084))
+ (portRef WE (instanceRef blk00000085))
+ (portRef WE (instanceRef blk00000086))
+ (portRef WE (instanceRef blk00000087))
+ (portRef WE (instanceRef blk00000088))
+ (portRef WE (instanceRef blk00000089))
+ (portRef WE (instanceRef blk0000008a))
+ (portRef WE (instanceRef blk0000008b))
+ (portRef WE (instanceRef blk0000008c))
+ (portRef WE (instanceRef blk0000008d))
+ (portRef O (instanceRef blk0000008e))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename hbdec1_fir_compiler_v5_0_xst_1_blk00000003 "hbdec1_fir_compiler_v5_0_xst_1")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port sclr
+ (direction INPUT)
+ )
+ (port ce
+ (direction INPUT)
+ )
+ (port rfd
+ (direction OUTPUT)
+ )
+ (port rdy
+ (direction OUTPUT)
+ )
+ (port data_valid
+ (direction OUTPUT)
+ )
+ (port coef_we
+ (direction INPUT)
+ )
+ (port nd
+ (direction INPUT)
+ )
+ (port clk
+ (direction INPUT)
+ )
+ (port coef_ld
+ (direction INPUT)
+ )
+ (port (array (rename dout_10 "dout_10<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_11 "dout_11<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_12 "dout_12<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_13 "dout_13<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_14 "dout_14<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_15 "dout_15<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_16 "dout_16<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_10 "dout_i_10<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_11 "dout_i_11<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_12 "dout_i_12<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_13 "dout_i_13<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_14 "dout_i_14<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_15 "dout_i_15<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_16 "dout_i_16<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename din_10 "din_10<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_11 "din_11<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_12 "din_12<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_13 "din_13<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_14 "din_14<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_15 "din_15<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_16 "din_16<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename coef_filter_sel "coef_filter_sel<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename dout_1 "dout_1<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_2 "dout_2<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_3 "dout_3<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_4 "dout_4<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_1 "din_1<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_2 "din_2<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_5 "dout_5<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_3 "din_3<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_6 "dout_6<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_4 "din_4<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_7 "dout_7<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_5 "din_5<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_8 "dout_8<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_6 "din_6<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_9 "dout_9<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_7 "din_7<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_8 "din_8<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_9 "din_9<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_q_10 "dout_q_10<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_11 "dout_q_11<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_12 "dout_q_12<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_13 "dout_q_13<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_14 "dout_q_14<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_15 "dout_q_15<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_16 "dout_q_16<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename coef_din "coef_din<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename dout_i "dout_i<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q "dout_q<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_1 "dout_i_1<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_2 "dout_i_2<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_3 "dout_i_3<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_4 "dout_i_4<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_5 "dout_i_5<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_6 "dout_i_6<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_1 "dout_q_1<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_7 "dout_i_7<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_2 "dout_q_2<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_8 "dout_i_8<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_3 "dout_q_3<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_9 "dout_i_9<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_4 "dout_q_4<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_5 "dout_q_5<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_6 "dout_q_6<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_7 "dout_q_7<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_8 "dout_q_8<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_9 "dout_q_9<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout "dout<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din "din<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename chan_in "chan_in<0:0>") 1)
+ (direction OUTPUT))
+ (port (array (rename chan_out "chan_out<0:0>") 1)
+ (direction OUTPUT))
+ (port (array (rename filter_sel "filter_sel<0:0>") 1)
+ (direction INPUT))
+ )
+ (contents
+ (instance blk00000004
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000005
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000006
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000007
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000008
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000009
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000000a
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000b
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000c
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000d
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000000e
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000000f
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000010
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000011
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000012
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000013
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000014
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000015
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 2) (owner "Xilinx"))
+ (property ADREG (integer 0) (owner "Xilinx"))
+ (property ALUMODEREG (integer 1) (owner "Xilinx"))
+ (property AREG (integer 2) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 2) (owner "Xilinx"))
+ (property BREG (integer 2) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 0) (owner "Xilinx"))
+ (property INMODEREG (integer 0) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 1) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "FALSE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000016
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 2) (owner "Xilinx"))
+ (property ADREG (integer 0) (owner "Xilinx"))
+ (property ALUMODEREG (integer 1) (owner "Xilinx"))
+ (property AREG (integer 2) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 2) (owner "Xilinx"))
+ (property BREG (integer 2) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 0) (owner "Xilinx"))
+ (property INMODEREG (integer 0) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 1) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "FALSE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000017
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000018
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000019
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000020
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000021
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000022
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000023
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000024
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000025
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000026
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000027
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000028
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000029
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002b
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk0000002c
+ (viewRef view_1 (cellRef dpr_ram_1_blk0000002c (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDRA<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:DA_IN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:ADDRB<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:DA_OUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:DB_OUT<47:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_1_blk0000002c") (owner "Xilinx"))
+ )
+ (instance blk0000008f
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000090
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000091
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000092
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000093
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000094
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000095
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000096
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000097
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000098
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000099
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000009a
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000009b
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000009c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000aa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ac
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ae
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b4
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b5
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b6
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b7
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b8
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b9
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ba
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bb
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bc
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000be
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000000c0
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c1
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c3
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c4
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c5
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c6
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c7
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000c9
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000000ca
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cb
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cc
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cd
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ce
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cf
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d0
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000d2
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000000d3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d4
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d5
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d6
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d7
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d8
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d9
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000da
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000db
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000dc
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000dd
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000de
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000df
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e0
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000000e2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000e6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000e7
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000e8
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000e9
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000ea
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000eb
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000ec
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000ed
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000ee
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000ef
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000f0
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000f1
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000f2
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000f3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000fa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000fb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000fc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000fd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000fe
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ff
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000100
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000101
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000102
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000103
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000104
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000105
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000106
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000107
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000108
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000109
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000110
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000111
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000112
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000113
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000114
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000115
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000116
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000117
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000118
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000119
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000120
+ (viewRef view_1 (cellRef sp_ram_blk00000120 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_blk00000120") (owner "Xilinx"))
+ )
+ (instance blk00000153
+ (viewRef view_1 (cellRef sp_ram_NO1_blk00000153 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO1_blk00000153") (owner "Xilinx"))
+ )
+ (instance blk00000186
+ (viewRef view_1 (cellRef sp_ram_NO2_blk00000186 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 5) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO2_blk00000186") (owner "Xilinx"))
+ )
+ (instance blk000001b9
+ (viewRef view_1 (cellRef sp_ram_NO3_blk000001b9 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 6) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO3_blk000001b9") (owner "Xilinx"))
+ )
+ (instance blk000001ec
+ (viewRef view_1 (cellRef sp_ram_NO4_blk000001ec (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 7) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO4_blk000001ec") (owner "Xilinx"))
+ )
+ (instance blk0000021f
+ (viewRef view_1 (cellRef sp_ram_NO5_blk0000021f (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 5) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 8) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO5_blk0000021f") (owner "Xilinx"))
+ )
+ (instance blk00000252
+ (viewRef view_1 (cellRef sp_ram_NO6_blk00000252 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 6) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 9) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO6_blk00000252") (owner "Xilinx"))
+ )
+ (instance blk00000285
+ (viewRef view_1 (cellRef sp_ram_NO7_blk00000285 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 7) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 10) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO7_blk00000285") (owner "Xilinx"))
+ )
+ (instance blk000002b8
+ (viewRef view_1 (cellRef sp_ram_NO8_blk000002b8 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 8) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 11) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO8_blk000002b8") (owner "Xilinx"))
+ )
+ (instance blk000002eb
+ (viewRef view_1 (cellRef sp_ram_NO9_blk000002eb (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 9) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 12) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO9_blk000002eb") (owner "Xilinx"))
+ )
+ (instance blk0000031e
+ (viewRef view_1 (cellRef sp_ram_NO10_blk0000031e (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 10) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 13) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO10_blk0000031e") (owner "Xilinx"))
+ )
+ (instance blk00000351
+ (viewRef view_1 (cellRef sp_ram_NO11_blk00000351 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 11) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 14) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO11_blk00000351") (owner "Xilinx"))
+ )
+ (instance blk00000384
+ (viewRef view_1 (cellRef sp_ram_NO12_blk00000384 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 12) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 15) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO12_blk00000384") (owner "Xilinx"))
+ )
+ (instance blk000003b7
+ (viewRef view_1 (cellRef sp_ram_NO13_blk000003b7 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 13) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 16) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO13_blk000003b7") (owner "Xilinx"))
+ )
+ (instance blk000003ea
+ (viewRef view_1 (cellRef sp_ram_NO14_blk000003ea (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 14) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 17) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO14_blk000003ea") (owner "Xilinx"))
+ )
+ (instance blk0000041d
+ (viewRef view_1 (cellRef sp_ram_NO15_blk0000041d (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 15) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 18) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO15_blk0000041d") (owner "Xilinx"))
+ )
+ (instance blk00000450
+ (viewRef view_1 (cellRef sp_ram_NO16_blk00000450 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 16) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 19) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO16_blk00000450") (owner "Xilinx"))
+ )
+ (instance blk00000483
+ (viewRef view_1 (cellRef sp_ram_NO17_blk00000483 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 17) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 20) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO17_blk00000483") (owner "Xilinx"))
+ )
+ (instance blk000004b6
+ (viewRef view_1 (cellRef sp_ram_NO18_blk000004b6 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 18) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 21) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO18_blk000004b6") (owner "Xilinx"))
+ )
+ (instance blk000004e9
+ (viewRef view_1 (cellRef sp_ram_NO19_blk000004e9 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 19) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 22) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO19_blk000004e9") (owner "Xilinx"))
+ )
+ (instance blk0000051c
+ (viewRef view_1 (cellRef sp_ram_NO20_blk0000051c (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 20) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 23) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO20_blk0000051c") (owner "Xilinx"))
+ )
+ (instance blk0000054f
+ (viewRef view_1 (cellRef sp_ram_NO21_blk0000054f (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 21) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 24) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO21_blk0000054f") (owner "Xilinx"))
+ )
+ (instance blk00000582
+ (viewRef view_1 (cellRef sp_ram_NO22_blk00000582 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 22) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 25) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO22_blk00000582") (owner "Xilinx"))
+ )
+ (instance blk000005b5
+ (viewRef view_1 (cellRef sp_ram_NO23_blk000005b5 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDR<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 23) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 26) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO23_blk000005b5") (owner "Xilinx"))
+ )
+ (instance blk000005e8
+ (viewRef view_1 (cellRef dpr_ram_2_blk000005e8 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 27) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_2_blk000005e8") (owner "Xilinx"))
+ )
+ (instance blk00000621
+ (viewRef view_1 (cellRef dpr_ram_3_blk00000621 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 28) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_3_blk00000621") (owner "Xilinx"))
+ )
+ (instance blk0000065a
+ (viewRef view_1 (cellRef dpr_ram_4_blk0000065a (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 29) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_4_blk0000065a") (owner "Xilinx"))
+ )
+ (instance blk00000693
+ (viewRef view_1 (cellRef dpr_ram_5_blk00000693 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 30) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_5_blk00000693") (owner "Xilinx"))
+ )
+ (instance blk000006cc
+ (viewRef view_1 (cellRef dpr_ram_6_blk000006cc (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 31) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_6_blk000006cc") (owner "Xilinx"))
+ )
+ (instance blk00000705
+ (viewRef view_1 (cellRef dpr_ram_7_blk00000705 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 32) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_7_blk00000705") (owner "Xilinx"))
+ )
+ (instance blk0000072c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000072d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000072e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000072f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000730
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000731
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000732
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000733
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000734
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000735
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000736
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000737
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000738
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000739
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000740
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000741
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000742
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000743
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000744
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000745
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000746
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000747
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000748
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000749
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000750
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000751
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000752
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000753
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000754
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000755
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000756
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000757
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000758
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000759
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075c
+ (viewRef view_1 (cellRef dpr_ram_8_blk0000075c (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDRA<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:ADDRB<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 33) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_8_blk0000075c") (owner "Xilinx"))
+ )
+ (instance blk00000783
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000784
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000785
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000786
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000787
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000788
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000789
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000078a
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000078b
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000078c
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000078d
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000078e
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000078f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000790
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000791
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000792
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000793
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000794
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000795
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000796
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000797
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000798
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000799
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000079a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000079b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000079c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000079d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000079e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000079f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007a9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007aa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ab
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ac
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ad
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ae
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007af
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007b9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ba
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007bb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007bc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007bd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007be
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007bf
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007c9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ca
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007cb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007cc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007cd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ce
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007cf
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007d9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007da
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007db
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007dc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007dd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007de
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007df
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007e9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ea
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007eb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ec
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ed
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ee
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000007ef
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f0
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f1
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f2
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f4
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f5
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f6
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f7
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f8
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007f9
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000007fa
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___7___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/opcode_cntrl_dly<1>_eqn1") (owner "Xilinx"))
+ (property INIT (string "FDA8") (owner "Xilinx"))
+ )
+ (instance blk000007fb
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "9A") (owner "Xilinx"))
+ )
+ (instance blk000007fc
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___1___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_stop_earily/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "5540") (owner "Xilinx"))
+ )
+ (instance blk000007fd
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "09") (owner "Xilinx"))
+ )
+ (instance blk000007fe
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___5___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_blank_reg/gen_blank_regs[0].blank_reg_x/reg_input1") (owner "Xilinx"))
+ (property INIT (string "8808") (owner "Xilinx"))
+ )
+ (instance blk000007ff
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___1___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_stop_earily/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "CEEE8AAA") (owner "Xilinx"))
+ )
+ (instance blk00000800
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000801
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___13___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/vector_count_inc_eqn1") (owner "Xilinx"))
+ (property INIT (string "72") (owner "Xilinx"))
+ )
+ (instance blk00000802
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___7___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/opcode_cntrl_dly<1>_eqn1") (owner "Xilinx"))
+ (property INIT (string "D8") (owner "Xilinx"))
+ )
+ (instance blk00000803
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000804
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___5___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_blank_reg/gen_blank_regs[0].blank_reg_x/reg_input1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk00000805
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00002000") (owner "Xilinx"))
+ )
+ (instance blk00000806
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___6___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/Reset_OR_DriverANDClockEnable1") (owner "Xilinx"))
+ (property INIT (string "EA") (owner "Xilinx"))
+ )
+ (instance blk00000807
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "10") (owner "Xilinx"))
+ )
+ (instance blk00000808
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000809
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000080a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "FF8A") (owner "Xilinx"))
+ )
+ (instance blk0000080b
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "FFFF2AAA") (owner "Xilinx"))
+ )
+ (instance blk0000080c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000080d
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk0000080e
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk0000080f
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "04") (owner "Xilinx"))
+ )
+ (instance blk00000810
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "20") (owner "Xilinx"))
+ )
+ (instance blk00000811
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "20") (owner "Xilinx"))
+ )
+ (instance blk00000812
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000813
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___8___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/COEF_LD_coef_ld_dly_AND_133_o1") (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000814
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E6CC") (owner "Xilinx"))
+ )
+ (instance blk00000815
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000816
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___8___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/COEF_LD_coef_ld_dly_AND_133_o1") (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000817
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000818
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000819
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk0000081a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk0000081b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk0000081c
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk0000081d
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk0000081e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk0000081f
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000820
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000821
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DA") (owner "Xilinx"))
+ )
+ (instance blk00000822
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EA") (owner "Xilinx"))
+ )
+ (instance blk00000823
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000824
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000825
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DA") (owner "Xilinx"))
+ )
+ (instance blk00000826
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000827
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000828
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000829
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000082a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___13___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/vector_count_inc_eqn1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000082b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___12___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[4].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_160_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000082c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___11___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[3].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_160_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000082d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___10___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[2].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_160_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000082e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___9___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_centre_tap_data_latched/CE_WE_AND_211_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000082f
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000830
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000831
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000832
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000833
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000834
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000835
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000836
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000837
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000838
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000839
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000083a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000083b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000083c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000083d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000083e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000083f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000840
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000841
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000842
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000843
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000844
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000845
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000846
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000847
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000848
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000849
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000084a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000084b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000084c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000084d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000084e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000084f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000850
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000851
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000852
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000853
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000854
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000855
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000856
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000857
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000858
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000859
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000085a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000085b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000085c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000085d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000085e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000085f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000860
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000861
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000862
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000863
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000864
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000865
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000866
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000867
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000868
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000869
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000086a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000086b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000086c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000086d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000086e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000086f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000870
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000871
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000872
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000873
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000874
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000875
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000876
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000877
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000878
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000879
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000087a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000087b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000087c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000087d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000087e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000087f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000880
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000881
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000882
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000883
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000884
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000885
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000886
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000887
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000888
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000889
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000088a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000088b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000088c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000088d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000088e
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "04") (owner "Xilinx"))
+ )
+ (instance blk0000088f
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DE") (owner "Xilinx"))
+ )
+ (instance blk00000890
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000891
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000892
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000893
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000894
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000895
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000896
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000897
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000898
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000899
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000089a
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000089b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000089c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000089d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000089e
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk0000089f
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008a0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008a1
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008a2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008a3
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008a4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008a5
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008a6
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008a7
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008a8
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008a9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008aa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ab
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ac
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ad
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ae
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008af
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b0
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b1
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b2
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b3
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b4
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b5
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b6
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b7
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000008b8
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008b9
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ba
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008bb
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008bc
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008bd
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008be
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000008bf
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "ECCC") (owner "Xilinx"))
+ )
+ (instance blk000008c0
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___2___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/in_addr/gen_struct.page_rstpot") (owner "Xilinx"))
+ (property INIT (string "6AAA") (owner "Xilinx"))
+ )
+ (instance blk000008c1
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___4___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/ipb_out_page_rstpot") (owner "Xilinx"))
+ (property INIT (string "6C") (owner "Xilinx"))
+ )
+ (instance blk000008c2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___4___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/ipb_out_page_rstpot") (owner "Xilinx"))
+ (property INIT (string "6AAA") (owner "Xilinx"))
+ )
+ (instance blk000008c3
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___2___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/in_addr/gen_struct.page_rstpot") (owner "Xilinx"))
+ (property INIT (string "6AAAAAAA") (owner "Xilinx"))
+ )
+ (instance blk000008c4
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___11___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[3].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_160_o1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk000008c5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___12___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[4].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_160_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk000008c6
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___10___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[2].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_160_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk000008c7
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___9___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_centre_tap_data_latched/CE_WE_AND_211_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk000008c8
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___6___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/Reset_OR_DriverANDClockEnable1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk000008c9
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___0___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_enable_reload_hb_enable_OR_11_o1") (owner "Xilinx"))
+ (property INIT (string "20AA2020") (owner "Xilinx"))
+ )
+ (instance blk000008ca
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EAAA") (owner "Xilinx"))
+ )
+ (instance blk000008cb
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___0___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_enable_reload_hb_enable_OR_11_o1") (owner "Xilinx"))
+ (property INIT (string "1000") (owner "Xilinx"))
+ )
+ (instance blk000008cc
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___3___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_complete_COEF_LD_OR_12_o1") (owner "Xilinx"))
+ (property INIT (string "4F444444") (owner "Xilinx"))
+ )
+ (instance blk000008cd
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___3___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_complete_COEF_LD_OR_12_o1") (owner "Xilinx"))
+ (property INIT (string "20") (owner "Xilinx"))
+ )
+ (instance blk000008ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008cf
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d0
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d1
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d2
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d3
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d4
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d5
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d6
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d7
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d8
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008d9
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008da
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008db
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000008dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008dd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008df
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008e1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008e3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ec
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008ee
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008f0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008f2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008f4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008f6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008f8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008fa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008fc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000008fe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000008ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000900
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000901
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000902
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000903
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000904
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000905
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000906
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000907
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000908
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000909
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000090a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000090b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000090c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000090d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000090e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000090f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000910
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000911
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000912
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000913
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000914
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000915
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000916
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000917
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000918
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000919
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000091a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000091b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000091c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000091d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000091e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000091f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000920
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000921
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000922
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000923
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000924
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000925
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000926
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000927
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000928
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000929
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000092a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000092b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000092c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000092d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000092e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000092f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000930
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000931
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000932
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000933
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000934
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000935
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000936
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000937
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000938
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000939
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000093a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000093b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000093c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000093d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000093e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000093f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000940
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000941
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000942
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000943
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000944
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000945
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000946
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000947
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000948
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000949
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000094a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000094b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000094c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000094d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000094e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000094f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000950
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000951
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000952
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000953
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000954
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000955
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000956
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000957
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000958
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000959
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000095a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000095b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000095c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000095d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000095e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000095f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000960
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000961
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000962
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000963
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000964
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000965
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000966
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000967
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000968
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000969
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000096a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000096b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000096c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000096d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000096e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000096f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000970
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000971
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000972
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000973
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000974
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000975
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000976
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000977
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000978
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000979
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000097a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000097b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000097c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000097d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000097e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000097f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000980
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000981
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000982
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000983
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000984
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000985
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000986
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000987
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000988
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000989
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000098a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000098b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000098c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000098d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000098e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000098f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000990
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000991
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000992
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000993
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000994
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000995
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000996
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000997
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000998
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000999
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000099a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000099b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000099c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000099d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000099e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000099f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009a1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009a3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009b6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009b8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ba
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009bc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009be
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009c0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009c2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009c4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009c6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009c8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009cc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009d3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009d7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009d9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009db
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009dd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009df
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009e1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009e3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ec
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009ee
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009f0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009f2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009f4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009f6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009f8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009fa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009fc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000009fe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000009ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a00
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a01
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a02
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a03
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a04
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a05
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a06
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a07
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a08
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a09
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a0a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a0b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a0c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a0d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a0e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a0f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a10
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a11
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a12
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a13
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a14
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a15
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a16
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a17
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a18
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a19
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a1a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a1b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a1c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a1d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a1e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a1f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a20
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a21
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a22
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a23
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a24
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a25
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a26
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a27
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a28
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a29
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a2a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a2b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a2c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a2d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a2e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a2f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a30
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a31
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a32
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a33
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a34
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a35
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a36
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a37
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a38
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a39
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a3a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a3b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a3c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a3d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a3e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a3f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a40
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a41
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a42
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a43
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a44
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a45
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a46
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a47
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a48
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a49
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a4a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a4b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a4c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a4d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a4e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a4f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a50
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a51
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a52
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a53
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a54
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a55
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a56
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a57
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a58
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a59
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a5a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a5b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a5c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a5d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a5e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a5f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a60
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a61
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a62
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a63
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a64
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a65
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a66
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a67
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a68
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a69
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a6a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a6b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a6c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a6d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a6e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a6f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a70
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a71
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a72
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a73
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a74
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a75
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a76
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a77
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a78
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a79
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a7a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a7b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a7c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a7d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a7e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a7f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a80
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a81
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a82
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a83
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a84
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a85
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a86
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a87
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a88
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a89
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a8a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a8b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a8c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a8d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a8e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a8f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a90
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a91
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a92
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a93
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a94
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a95
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a96
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a97
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a98
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a99
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a9a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a9b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a9c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a9d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000a9e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000a9f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aa0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aa1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aa2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aa3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aa4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aa5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aa6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aa7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aa8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aa9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aaa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aaf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ab0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ab1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ab2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ab3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ab4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ab5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ab6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ab7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ab8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ab9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aba
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000abb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000abc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000abd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000abe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000abf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ac0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ac1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ac2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ac3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ac4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ac5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ac6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ac7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ac8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ac9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000acb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000acc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000acd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ace
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000acf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ad0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ad1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ad2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ad3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ad4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ad5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ad6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ad7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ad8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ad9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ada
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000adb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000adc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000add
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ade
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000adf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ae0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ae1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ae2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ae3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ae4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ae5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ae6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ae7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ae8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ae9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aeb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aec
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000aee
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000af0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000af1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000af2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000af3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000af4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000af5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000af6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000af7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000af8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000af9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000afa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000afb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000afc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000afd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000afe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000aff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b00
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b01
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b02
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b03
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b04
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b05
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b06
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b07
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b08
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b09
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b0a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b0b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b0c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b0d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b0e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b0f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b10
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b11
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b12
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b13
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b14
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b15
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b16
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b17
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b18
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b19
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b1a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b1b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b1c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b1d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b1e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b1f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b20
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b21
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b22
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b23
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b24
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b25
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b26
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b27
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b28
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b29
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b2a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b2b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b2c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b2d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b2e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b2f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b30
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b31
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b32
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b33
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b34
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b35
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b36
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b37
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b38
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b39
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b3a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b3b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b3c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b3d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b3e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b3f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b40
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b41
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b42
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b43
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b44
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b45
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b46
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b47
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b48
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b49
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b4a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b4b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b4c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b4d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b4e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b4f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b50
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b51
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b52
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b53
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b54
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b55
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b56
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b57
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b58
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b59
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b5a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b5b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b5c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b5d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b5e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b5f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b60
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b61
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b62
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b63
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b64
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b65
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b66
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b67
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b68
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b69
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b6a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b6b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b6c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b6d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b6e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b6f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b70
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b71
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b72
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b73
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b74
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b75
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b76
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b77
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b78
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b79
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b7a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b7b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b7c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b7d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b7e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b7f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b80
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b81
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b82
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b83
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b84
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b85
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b86
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b87
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b88
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b89
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b8a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b8b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b8c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b8d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b8e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b8f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b90
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b91
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b92
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b93
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b94
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b95
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b96
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b97
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b98
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b99
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b9a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b9b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b9c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b9d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000b9e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000b9f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ba0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ba1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ba2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ba3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ba4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ba5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ba6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ba7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000ba8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000ba9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000baa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000baf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bb0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bb1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bb2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bb3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bb4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bb5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bb6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bb7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bb8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bb9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bba
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bbb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bbc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bbd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bbe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bbf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bc0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bc1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bc2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bc3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bc4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bc5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bc6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bc7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bc8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bc9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bcb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bcc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bcd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bcf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bd0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bd1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bd2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bd3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bd4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bd5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bd6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bd7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bd8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bd9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bda
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bdb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bdc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bdd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bde
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bdf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000be0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000be1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000be2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000be3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000be4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000be5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000be6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000be7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000be8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000be9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000beb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bec
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bee
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bf0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bf1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bf2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bf3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bf4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bf5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bf6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bf7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bf8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bf9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bfa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bfb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bfc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bfd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000bfe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000bff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c00
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c01
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c02
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c03
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c04
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c05
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c06
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c07
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c08
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c09
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c0a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c0b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c0c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c0d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c0e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c0f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c10
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c11
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000c12
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000c13
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (net sig00000001
+ (joined
+ (portRef (member coef_din 0))
+ (portRef D (instanceRef blk000008e4))
+ )
+ )
+ (net sig00000002
+ (joined
+ (portRef (member coef_din 1))
+ (portRef D (instanceRef blk000008e6))
+ )
+ )
+ (net sig00000003
+ (joined
+ (portRef (member coef_din 2))
+ (portRef D (instanceRef blk000008e2))
+ )
+ )
+ (net sig00000004
+ (joined
+ (portRef (member coef_din 3))
+ (portRef D (instanceRef blk000008ea))
+ )
+ )
+ (net sig00000005
+ (joined
+ (portRef (member coef_din 4))
+ (portRef D (instanceRef blk000008ec))
+ )
+ )
+ (net sig00000006
+ (joined
+ (portRef (member coef_din 5))
+ (portRef D (instanceRef blk000008e8))
+ )
+ )
+ (net sig00000007
+ (joined
+ (portRef (member coef_din 6))
+ (portRef D (instanceRef blk000008f0))
+ )
+ )
+ (net sig00000008
+ (joined
+ (portRef (member coef_din 7))
+ (portRef D (instanceRef blk000008f2))
+ )
+ )
+ (net sig00000009
+ (joined
+ (portRef (member coef_din 8))
+ (portRef D (instanceRef blk000008ee))
+ )
+ )
+ (net sig0000000a
+ (joined
+ (portRef (member coef_din 9))
+ (portRef D (instanceRef blk000008f4))
+ )
+ )
+ (net sig0000000b
+ (joined
+ (portRef (member coef_din 10))
+ (portRef D (instanceRef blk000008f6))
+ )
+ )
+ (net sig0000000c
+ (joined
+ (portRef (member coef_din 11))
+ (portRef D (instanceRef blk000008f8))
+ )
+ )
+ (net sig0000000d
+ (joined
+ (portRef (member coef_din 12))
+ (portRef D (instanceRef blk000008fa))
+ )
+ )
+ (net sig0000000e
+ (joined
+ (portRef (member coef_din 13))
+ (portRef D (instanceRef blk000008fe))
+ )
+ )
+ (net sig0000000f
+ (joined
+ (portRef (member coef_din 14))
+ (portRef D (instanceRef blk00000900))
+ )
+ )
+ (net sig00000010
+ (joined
+ (portRef (member coef_din 15))
+ (portRef D (instanceRef blk000008fc))
+ )
+ )
+ (net sig00000011
+ (joined
+ (portRef (member coef_din 16))
+ (portRef D (instanceRef blk00000904))
+ )
+ )
+ (net sig00000012
+ (joined
+ (portRef (member coef_din 17))
+ (portRef D (instanceRef blk00000906))
+ )
+ )
+ (net sig00000013
+ (joined
+ (portRef (member din_1 0))
+ (portRef (member DA_IN 24) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000014
+ (joined
+ (portRef (member din_1 1))
+ (portRef (member DA_IN 25) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000015
+ (joined
+ (portRef (member din_1 2))
+ (portRef (member DA_IN 26) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000016
+ (joined
+ (portRef (member din_1 3))
+ (portRef (member DA_IN 27) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000017
+ (joined
+ (portRef (member din_1 4))
+ (portRef (member DA_IN 28) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000018
+ (joined
+ (portRef (member din_1 5))
+ (portRef (member DA_IN 29) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000019
+ (joined
+ (portRef (member din_1 6))
+ (portRef (member DA_IN 30) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000001a
+ (joined
+ (portRef (member din_1 7))
+ (portRef (member DA_IN 31) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000001b
+ (joined
+ (portRef (member din_1 8))
+ (portRef (member DA_IN 32) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000001c
+ (joined
+ (portRef (member din_1 9))
+ (portRef (member DA_IN 33) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000001d
+ (joined
+ (portRef (member din_1 10))
+ (portRef (member DA_IN 34) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000001e
+ (joined
+ (portRef (member din_1 11))
+ (portRef (member DA_IN 35) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000001f
+ (joined
+ (portRef (member din_1 12))
+ (portRef (member DA_IN 36) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000020
+ (joined
+ (portRef (member din_1 13))
+ (portRef (member DA_IN 37) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000021
+ (joined
+ (portRef (member din_1 14))
+ (portRef (member DA_IN 38) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000022
+ (joined
+ (portRef (member din_1 15))
+ (portRef (member DA_IN 39) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000023
+ (joined
+ (portRef (member din_1 16))
+ (portRef (member DA_IN 40) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000024
+ (joined
+ (portRef (member din_1 17))
+ (portRef (member DA_IN 41) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000025
+ (joined
+ (portRef (member din_1 18))
+ (portRef (member DA_IN 42) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000026
+ (joined
+ (portRef (member din_1 19))
+ (portRef (member DA_IN 43) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000027
+ (joined
+ (portRef (member din_1 20))
+ (portRef (member DA_IN 44) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000028
+ (joined
+ (portRef (member din_1 21))
+ (portRef (member DA_IN 45) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000029
+ (joined
+ (portRef (member din_1 22))
+ (portRef (member DA_IN 46) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000002a
+ (joined
+ (portRef (member din_1 23))
+ (portRef (member DA_IN 47) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000002b
+ (joined
+ (portRef (member din_2 0))
+ (portRef (member DA_IN 0) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000002c
+ (joined
+ (portRef (member din_2 1))
+ (portRef (member DA_IN 1) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000002d
+ (joined
+ (portRef (member din_2 2))
+ (portRef (member DA_IN 2) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000002e
+ (joined
+ (portRef (member din_2 3))
+ (portRef (member DA_IN 3) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000002f
+ (joined
+ (portRef (member din_2 4))
+ (portRef (member DA_IN 4) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000030
+ (joined
+ (portRef (member din_2 5))
+ (portRef (member DA_IN 5) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000031
+ (joined
+ (portRef (member din_2 6))
+ (portRef (member DA_IN 6) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000032
+ (joined
+ (portRef (member din_2 7))
+ (portRef (member DA_IN 7) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000033
+ (joined
+ (portRef (member din_2 8))
+ (portRef (member DA_IN 8) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000034
+ (joined
+ (portRef (member din_2 9))
+ (portRef (member DA_IN 9) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000035
+ (joined
+ (portRef (member din_2 10))
+ (portRef (member DA_IN 10) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000036
+ (joined
+ (portRef (member din_2 11))
+ (portRef (member DA_IN 11) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000037
+ (joined
+ (portRef (member din_2 12))
+ (portRef (member DA_IN 12) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000038
+ (joined
+ (portRef (member din_2 13))
+ (portRef (member DA_IN 13) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000039
+ (joined
+ (portRef (member din_2 14))
+ (portRef (member DA_IN 14) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000003a
+ (joined
+ (portRef (member din_2 15))
+ (portRef (member DA_IN 15) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000003b
+ (joined
+ (portRef (member din_2 16))
+ (portRef (member DA_IN 16) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000003c
+ (joined
+ (portRef (member din_2 17))
+ (portRef (member DA_IN 17) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000003d
+ (joined
+ (portRef (member din_2 18))
+ (portRef (member DA_IN 18) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000003e
+ (joined
+ (portRef (member din_2 19))
+ (portRef (member DA_IN 19) (instanceRef blk0000002c))
+ )
+ )
+ (net sig0000003f
+ (joined
+ (portRef (member din_2 20))
+ (portRef (member DA_IN 20) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000040
+ (joined
+ (portRef (member din_2 21))
+ (portRef (member DA_IN 21) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000041
+ (joined
+ (portRef (member din_2 22))
+ (portRef (member DA_IN 22) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000042
+ (joined
+ (portRef (member din_2 23))
+ (portRef (member DA_IN 23) (instanceRef blk0000002c))
+ )
+ )
+ (net sig00000043
+ (joined
+ (portRef sclr)
+ (portRef R (instanceRef blk00000006))
+ (portRef R (instanceRef blk00000009))
+ (portRef S (instanceRef blk00000018))
+ (portRef R (instanceRef blk00000019))
+ (portRef R (instanceRef blk0000001a))
+ (portRef R (instanceRef blk0000001c))
+ (portRef R (instanceRef blk0000001d))
+ (portRef R (instanceRef blk0000001e))
+ (portRef R (instanceRef blk0000001f))
+ (portRef R (instanceRef blk00000020))
+ (portRef R (instanceRef blk00000021))
+ (portRef S (instanceRef blk00000022))
+ (portRef R (instanceRef blk00000023))
+ (portRef R (instanceRef blk00000025))
+ (portRef R (instanceRef blk00000026))
+ (portRef R (instanceRef blk00000027))
+ (portRef R (instanceRef blk00000029))
+ (portRef R (instanceRef blk0000002a))
+ (portRef R (instanceRef blk0000002b))
+ (portRef SCLR (instanceRef blk0000002c))
+ (portRef R (instanceRef blk00000102))
+ (portRef R (instanceRef blk00000103))
+ (portRef R (instanceRef blk00000104))
+ (portRef R (instanceRef blk00000105))
+ (portRef R (instanceRef blk00000106))
+ (portRef R (instanceRef blk00000107))
+ (portRef R (instanceRef blk00000108))
+ (portRef R (instanceRef blk00000109))
+ (portRef R (instanceRef blk0000010a))
+ (portRef R (instanceRef blk0000010b))
+ (portRef R (instanceRef blk0000010c))
+ (portRef R (instanceRef blk0000010d))
+ (portRef R (instanceRef blk0000010e))
+ (portRef R (instanceRef blk0000010f))
+ (portRef R (instanceRef blk00000110))
+ (portRef R (instanceRef blk00000111))
+ (portRef R (instanceRef blk00000112))
+ (portRef R (instanceRef blk00000113))
+ (portRef R (instanceRef blk00000114))
+ (portRef R (instanceRef blk00000115))
+ (portRef R (instanceRef blk00000116))
+ (portRef R (instanceRef blk00000117))
+ (portRef R (instanceRef blk00000118))
+ (portRef R (instanceRef blk00000119))
+ (portRef R (instanceRef blk0000011a))
+ (portRef R (instanceRef blk0000011b))
+ (portRef R (instanceRef blk0000011c))
+ (portRef R (instanceRef blk0000011d))
+ (portRef R (instanceRef blk0000011e))
+ (portRef R (instanceRef blk0000011f))
+ (portRef SCLR (instanceRef blk00000120))
+ (portRef SCLR (instanceRef blk00000153))
+ (portRef SCLR (instanceRef blk00000186))
+ (portRef SCLR (instanceRef blk000001b9))
+ (portRef SCLR (instanceRef blk000001ec))
+ (portRef SCLR (instanceRef blk0000021f))
+ (portRef SCLR (instanceRef blk00000252))
+ (portRef SCLR (instanceRef blk00000285))
+ (portRef SCLR (instanceRef blk000002b8))
+ (portRef SCLR (instanceRef blk000002eb))
+ (portRef SCLR (instanceRef blk0000031e))
+ (portRef SCLR (instanceRef blk00000351))
+ (portRef SCLR (instanceRef blk00000384))
+ (portRef SCLR (instanceRef blk000003b7))
+ (portRef SCLR (instanceRef blk000003ea))
+ (portRef SCLR (instanceRef blk0000041d))
+ (portRef SCLR (instanceRef blk00000450))
+ (portRef SCLR (instanceRef blk00000483))
+ (portRef SCLR (instanceRef blk000004b6))
+ (portRef SCLR (instanceRef blk000004e9))
+ (portRef SCLR (instanceRef blk0000051c))
+ (portRef SCLR (instanceRef blk0000054f))
+ (portRef SCLR (instanceRef blk00000582))
+ (portRef SCLR (instanceRef blk000005b5))
+ (portRef SCLR (instanceRef blk000005e8))
+ (portRef SCLR (instanceRef blk00000621))
+ (portRef SCLR (instanceRef blk0000065a))
+ (portRef SCLR (instanceRef blk00000693))
+ (portRef SCLR (instanceRef blk000006cc))
+ (portRef SCLR (instanceRef blk00000705))
+ (portRef R (instanceRef blk0000072c))
+ (portRef R (instanceRef blk0000072d))
+ (portRef R (instanceRef blk0000072e))
+ (portRef R (instanceRef blk0000072f))
+ (portRef R (instanceRef blk00000730))
+ (portRef R (instanceRef blk00000731))
+ (portRef R (instanceRef blk00000732))
+ (portRef R (instanceRef blk00000733))
+ (portRef R (instanceRef blk00000734))
+ (portRef R (instanceRef blk00000735))
+ (portRef R (instanceRef blk00000736))
+ (portRef R (instanceRef blk00000737))
+ (portRef R (instanceRef blk00000738))
+ (portRef R (instanceRef blk00000739))
+ (portRef R (instanceRef blk0000073a))
+ (portRef R (instanceRef blk0000073b))
+ (portRef R (instanceRef blk0000073c))
+ (portRef R (instanceRef blk0000073d))
+ (portRef R (instanceRef blk0000073e))
+ (portRef R (instanceRef blk0000073f))
+ (portRef R (instanceRef blk00000740))
+ (portRef R (instanceRef blk00000741))
+ (portRef R (instanceRef blk00000742))
+ (portRef R (instanceRef blk00000743))
+ (portRef R (instanceRef blk00000744))
+ (portRef R (instanceRef blk00000745))
+ (portRef R (instanceRef blk00000746))
+ (portRef R (instanceRef blk00000747))
+ (portRef R (instanceRef blk00000748))
+ (portRef R (instanceRef blk00000749))
+ (portRef R (instanceRef blk0000074a))
+ (portRef R (instanceRef blk0000074b))
+ (portRef R (instanceRef blk0000074c))
+ (portRef R (instanceRef blk0000074d))
+ (portRef R (instanceRef blk0000074e))
+ (portRef R (instanceRef blk0000074f))
+ (portRef R (instanceRef blk00000750))
+ (portRef R (instanceRef blk00000751))
+ (portRef R (instanceRef blk00000752))
+ (portRef R (instanceRef blk00000753))
+ (portRef R (instanceRef blk00000754))
+ (portRef R (instanceRef blk00000755))
+ (portRef R (instanceRef blk00000756))
+ (portRef R (instanceRef blk00000757))
+ (portRef R (instanceRef blk00000758))
+ (portRef R (instanceRef blk00000759))
+ (portRef R (instanceRef blk0000075a))
+ (portRef R (instanceRef blk0000075b))
+ (portRef SCLR (instanceRef blk0000075c))
+ (portRef R (instanceRef blk00000790))
+ (portRef R (instanceRef blk00000791))
+ (portRef R (instanceRef blk00000792))
+ (portRef R (instanceRef blk00000793))
+ (portRef R (instanceRef blk00000794))
+ (portRef R (instanceRef blk00000795))
+ (portRef R (instanceRef blk00000796))
+ (portRef R (instanceRef blk00000797))
+ (portRef R (instanceRef blk00000798))
+ (portRef R (instanceRef blk00000799))
+ (portRef R (instanceRef blk0000079a))
+ (portRef R (instanceRef blk0000079b))
+ (portRef R (instanceRef blk0000079c))
+ (portRef R (instanceRef blk0000079d))
+ (portRef R (instanceRef blk0000079e))
+ (portRef R (instanceRef blk0000079f))
+ (portRef R (instanceRef blk000007a0))
+ (portRef R (instanceRef blk000007a1))
+ (portRef R (instanceRef blk000007a2))
+ (portRef R (instanceRef blk000007a3))
+ (portRef R (instanceRef blk000007a4))
+ (portRef R (instanceRef blk000007a5))
+ (portRef R (instanceRef blk000007a6))
+ (portRef R (instanceRef blk000007a7))
+ (portRef R (instanceRef blk000007a8))
+ (portRef R (instanceRef blk000007a9))
+ (portRef R (instanceRef blk000007aa))
+ (portRef R (instanceRef blk000007ab))
+ (portRef R (instanceRef blk000007ac))
+ (portRef R (instanceRef blk000007ad))
+ (portRef R (instanceRef blk000007ae))
+ (portRef R (instanceRef blk000007af))
+ (portRef R (instanceRef blk000007b0))
+ (portRef R (instanceRef blk000007b1))
+ (portRef R (instanceRef blk000007b2))
+ (portRef R (instanceRef blk000007b3))
+ (portRef R (instanceRef blk000007b4))
+ (portRef R (instanceRef blk000007b5))
+ (portRef R (instanceRef blk000007b6))
+ (portRef R (instanceRef blk000007b7))
+ (portRef R (instanceRef blk000007b8))
+ (portRef R (instanceRef blk000007b9))
+ (portRef R (instanceRef blk000007ba))
+ (portRef R (instanceRef blk000007bb))
+ (portRef R (instanceRef blk000007bc))
+ (portRef R (instanceRef blk000007bd))
+ (portRef R (instanceRef blk000007be))
+ (portRef R (instanceRef blk000007bf))
+ (portRef R (instanceRef blk000007c0))
+ (portRef R (instanceRef blk000007c1))
+ (portRef R (instanceRef blk000007c2))
+ (portRef R (instanceRef blk000007c3))
+ (portRef R (instanceRef blk000007c4))
+ (portRef R (instanceRef blk000007c5))
+ (portRef R (instanceRef blk000007c6))
+ (portRef R (instanceRef blk000007c7))
+ (portRef R (instanceRef blk000007c8))
+ (portRef R (instanceRef blk000007c9))
+ (portRef R (instanceRef blk000007ca))
+ (portRef R (instanceRef blk000007cb))
+ (portRef R (instanceRef blk000007cc))
+ (portRef R (instanceRef blk000007cd))
+ (portRef R (instanceRef blk000007ce))
+ (portRef R (instanceRef blk000007cf))
+ (portRef R (instanceRef blk000007d0))
+ (portRef R (instanceRef blk000007d1))
+ (portRef R (instanceRef blk000007d2))
+ (portRef R (instanceRef blk000007d3))
+ (portRef R (instanceRef blk000007d4))
+ (portRef R (instanceRef blk000007d5))
+ (portRef R (instanceRef blk000007d6))
+ (portRef R (instanceRef blk000007d7))
+ (portRef R (instanceRef blk000007d8))
+ (portRef R (instanceRef blk000007d9))
+ (portRef R (instanceRef blk000007da))
+ (portRef R (instanceRef blk000007db))
+ (portRef R (instanceRef blk000007dc))
+ (portRef R (instanceRef blk000007dd))
+ (portRef R (instanceRef blk000007de))
+ (portRef R (instanceRef blk000007df))
+ (portRef R (instanceRef blk000007e0))
+ (portRef R (instanceRef blk000007e1))
+ (portRef R (instanceRef blk000007e2))
+ (portRef R (instanceRef blk000007e3))
+ (portRef R (instanceRef blk000007e4))
+ (portRef R (instanceRef blk000007e5))
+ (portRef R (instanceRef blk000007e6))
+ (portRef R (instanceRef blk000007e7))
+ (portRef R (instanceRef blk000007e8))
+ (portRef R (instanceRef blk000007e9))
+ (portRef R (instanceRef blk000007ea))
+ (portRef R (instanceRef blk000007eb))
+ (portRef R (instanceRef blk000007ec))
+ (portRef R (instanceRef blk000007ed))
+ (portRef R (instanceRef blk000007ee))
+ (portRef I0 (instanceRef blk00000806))
+ (portRef I0 (instanceRef blk00000828))
+ (portRef R (instanceRef blk00000894))
+ (portRef R (instanceRef blk0000089b))
+ (portRef R (instanceRef blk0000089c))
+ (portRef R (instanceRef blk0000089d))
+ (portRef S (instanceRef blk0000089e))
+ (portRef S (instanceRef blk000008a3))
+ (portRef R (instanceRef blk000008a4))
+ (portRef S (instanceRef blk000008a5))
+ (portRef S (instanceRef blk000008a6))
+ (portRef S (instanceRef blk000008a7))
+ (portRef S (instanceRef blk000008a8))
+ (portRef R (instanceRef blk000008a9))
+ (portRef R (instanceRef blk000008aa))
+ (portRef R (instanceRef blk000008ab))
+ (portRef R (instanceRef blk000008ac))
+ (portRef R (instanceRef blk000008ad))
+ (portRef R (instanceRef blk000008b8))
+ (portRef R (instanceRef blk000008b9))
+ (portRef R (instanceRef blk000008ba))
+ (portRef R (instanceRef blk000008bb))
+ (portRef R (instanceRef blk000008bc))
+ (portRef I1 (instanceRef blk000008c8))
+ )
+ )
+ (net sig00000044
+ (joined
+ (portRef clk)
+ (portRef C (instanceRef blk00000006))
+ (portRef C (instanceRef blk00000009))
+ (portRef C (instanceRef blk0000000d))
+ (portRef C (instanceRef blk0000000e))
+ (portRef C (instanceRef blk0000000f))
+ (portRef CLK (instanceRef blk00000015))
+ (portRef CLK (instanceRef blk00000016))
+ (portRef C (instanceRef blk00000018))
+ (portRef C (instanceRef blk00000019))
+ (portRef C (instanceRef blk0000001a))
+ (portRef C (instanceRef blk0000001b))
+ (portRef C (instanceRef blk0000001c))
+ (portRef C (instanceRef blk0000001d))
+ (portRef C (instanceRef blk0000001e))
+ (portRef C (instanceRef blk0000001f))
+ (portRef C (instanceRef blk00000020))
+ (portRef C (instanceRef blk00000021))
+ (portRef C (instanceRef blk00000022))
+ (portRef C (instanceRef blk00000023))
+ (portRef C (instanceRef blk00000024))
+ (portRef C (instanceRef blk00000025))
+ (portRef C (instanceRef blk00000026))
+ (portRef C (instanceRef blk00000027))
+ (portRef C (instanceRef blk00000028))
+ (portRef C (instanceRef blk00000029))
+ (portRef C (instanceRef blk0000002a))
+ (portRef C (instanceRef blk0000002b))
+ (portRef CLK (instanceRef blk0000002c))
+ (portRef C (instanceRef blk0000008f))
+ (portRef C (instanceRef blk00000090))
+ (portRef C (instanceRef blk0000009c))
+ (portRef C (instanceRef blk0000009d))
+ (portRef C (instanceRef blk0000009e))
+ (portRef C (instanceRef blk0000009f))
+ (portRef C (instanceRef blk000000a0))
+ (portRef C (instanceRef blk000000a1))
+ (portRef C (instanceRef blk000000a2))
+ (portRef C (instanceRef blk000000a3))
+ (portRef C (instanceRef blk000000a4))
+ (portRef C (instanceRef blk000000a5))
+ (portRef C (instanceRef blk000000a6))
+ (portRef C (instanceRef blk000000a7))
+ (portRef C (instanceRef blk000000a8))
+ (portRef C (instanceRef blk000000a9))
+ (portRef C (instanceRef blk000000aa))
+ (portRef C (instanceRef blk000000ab))
+ (portRef C (instanceRef blk000000ac))
+ (portRef C (instanceRef blk000000ad))
+ (portRef C (instanceRef blk000000ae))
+ (portRef C (instanceRef blk000000af))
+ (portRef C (instanceRef blk000000b0))
+ (portRef C (instanceRef blk000000b1))
+ (portRef C (instanceRef blk000000b2))
+ (portRef C (instanceRef blk000000b3))
+ (portRef C (instanceRef blk000000bd))
+ (portRef C (instanceRef blk000000be))
+ (portRef C (instanceRef blk000000bf))
+ (portRef C (instanceRef blk000000c8))
+ (portRef C (instanceRef blk000000c9))
+ (portRef C (instanceRef blk000000d1))
+ (portRef C (instanceRef blk000000d2))
+ (portRef C (instanceRef blk000000e1))
+ (portRef C (instanceRef blk000000e4))
+ (portRef C (instanceRef blk000000e5))
+ (portRef C (instanceRef blk000000e6))
+ (portRef CLK (instanceRef blk000000e7))
+ (portRef CLK (instanceRef blk000000e8))
+ (portRef CLK (instanceRef blk000000e9))
+ (portRef CLK (instanceRef blk000000ea))
+ (portRef CLK (instanceRef blk000000eb))
+ (portRef CLK (instanceRef blk000000ec))
+ (portRef CLK (instanceRef blk000000ed))
+ (portRef CLK (instanceRef blk000000ee))
+ (portRef CLK (instanceRef blk000000ef))
+ (portRef CLK (instanceRef blk000000f0))
+ (portRef CLK (instanceRef blk000000f1))
+ (portRef CLK (instanceRef blk000000f2))
+ (portRef C (instanceRef blk000000f3))
+ (portRef C (instanceRef blk000000f4))
+ (portRef C (instanceRef blk000000f5))
+ (portRef C (instanceRef blk000000f6))
+ (portRef C (instanceRef blk000000f7))
+ (portRef C (instanceRef blk000000f8))
+ (portRef C (instanceRef blk000000f9))
+ (portRef C (instanceRef blk000000fa))
+ (portRef C (instanceRef blk000000fb))
+ (portRef C (instanceRef blk000000fc))
+ (portRef C (instanceRef blk000000fd))
+ (portRef C (instanceRef blk000000fe))
+ (portRef C (instanceRef blk000000ff))
+ (portRef C (instanceRef blk00000100))
+ (portRef C (instanceRef blk00000101))
+ (portRef C (instanceRef blk00000102))
+ (portRef C (instanceRef blk00000103))
+ (portRef C (instanceRef blk00000104))
+ (portRef C (instanceRef blk00000105))
+ (portRef C (instanceRef blk00000106))
+ (portRef C (instanceRef blk00000107))
+ (portRef C (instanceRef blk00000108))
+ (portRef C (instanceRef blk00000109))
+ (portRef C (instanceRef blk0000010a))
+ (portRef C (instanceRef blk0000010b))
+ (portRef C (instanceRef blk0000010c))
+ (portRef C (instanceRef blk0000010d))
+ (portRef C (instanceRef blk0000010e))
+ (portRef C (instanceRef blk0000010f))
+ (portRef C (instanceRef blk00000110))
+ (portRef C (instanceRef blk00000111))
+ (portRef C (instanceRef blk00000112))
+ (portRef C (instanceRef blk00000113))
+ (portRef C (instanceRef blk00000114))
+ (portRef C (instanceRef blk00000115))
+ (portRef C (instanceRef blk00000116))
+ (portRef C (instanceRef blk00000117))
+ (portRef C (instanceRef blk00000118))
+ (portRef C (instanceRef blk00000119))
+ (portRef C (instanceRef blk0000011a))
+ (portRef C (instanceRef blk0000011b))
+ (portRef C (instanceRef blk0000011c))
+ (portRef C (instanceRef blk0000011d))
+ (portRef C (instanceRef blk0000011e))
+ (portRef C (instanceRef blk0000011f))
+ (portRef CLK (instanceRef blk00000120))
+ (portRef CLK (instanceRef blk00000153))
+ (portRef CLK (instanceRef blk00000186))
+ (portRef CLK (instanceRef blk000001b9))
+ (portRef CLK (instanceRef blk000001ec))
+ (portRef CLK (instanceRef blk0000021f))
+ (portRef CLK (instanceRef blk00000252))
+ (portRef CLK (instanceRef blk00000285))
+ (portRef CLK (instanceRef blk000002b8))
+ (portRef CLK (instanceRef blk000002eb))
+ (portRef CLK (instanceRef blk0000031e))
+ (portRef CLK (instanceRef blk00000351))
+ (portRef CLK (instanceRef blk00000384))
+ (portRef CLK (instanceRef blk000003b7))
+ (portRef CLK (instanceRef blk000003ea))
+ (portRef CLK (instanceRef blk0000041d))
+ (portRef CLK (instanceRef blk00000450))
+ (portRef CLK (instanceRef blk00000483))
+ (portRef CLK (instanceRef blk000004b6))
+ (portRef CLK (instanceRef blk000004e9))
+ (portRef CLK (instanceRef blk0000051c))
+ (portRef CLK (instanceRef blk0000054f))
+ (portRef CLK (instanceRef blk00000582))
+ (portRef CLK (instanceRef blk000005b5))
+ (portRef CLK (instanceRef blk000005e8))
+ (portRef CLK (instanceRef blk00000621))
+ (portRef CLK (instanceRef blk0000065a))
+ (portRef CLK (instanceRef blk00000693))
+ (portRef CLK (instanceRef blk000006cc))
+ (portRef CLK (instanceRef blk00000705))
+ (portRef C (instanceRef blk0000072c))
+ (portRef C (instanceRef blk0000072d))
+ (portRef C (instanceRef blk0000072e))
+ (portRef C (instanceRef blk0000072f))
+ (portRef C (instanceRef blk00000730))
+ (portRef C (instanceRef blk00000731))
+ (portRef C (instanceRef blk00000732))
+ (portRef C (instanceRef blk00000733))
+ (portRef C (instanceRef blk00000734))
+ (portRef C (instanceRef blk00000735))
+ (portRef C (instanceRef blk00000736))
+ (portRef C (instanceRef blk00000737))
+ (portRef C (instanceRef blk00000738))
+ (portRef C (instanceRef blk00000739))
+ (portRef C (instanceRef blk0000073a))
+ (portRef C (instanceRef blk0000073b))
+ (portRef C (instanceRef blk0000073c))
+ (portRef C (instanceRef blk0000073d))
+ (portRef C (instanceRef blk0000073e))
+ (portRef C (instanceRef blk0000073f))
+ (portRef C (instanceRef blk00000740))
+ (portRef C (instanceRef blk00000741))
+ (portRef C (instanceRef blk00000742))
+ (portRef C (instanceRef blk00000743))
+ (portRef C (instanceRef blk00000744))
+ (portRef C (instanceRef blk00000745))
+ (portRef C (instanceRef blk00000746))
+ (portRef C (instanceRef blk00000747))
+ (portRef C (instanceRef blk00000748))
+ (portRef C (instanceRef blk00000749))
+ (portRef C (instanceRef blk0000074a))
+ (portRef C (instanceRef blk0000074b))
+ (portRef C (instanceRef blk0000074c))
+ (portRef C (instanceRef blk0000074d))
+ (portRef C (instanceRef blk0000074e))
+ (portRef C (instanceRef blk0000074f))
+ (portRef C (instanceRef blk00000750))
+ (portRef C (instanceRef blk00000751))
+ (portRef C (instanceRef blk00000752))
+ (portRef C (instanceRef blk00000753))
+ (portRef C (instanceRef blk00000754))
+ (portRef C (instanceRef blk00000755))
+ (portRef C (instanceRef blk00000756))
+ (portRef C (instanceRef blk00000757))
+ (portRef C (instanceRef blk00000758))
+ (portRef C (instanceRef blk00000759))
+ (portRef C (instanceRef blk0000075a))
+ (portRef C (instanceRef blk0000075b))
+ (portRef CLK (instanceRef blk0000075c))
+ (portRef C (instanceRef blk0000078f))
+ (portRef C (instanceRef blk00000790))
+ (portRef C (instanceRef blk00000791))
+ (portRef C (instanceRef blk00000792))
+ (portRef C (instanceRef blk00000793))
+ (portRef C (instanceRef blk00000794))
+ (portRef C (instanceRef blk00000795))
+ (portRef C (instanceRef blk00000796))
+ (portRef C (instanceRef blk00000797))
+ (portRef C (instanceRef blk00000798))
+ (portRef C (instanceRef blk00000799))
+ (portRef C (instanceRef blk0000079a))
+ (portRef C (instanceRef blk0000079b))
+ (portRef C (instanceRef blk0000079c))
+ (portRef C (instanceRef blk0000079d))
+ (portRef C (instanceRef blk0000079e))
+ (portRef C (instanceRef blk0000079f))
+ (portRef C (instanceRef blk000007a0))
+ (portRef C (instanceRef blk000007a1))
+ (portRef C (instanceRef blk000007a2))
+ (portRef C (instanceRef blk000007a3))
+ (portRef C (instanceRef blk000007a4))
+ (portRef C (instanceRef blk000007a5))
+ (portRef C (instanceRef blk000007a6))
+ (portRef C (instanceRef blk000007a7))
+ (portRef C (instanceRef blk000007a8))
+ (portRef C (instanceRef blk000007a9))
+ (portRef C (instanceRef blk000007aa))
+ (portRef C (instanceRef blk000007ab))
+ (portRef C (instanceRef blk000007ac))
+ (portRef C (instanceRef blk000007ad))
+ (portRef C (instanceRef blk000007ae))
+ (portRef C (instanceRef blk000007af))
+ (portRef C (instanceRef blk000007b0))
+ (portRef C (instanceRef blk000007b1))
+ (portRef C (instanceRef blk000007b2))
+ (portRef C (instanceRef blk000007b3))
+ (portRef C (instanceRef blk000007b4))
+ (portRef C (instanceRef blk000007b5))
+ (portRef C (instanceRef blk000007b6))
+ (portRef C (instanceRef blk000007b7))
+ (portRef C (instanceRef blk000007b8))
+ (portRef C (instanceRef blk000007b9))
+ (portRef C (instanceRef blk000007ba))
+ (portRef C (instanceRef blk000007bb))
+ (portRef C (instanceRef blk000007bc))
+ (portRef C (instanceRef blk000007bd))
+ (portRef C (instanceRef blk000007be))
+ (portRef C (instanceRef blk000007bf))
+ (portRef C (instanceRef blk000007c0))
+ (portRef C (instanceRef blk000007c1))
+ (portRef C (instanceRef blk000007c2))
+ (portRef C (instanceRef blk000007c3))
+ (portRef C (instanceRef blk000007c4))
+ (portRef C (instanceRef blk000007c5))
+ (portRef C (instanceRef blk000007c6))
+ (portRef C (instanceRef blk000007c7))
+ (portRef C (instanceRef blk000007c8))
+ (portRef C (instanceRef blk000007c9))
+ (portRef C (instanceRef blk000007ca))
+ (portRef C (instanceRef blk000007cb))
+ (portRef C (instanceRef blk000007cc))
+ (portRef C (instanceRef blk000007cd))
+ (portRef C (instanceRef blk000007ce))
+ (portRef C (instanceRef blk000007cf))
+ (portRef C (instanceRef blk000007d0))
+ (portRef C (instanceRef blk000007d1))
+ (portRef C (instanceRef blk000007d2))
+ (portRef C (instanceRef blk000007d3))
+ (portRef C (instanceRef blk000007d4))
+ (portRef C (instanceRef blk000007d5))
+ (portRef C (instanceRef blk000007d6))
+ (portRef C (instanceRef blk000007d7))
+ (portRef C (instanceRef blk000007d8))
+ (portRef C (instanceRef blk000007d9))
+ (portRef C (instanceRef blk000007da))
+ (portRef C (instanceRef blk000007db))
+ (portRef C (instanceRef blk000007dc))
+ (portRef C (instanceRef blk000007dd))
+ (portRef C (instanceRef blk000007de))
+ (portRef C (instanceRef blk000007df))
+ (portRef C (instanceRef blk000007e0))
+ (portRef C (instanceRef blk000007e1))
+ (portRef C (instanceRef blk000007e2))
+ (portRef C (instanceRef blk000007e3))
+ (portRef C (instanceRef blk000007e4))
+ (portRef C (instanceRef blk000007e5))
+ (portRef C (instanceRef blk000007e6))
+ (portRef C (instanceRef blk000007e7))
+ (portRef C (instanceRef blk000007e8))
+ (portRef C (instanceRef blk000007e9))
+ (portRef C (instanceRef blk000007ea))
+ (portRef C (instanceRef blk000007eb))
+ (portRef C (instanceRef blk000007ec))
+ (portRef C (instanceRef blk000007ed))
+ (portRef C (instanceRef blk000007ee))
+ (portRef C (instanceRef blk00000894))
+ (portRef C (instanceRef blk00000895))
+ (portRef C (instanceRef blk00000896))
+ (portRef C (instanceRef blk00000897))
+ (portRef C (instanceRef blk00000898))
+ (portRef C (instanceRef blk00000899))
+ (portRef C (instanceRef blk0000089a))
+ (portRef C (instanceRef blk0000089b))
+ (portRef C (instanceRef blk0000089c))
+ (portRef C (instanceRef blk0000089d))
+ (portRef C (instanceRef blk0000089e))
+ (portRef C (instanceRef blk0000089f))
+ (portRef C (instanceRef blk000008a0))
+ (portRef C (instanceRef blk000008a1))
+ (portRef C (instanceRef blk000008a2))
+ (portRef C (instanceRef blk000008a3))
+ (portRef C (instanceRef blk000008a4))
+ (portRef C (instanceRef blk000008a5))
+ (portRef C (instanceRef blk000008a6))
+ (portRef C (instanceRef blk000008a7))
+ (portRef C (instanceRef blk000008a8))
+ (portRef C (instanceRef blk000008a9))
+ (portRef C (instanceRef blk000008aa))
+ (portRef C (instanceRef blk000008ab))
+ (portRef C (instanceRef blk000008ac))
+ (portRef C (instanceRef blk000008ad))
+ (portRef C (instanceRef blk000008b8))
+ (portRef C (instanceRef blk000008b9))
+ (portRef C (instanceRef blk000008ba))
+ (portRef C (instanceRef blk000008bb))
+ (portRef C (instanceRef blk000008bc))
+ (portRef C (instanceRef blk000008bd))
+ (portRef C (instanceRef blk000008be))
+ (portRef C (instanceRef blk000008ce))
+ (portRef CLK (instanceRef blk000008dc))
+ (portRef C (instanceRef blk000008dd))
+ (portRef CLK (instanceRef blk000008de))
+ (portRef C (instanceRef blk000008df))
+ (portRef CLK (instanceRef blk000008e0))
+ (portRef C (instanceRef blk000008e1))
+ (portRef CLK (instanceRef blk000008e2))
+ (portRef C (instanceRef blk000008e3))
+ (portRef CLK (instanceRef blk000008e4))
+ (portRef C (instanceRef blk000008e5))
+ (portRef CLK (instanceRef blk000008e6))
+ (portRef C (instanceRef blk000008e7))
+ (portRef CLK (instanceRef blk000008e8))
+ (portRef C (instanceRef blk000008e9))
+ (portRef CLK (instanceRef blk000008ea))
+ (portRef C (instanceRef blk000008eb))
+ (portRef CLK (instanceRef blk000008ec))
+ (portRef C (instanceRef blk000008ed))
+ (portRef CLK (instanceRef blk000008ee))
+ (portRef C (instanceRef blk000008ef))
+ (portRef CLK (instanceRef blk000008f0))
+ (portRef C (instanceRef blk000008f1))
+ (portRef CLK (instanceRef blk000008f2))
+ (portRef C (instanceRef blk000008f3))
+ (portRef CLK (instanceRef blk000008f4))
+ (portRef C (instanceRef blk000008f5))
+ (portRef CLK (instanceRef blk000008f6))
+ (portRef C (instanceRef blk000008f7))
+ (portRef CLK (instanceRef blk000008f8))
+ (portRef C (instanceRef blk000008f9))
+ (portRef CLK (instanceRef blk000008fa))
+ (portRef C (instanceRef blk000008fb))
+ (portRef CLK (instanceRef blk000008fc))
+ (portRef C (instanceRef blk000008fd))
+ (portRef CLK (instanceRef blk000008fe))
+ (portRef C (instanceRef blk000008ff))
+ (portRef CLK (instanceRef blk00000900))
+ (portRef C (instanceRef blk00000901))
+ (portRef CLK (instanceRef blk00000902))
+ (portRef C (instanceRef blk00000903))
+ (portRef CLK (instanceRef blk00000904))
+ (portRef C (instanceRef blk00000905))
+ (portRef CLK (instanceRef blk00000906))
+ (portRef C (instanceRef blk00000907))
+ (portRef CLK (instanceRef blk00000908))
+ (portRef C (instanceRef blk00000909))
+ (portRef CLK (instanceRef blk0000090a))
+ (portRef C (instanceRef blk0000090b))
+ (portRef CLK (instanceRef blk0000090c))
+ (portRef C (instanceRef blk0000090d))
+ (portRef CLK (instanceRef blk0000090e))
+ (portRef C (instanceRef blk0000090f))
+ (portRef CLK (instanceRef blk00000910))
+ (portRef C (instanceRef blk00000911))
+ (portRef CLK (instanceRef blk00000912))
+ (portRef C (instanceRef blk00000913))
+ (portRef CLK (instanceRef blk00000914))
+ (portRef C (instanceRef blk00000915))
+ (portRef CLK (instanceRef blk00000916))
+ (portRef C (instanceRef blk00000917))
+ (portRef CLK (instanceRef blk00000918))
+ (portRef C (instanceRef blk00000919))
+ (portRef CLK (instanceRef blk0000091a))
+ (portRef C (instanceRef blk0000091b))
+ (portRef CLK (instanceRef blk0000091c))
+ (portRef C (instanceRef blk0000091d))
+ (portRef CLK (instanceRef blk0000091e))
+ (portRef C (instanceRef blk0000091f))
+ (portRef CLK (instanceRef blk00000920))
+ (portRef C (instanceRef blk00000921))
+ (portRef CLK (instanceRef blk00000922))
+ (portRef C (instanceRef blk00000923))
+ (portRef CLK (instanceRef blk00000924))
+ (portRef C (instanceRef blk00000925))
+ (portRef CLK (instanceRef blk00000926))
+ (portRef C (instanceRef blk00000927))
+ (portRef CLK (instanceRef blk00000928))
+ (portRef C (instanceRef blk00000929))
+ (portRef CLK (instanceRef blk0000092a))
+ (portRef C (instanceRef blk0000092b))
+ (portRef CLK (instanceRef blk0000092c))
+ (portRef C (instanceRef blk0000092d))
+ (portRef CLK (instanceRef blk0000092e))
+ (portRef C (instanceRef blk0000092f))
+ (portRef CLK (instanceRef blk00000930))
+ (portRef C (instanceRef blk00000931))
+ (portRef CLK (instanceRef blk00000932))
+ (portRef C (instanceRef blk00000933))
+ (portRef CLK (instanceRef blk00000934))
+ (portRef C (instanceRef blk00000935))
+ (portRef CLK (instanceRef blk00000936))
+ (portRef C (instanceRef blk00000937))
+ (portRef CLK (instanceRef blk00000938))
+ (portRef C (instanceRef blk00000939))
+ (portRef CLK (instanceRef blk0000093a))
+ (portRef C (instanceRef blk0000093b))
+ (portRef CLK (instanceRef blk0000093c))
+ (portRef C (instanceRef blk0000093d))
+ (portRef CLK (instanceRef blk0000093e))
+ (portRef C (instanceRef blk0000093f))
+ (portRef CLK (instanceRef blk00000940))
+ (portRef C (instanceRef blk00000941))
+ (portRef CLK (instanceRef blk00000942))
+ (portRef C (instanceRef blk00000943))
+ (portRef CLK (instanceRef blk00000944))
+ (portRef C (instanceRef blk00000945))
+ (portRef CLK (instanceRef blk00000946))
+ (portRef C (instanceRef blk00000947))
+ (portRef CLK (instanceRef blk00000948))
+ (portRef C (instanceRef blk00000949))
+ (portRef CLK (instanceRef blk0000094a))
+ (portRef C (instanceRef blk0000094b))
+ (portRef CLK (instanceRef blk0000094c))
+ (portRef C (instanceRef blk0000094d))
+ (portRef CLK (instanceRef blk0000094e))
+ (portRef C (instanceRef blk0000094f))
+ (portRef CLK (instanceRef blk00000950))
+ (portRef C (instanceRef blk00000951))
+ (portRef CLK (instanceRef blk00000952))
+ (portRef C (instanceRef blk00000953))
+ (portRef CLK (instanceRef blk00000954))
+ (portRef C (instanceRef blk00000955))
+ (portRef CLK (instanceRef blk00000956))
+ (portRef C (instanceRef blk00000957))
+ (portRef CLK (instanceRef blk00000958))
+ (portRef C (instanceRef blk00000959))
+ (portRef CLK (instanceRef blk0000095a))
+ (portRef C (instanceRef blk0000095b))
+ (portRef CLK (instanceRef blk0000095c))
+ (portRef C (instanceRef blk0000095d))
+ (portRef CLK (instanceRef blk0000095e))
+ (portRef C (instanceRef blk0000095f))
+ (portRef CLK (instanceRef blk00000960))
+ (portRef C (instanceRef blk00000961))
+ (portRef CLK (instanceRef blk00000962))
+ (portRef C (instanceRef blk00000963))
+ (portRef CLK (instanceRef blk00000964))
+ (portRef C (instanceRef blk00000965))
+ (portRef CLK (instanceRef blk00000966))
+ (portRef C (instanceRef blk00000967))
+ (portRef CLK (instanceRef blk00000968))
+ (portRef C (instanceRef blk00000969))
+ (portRef CLK (instanceRef blk0000096a))
+ (portRef C (instanceRef blk0000096b))
+ (portRef CLK (instanceRef blk0000096c))
+ (portRef C (instanceRef blk0000096d))
+ (portRef CLK (instanceRef blk0000096e))
+ (portRef C (instanceRef blk0000096f))
+ (portRef CLK (instanceRef blk00000970))
+ (portRef C (instanceRef blk00000971))
+ (portRef CLK (instanceRef blk00000972))
+ (portRef C (instanceRef blk00000973))
+ (portRef CLK (instanceRef blk00000974))
+ (portRef C (instanceRef blk00000975))
+ (portRef CLK (instanceRef blk00000976))
+ (portRef C (instanceRef blk00000977))
+ (portRef CLK (instanceRef blk00000978))
+ (portRef C (instanceRef blk00000979))
+ (portRef CLK (instanceRef blk0000097a))
+ (portRef C (instanceRef blk0000097b))
+ (portRef CLK (instanceRef blk0000097c))
+ (portRef C (instanceRef blk0000097d))
+ (portRef CLK (instanceRef blk0000097e))
+ (portRef C (instanceRef blk0000097f))
+ (portRef CLK (instanceRef blk00000980))
+ (portRef C (instanceRef blk00000981))
+ (portRef CLK (instanceRef blk00000982))
+ (portRef C (instanceRef blk00000983))
+ (portRef CLK (instanceRef blk00000984))
+ (portRef C (instanceRef blk00000985))
+ (portRef CLK (instanceRef blk00000986))
+ (portRef C (instanceRef blk00000987))
+ (portRef CLK (instanceRef blk00000988))
+ (portRef C (instanceRef blk00000989))
+ (portRef CLK (instanceRef blk0000098a))
+ (portRef C (instanceRef blk0000098b))
+ (portRef CLK (instanceRef blk0000098c))
+ (portRef C (instanceRef blk0000098d))
+ (portRef CLK (instanceRef blk0000098e))
+ (portRef C (instanceRef blk0000098f))
+ (portRef CLK (instanceRef blk00000990))
+ (portRef C (instanceRef blk00000991))
+ (portRef CLK (instanceRef blk00000992))
+ (portRef C (instanceRef blk00000993))
+ (portRef CLK (instanceRef blk00000994))
+ (portRef C (instanceRef blk00000995))
+ (portRef CLK (instanceRef blk00000996))
+ (portRef C (instanceRef blk00000997))
+ (portRef CLK (instanceRef blk00000998))
+ (portRef C (instanceRef blk00000999))
+ (portRef CLK (instanceRef blk0000099a))
+ (portRef C (instanceRef blk0000099b))
+ (portRef CLK (instanceRef blk0000099c))
+ (portRef C (instanceRef blk0000099d))
+ (portRef CLK (instanceRef blk0000099e))
+ (portRef C (instanceRef blk0000099f))
+ (portRef CLK (instanceRef blk000009a0))
+ (portRef C (instanceRef blk000009a1))
+ (portRef CLK (instanceRef blk000009a2))
+ (portRef C (instanceRef blk000009a3))
+ (portRef CLK (instanceRef blk000009a4))
+ (portRef C (instanceRef blk000009a5))
+ (portRef CLK (instanceRef blk000009a6))
+ (portRef C (instanceRef blk000009a7))
+ (portRef CLK (instanceRef blk000009a8))
+ (portRef C (instanceRef blk000009a9))
+ (portRef CLK (instanceRef blk000009aa))
+ (portRef C (instanceRef blk000009ab))
+ (portRef CLK (instanceRef blk000009ac))
+ (portRef C (instanceRef blk000009ad))
+ (portRef CLK (instanceRef blk000009ae))
+ (portRef C (instanceRef blk000009af))
+ (portRef CLK (instanceRef blk000009b0))
+ (portRef C (instanceRef blk000009b1))
+ (portRef CLK (instanceRef blk000009b2))
+ (portRef C (instanceRef blk000009b3))
+ (portRef CLK (instanceRef blk000009b4))
+ (portRef C (instanceRef blk000009b5))
+ (portRef CLK (instanceRef blk000009b6))
+ (portRef C (instanceRef blk000009b7))
+ (portRef CLK (instanceRef blk000009b8))
+ (portRef C (instanceRef blk000009b9))
+ (portRef CLK (instanceRef blk000009ba))
+ (portRef C (instanceRef blk000009bb))
+ (portRef CLK (instanceRef blk000009bc))
+ (portRef C (instanceRef blk000009bd))
+ (portRef CLK (instanceRef blk000009be))
+ (portRef C (instanceRef blk000009bf))
+ (portRef CLK (instanceRef blk000009c0))
+ (portRef C (instanceRef blk000009c1))
+ (portRef CLK (instanceRef blk000009c2))
+ (portRef C (instanceRef blk000009c3))
+ (portRef CLK (instanceRef blk000009c4))
+ (portRef C (instanceRef blk000009c5))
+ (portRef CLK (instanceRef blk000009c6))
+ (portRef C (instanceRef blk000009c7))
+ (portRef CLK (instanceRef blk000009c8))
+ (portRef C (instanceRef blk000009c9))
+ (portRef CLK (instanceRef blk000009ca))
+ (portRef C (instanceRef blk000009cb))
+ (portRef CLK (instanceRef blk000009cc))
+ (portRef C (instanceRef blk000009cd))
+ (portRef CLK (instanceRef blk000009ce))
+ (portRef C (instanceRef blk000009cf))
+ (portRef CLK (instanceRef blk000009d0))
+ (portRef C (instanceRef blk000009d1))
+ (portRef CLK (instanceRef blk000009d2))
+ (portRef C (instanceRef blk000009d3))
+ (portRef CLK (instanceRef blk000009d4))
+ (portRef C (instanceRef blk000009d5))
+ (portRef CLK (instanceRef blk000009d6))
+ (portRef C (instanceRef blk000009d7))
+ (portRef CLK (instanceRef blk000009d8))
+ (portRef C (instanceRef blk000009d9))
+ (portRef CLK (instanceRef blk000009da))
+ (portRef C (instanceRef blk000009db))
+ (portRef CLK (instanceRef blk000009dc))
+ (portRef C (instanceRef blk000009dd))
+ (portRef CLK (instanceRef blk000009de))
+ (portRef C (instanceRef blk000009df))
+ (portRef CLK (instanceRef blk000009e0))
+ (portRef C (instanceRef blk000009e1))
+ (portRef CLK (instanceRef blk000009e2))
+ (portRef C (instanceRef blk000009e3))
+ (portRef CLK (instanceRef blk000009e4))
+ (portRef C (instanceRef blk000009e5))
+ (portRef CLK (instanceRef blk000009e6))
+ (portRef C (instanceRef blk000009e7))
+ (portRef CLK (instanceRef blk000009e8))
+ (portRef C (instanceRef blk000009e9))
+ (portRef CLK (instanceRef blk000009ea))
+ (portRef C (instanceRef blk000009eb))
+ (portRef CLK (instanceRef blk000009ec))
+ (portRef C (instanceRef blk000009ed))
+ (portRef CLK (instanceRef blk000009ee))
+ (portRef C (instanceRef blk000009ef))
+ (portRef CLK (instanceRef blk000009f0))
+ (portRef C (instanceRef blk000009f1))
+ (portRef CLK (instanceRef blk000009f2))
+ (portRef C (instanceRef blk000009f3))
+ (portRef CLK (instanceRef blk000009f4))
+ (portRef C (instanceRef blk000009f5))
+ (portRef CLK (instanceRef blk000009f6))
+ (portRef C (instanceRef blk000009f7))
+ (portRef CLK (instanceRef blk000009f8))
+ (portRef C (instanceRef blk000009f9))
+ (portRef CLK (instanceRef blk000009fa))
+ (portRef C (instanceRef blk000009fb))
+ (portRef CLK (instanceRef blk000009fc))
+ (portRef C (instanceRef blk000009fd))
+ (portRef CLK (instanceRef blk000009fe))
+ (portRef C (instanceRef blk000009ff))
+ (portRef CLK (instanceRef blk00000a00))
+ (portRef C (instanceRef blk00000a01))
+ (portRef CLK (instanceRef blk00000a02))
+ (portRef C (instanceRef blk00000a03))
+ (portRef CLK (instanceRef blk00000a04))
+ (portRef C (instanceRef blk00000a05))
+ (portRef CLK (instanceRef blk00000a06))
+ (portRef C (instanceRef blk00000a07))
+ (portRef CLK (instanceRef blk00000a08))
+ (portRef C (instanceRef blk00000a09))
+ (portRef CLK (instanceRef blk00000a0a))
+ (portRef C (instanceRef blk00000a0b))
+ (portRef CLK (instanceRef blk00000a0c))
+ (portRef C (instanceRef blk00000a0d))
+ (portRef CLK (instanceRef blk00000a0e))
+ (portRef C (instanceRef blk00000a0f))
+ (portRef CLK (instanceRef blk00000a10))
+ (portRef C (instanceRef blk00000a11))
+ (portRef CLK (instanceRef blk00000a12))
+ (portRef C (instanceRef blk00000a13))
+ (portRef CLK (instanceRef blk00000a14))
+ (portRef C (instanceRef blk00000a15))
+ (portRef CLK (instanceRef blk00000a16))
+ (portRef C (instanceRef blk00000a17))
+ (portRef CLK (instanceRef blk00000a18))
+ (portRef C (instanceRef blk00000a19))
+ (portRef CLK (instanceRef blk00000a1a))
+ (portRef C (instanceRef blk00000a1b))
+ (portRef CLK (instanceRef blk00000a1c))
+ (portRef C (instanceRef blk00000a1d))
+ (portRef CLK (instanceRef blk00000a1e))
+ (portRef C (instanceRef blk00000a1f))
+ (portRef CLK (instanceRef blk00000a20))
+ (portRef C (instanceRef blk00000a21))
+ (portRef CLK (instanceRef blk00000a22))
+ (portRef C (instanceRef blk00000a23))
+ (portRef CLK (instanceRef blk00000a24))
+ (portRef C (instanceRef blk00000a25))
+ (portRef CLK (instanceRef blk00000a26))
+ (portRef C (instanceRef blk00000a27))
+ (portRef CLK (instanceRef blk00000a28))
+ (portRef C (instanceRef blk00000a29))
+ (portRef CLK (instanceRef blk00000a2a))
+ (portRef C (instanceRef blk00000a2b))
+ (portRef CLK (instanceRef blk00000a2c))
+ (portRef C (instanceRef blk00000a2d))
+ (portRef CLK (instanceRef blk00000a2e))
+ (portRef C (instanceRef blk00000a2f))
+ (portRef CLK (instanceRef blk00000a30))
+ (portRef C (instanceRef blk00000a31))
+ (portRef CLK (instanceRef blk00000a32))
+ (portRef C (instanceRef blk00000a33))
+ (portRef CLK (instanceRef blk00000a34))
+ (portRef C (instanceRef blk00000a35))
+ (portRef CLK (instanceRef blk00000a36))
+ (portRef C (instanceRef blk00000a37))
+ (portRef CLK (instanceRef blk00000a38))
+ (portRef C (instanceRef blk00000a39))
+ (portRef CLK (instanceRef blk00000a3a))
+ (portRef C (instanceRef blk00000a3b))
+ (portRef CLK (instanceRef blk00000a3c))
+ (portRef C (instanceRef blk00000a3d))
+ (portRef CLK (instanceRef blk00000a3e))
+ (portRef C (instanceRef blk00000a3f))
+ (portRef CLK (instanceRef blk00000a40))
+ (portRef C (instanceRef blk00000a41))
+ (portRef CLK (instanceRef blk00000a42))
+ (portRef C (instanceRef blk00000a43))
+ (portRef CLK (instanceRef blk00000a44))
+ (portRef C (instanceRef blk00000a45))
+ (portRef CLK (instanceRef blk00000a46))
+ (portRef C (instanceRef blk00000a47))
+ (portRef CLK (instanceRef blk00000a48))
+ (portRef C (instanceRef blk00000a49))
+ (portRef CLK (instanceRef blk00000a4a))
+ (portRef C (instanceRef blk00000a4b))
+ (portRef CLK (instanceRef blk00000a4c))
+ (portRef C (instanceRef blk00000a4d))
+ (portRef CLK (instanceRef blk00000a4e))
+ (portRef C (instanceRef blk00000a4f))
+ (portRef CLK (instanceRef blk00000a50))
+ (portRef C (instanceRef blk00000a51))
+ (portRef CLK (instanceRef blk00000a52))
+ (portRef C (instanceRef blk00000a53))
+ (portRef CLK (instanceRef blk00000a54))
+ (portRef C (instanceRef blk00000a55))
+ (portRef CLK (instanceRef blk00000a56))
+ (portRef C (instanceRef blk00000a57))
+ (portRef CLK (instanceRef blk00000a58))
+ (portRef C (instanceRef blk00000a59))
+ (portRef CLK (instanceRef blk00000a5a))
+ (portRef C (instanceRef blk00000a5b))
+ (portRef CLK (instanceRef blk00000a5c))
+ (portRef C (instanceRef blk00000a5d))
+ (portRef CLK (instanceRef blk00000a5e))
+ (portRef C (instanceRef blk00000a5f))
+ (portRef CLK (instanceRef blk00000a60))
+ (portRef C (instanceRef blk00000a61))
+ (portRef CLK (instanceRef blk00000a62))
+ (portRef C (instanceRef blk00000a63))
+ (portRef CLK (instanceRef blk00000a64))
+ (portRef C (instanceRef blk00000a65))
+ (portRef CLK (instanceRef blk00000a66))
+ (portRef C (instanceRef blk00000a67))
+ (portRef CLK (instanceRef blk00000a68))
+ (portRef C (instanceRef blk00000a69))
+ (portRef CLK (instanceRef blk00000a6a))
+ (portRef C (instanceRef blk00000a6b))
+ (portRef CLK (instanceRef blk00000a6c))
+ (portRef C (instanceRef blk00000a6d))
+ (portRef CLK (instanceRef blk00000a6e))
+ (portRef C (instanceRef blk00000a6f))
+ (portRef CLK (instanceRef blk00000a70))
+ (portRef C (instanceRef blk00000a71))
+ (portRef CLK (instanceRef blk00000a72))
+ (portRef C (instanceRef blk00000a73))
+ (portRef CLK (instanceRef blk00000a74))
+ (portRef C (instanceRef blk00000a75))
+ (portRef CLK (instanceRef blk00000a76))
+ (portRef C (instanceRef blk00000a77))
+ (portRef CLK (instanceRef blk00000a78))
+ (portRef C (instanceRef blk00000a79))
+ (portRef CLK (instanceRef blk00000a7a))
+ (portRef C (instanceRef blk00000a7b))
+ (portRef CLK (instanceRef blk00000a7c))
+ (portRef C (instanceRef blk00000a7d))
+ (portRef CLK (instanceRef blk00000a7e))
+ (portRef C (instanceRef blk00000a7f))
+ (portRef CLK (instanceRef blk00000a80))
+ (portRef C (instanceRef blk00000a81))
+ (portRef CLK (instanceRef blk00000a82))
+ (portRef C (instanceRef blk00000a83))
+ (portRef CLK (instanceRef blk00000a84))
+ (portRef C (instanceRef blk00000a85))
+ (portRef CLK (instanceRef blk00000a86))
+ (portRef C (instanceRef blk00000a87))
+ (portRef CLK (instanceRef blk00000a88))
+ (portRef C (instanceRef blk00000a89))
+ (portRef CLK (instanceRef blk00000a8a))
+ (portRef C (instanceRef blk00000a8b))
+ (portRef CLK (instanceRef blk00000a8c))
+ (portRef C (instanceRef blk00000a8d))
+ (portRef CLK (instanceRef blk00000a8e))
+ (portRef C (instanceRef blk00000a8f))
+ (portRef CLK (instanceRef blk00000a90))
+ (portRef C (instanceRef blk00000a91))
+ (portRef CLK (instanceRef blk00000a92))
+ (portRef C (instanceRef blk00000a93))
+ (portRef CLK (instanceRef blk00000a94))
+ (portRef C (instanceRef blk00000a95))
+ (portRef CLK (instanceRef blk00000a96))
+ (portRef C (instanceRef blk00000a97))
+ (portRef CLK (instanceRef blk00000a98))
+ (portRef C (instanceRef blk00000a99))
+ (portRef CLK (instanceRef blk00000a9a))
+ (portRef C (instanceRef blk00000a9b))
+ (portRef CLK (instanceRef blk00000a9c))
+ (portRef C (instanceRef blk00000a9d))
+ (portRef CLK (instanceRef blk00000a9e))
+ (portRef C (instanceRef blk00000a9f))
+ (portRef CLK (instanceRef blk00000aa0))
+ (portRef C (instanceRef blk00000aa1))
+ (portRef CLK (instanceRef blk00000aa2))
+ (portRef C (instanceRef blk00000aa3))
+ (portRef CLK (instanceRef blk00000aa4))
+ (portRef C (instanceRef blk00000aa5))
+ (portRef CLK (instanceRef blk00000aa6))
+ (portRef C (instanceRef blk00000aa7))
+ (portRef CLK (instanceRef blk00000aa8))
+ (portRef C (instanceRef blk00000aa9))
+ (portRef CLK (instanceRef blk00000aaa))
+ (portRef C (instanceRef blk00000aab))
+ (portRef CLK (instanceRef blk00000aac))
+ (portRef C (instanceRef blk00000aad))
+ (portRef CLK (instanceRef blk00000aae))
+ (portRef C (instanceRef blk00000aaf))
+ (portRef CLK (instanceRef blk00000ab0))
+ (portRef C (instanceRef blk00000ab1))
+ (portRef CLK (instanceRef blk00000ab2))
+ (portRef C (instanceRef blk00000ab3))
+ (portRef CLK (instanceRef blk00000ab4))
+ (portRef C (instanceRef blk00000ab5))
+ (portRef CLK (instanceRef blk00000ab6))
+ (portRef C (instanceRef blk00000ab7))
+ (portRef CLK (instanceRef blk00000ab8))
+ (portRef C (instanceRef blk00000ab9))
+ (portRef CLK (instanceRef blk00000aba))
+ (portRef C (instanceRef blk00000abb))
+ (portRef CLK (instanceRef blk00000abc))
+ (portRef C (instanceRef blk00000abd))
+ (portRef CLK (instanceRef blk00000abe))
+ (portRef C (instanceRef blk00000abf))
+ (portRef CLK (instanceRef blk00000ac0))
+ (portRef C (instanceRef blk00000ac1))
+ (portRef CLK (instanceRef blk00000ac2))
+ (portRef C (instanceRef blk00000ac3))
+ (portRef CLK (instanceRef blk00000ac4))
+ (portRef C (instanceRef blk00000ac5))
+ (portRef CLK (instanceRef blk00000ac6))
+ (portRef C (instanceRef blk00000ac7))
+ (portRef CLK (instanceRef blk00000ac8))
+ (portRef C (instanceRef blk00000ac9))
+ (portRef CLK (instanceRef blk00000aca))
+ (portRef C (instanceRef blk00000acb))
+ (portRef CLK (instanceRef blk00000acc))
+ (portRef C (instanceRef blk00000acd))
+ (portRef CLK (instanceRef blk00000ace))
+ (portRef C (instanceRef blk00000acf))
+ (portRef CLK (instanceRef blk00000ad0))
+ (portRef C (instanceRef blk00000ad1))
+ (portRef CLK (instanceRef blk00000ad2))
+ (portRef C (instanceRef blk00000ad3))
+ (portRef CLK (instanceRef blk00000ad4))
+ (portRef C (instanceRef blk00000ad5))
+ (portRef CLK (instanceRef blk00000ad6))
+ (portRef C (instanceRef blk00000ad7))
+ (portRef CLK (instanceRef blk00000ad8))
+ (portRef C (instanceRef blk00000ad9))
+ (portRef CLK (instanceRef blk00000ada))
+ (portRef C (instanceRef blk00000adb))
+ (portRef CLK (instanceRef blk00000adc))
+ (portRef C (instanceRef blk00000add))
+ (portRef CLK (instanceRef blk00000ade))
+ (portRef C (instanceRef blk00000adf))
+ (portRef CLK (instanceRef blk00000ae0))
+ (portRef C (instanceRef blk00000ae1))
+ (portRef CLK (instanceRef blk00000ae2))
+ (portRef C (instanceRef blk00000ae3))
+ (portRef CLK (instanceRef blk00000ae4))
+ (portRef C (instanceRef blk00000ae5))
+ (portRef CLK (instanceRef blk00000ae6))
+ (portRef C (instanceRef blk00000ae7))
+ (portRef CLK (instanceRef blk00000ae8))
+ (portRef C (instanceRef blk00000ae9))
+ (portRef CLK (instanceRef blk00000aea))
+ (portRef C (instanceRef blk00000aeb))
+ (portRef CLK (instanceRef blk00000aec))
+ (portRef C (instanceRef blk00000aed))
+ (portRef CLK (instanceRef blk00000aee))
+ (portRef C (instanceRef blk00000aef))
+ (portRef CLK (instanceRef blk00000af0))
+ (portRef C (instanceRef blk00000af1))
+ (portRef CLK (instanceRef blk00000af2))
+ (portRef C (instanceRef blk00000af3))
+ (portRef CLK (instanceRef blk00000af4))
+ (portRef C (instanceRef blk00000af5))
+ (portRef CLK (instanceRef blk00000af6))
+ (portRef C (instanceRef blk00000af7))
+ (portRef CLK (instanceRef blk00000af8))
+ (portRef C (instanceRef blk00000af9))
+ (portRef CLK (instanceRef blk00000afa))
+ (portRef C (instanceRef blk00000afb))
+ (portRef CLK (instanceRef blk00000afc))
+ (portRef C (instanceRef blk00000afd))
+ (portRef CLK (instanceRef blk00000afe))
+ (portRef C (instanceRef blk00000aff))
+ (portRef CLK (instanceRef blk00000b00))
+ (portRef C (instanceRef blk00000b01))
+ (portRef CLK (instanceRef blk00000b02))
+ (portRef C (instanceRef blk00000b03))
+ (portRef CLK (instanceRef blk00000b04))
+ (portRef C (instanceRef blk00000b05))
+ (portRef CLK (instanceRef blk00000b06))
+ (portRef C (instanceRef blk00000b07))
+ (portRef CLK (instanceRef blk00000b08))
+ (portRef C (instanceRef blk00000b09))
+ (portRef CLK (instanceRef blk00000b0a))
+ (portRef C (instanceRef blk00000b0b))
+ (portRef CLK (instanceRef blk00000b0c))
+ (portRef C (instanceRef blk00000b0d))
+ (portRef CLK (instanceRef blk00000b0e))
+ (portRef C (instanceRef blk00000b0f))
+ (portRef CLK (instanceRef blk00000b10))
+ (portRef C (instanceRef blk00000b11))
+ (portRef CLK (instanceRef blk00000b12))
+ (portRef C (instanceRef blk00000b13))
+ (portRef CLK (instanceRef blk00000b14))
+ (portRef C (instanceRef blk00000b15))
+ (portRef CLK (instanceRef blk00000b16))
+ (portRef C (instanceRef blk00000b17))
+ (portRef CLK (instanceRef blk00000b18))
+ (portRef C (instanceRef blk00000b19))
+ (portRef CLK (instanceRef blk00000b1a))
+ (portRef C (instanceRef blk00000b1b))
+ (portRef CLK (instanceRef blk00000b1c))
+ (portRef C (instanceRef blk00000b1d))
+ (portRef CLK (instanceRef blk00000b1e))
+ (portRef C (instanceRef blk00000b1f))
+ (portRef CLK (instanceRef blk00000b20))
+ (portRef C (instanceRef blk00000b21))
+ (portRef CLK (instanceRef blk00000b22))
+ (portRef C (instanceRef blk00000b23))
+ (portRef CLK (instanceRef blk00000b24))
+ (portRef C (instanceRef blk00000b25))
+ (portRef CLK (instanceRef blk00000b26))
+ (portRef C (instanceRef blk00000b27))
+ (portRef CLK (instanceRef blk00000b28))
+ (portRef C (instanceRef blk00000b29))
+ (portRef CLK (instanceRef blk00000b2a))
+ (portRef C (instanceRef blk00000b2b))
+ (portRef CLK (instanceRef blk00000b2c))
+ (portRef C (instanceRef blk00000b2d))
+ (portRef CLK (instanceRef blk00000b2e))
+ (portRef C (instanceRef blk00000b2f))
+ (portRef CLK (instanceRef blk00000b30))
+ (portRef C (instanceRef blk00000b31))
+ (portRef CLK (instanceRef blk00000b32))
+ (portRef C (instanceRef blk00000b33))
+ (portRef CLK (instanceRef blk00000b34))
+ (portRef C (instanceRef blk00000b35))
+ (portRef CLK (instanceRef blk00000b36))
+ (portRef C (instanceRef blk00000b37))
+ (portRef CLK (instanceRef blk00000b38))
+ (portRef C (instanceRef blk00000b39))
+ (portRef CLK (instanceRef blk00000b3a))
+ (portRef C (instanceRef blk00000b3b))
+ (portRef CLK (instanceRef blk00000b3c))
+ (portRef C (instanceRef blk00000b3d))
+ (portRef CLK (instanceRef blk00000b3e))
+ (portRef C (instanceRef blk00000b3f))
+ (portRef CLK (instanceRef blk00000b40))
+ (portRef C (instanceRef blk00000b41))
+ (portRef CLK (instanceRef blk00000b42))
+ (portRef C (instanceRef blk00000b43))
+ (portRef CLK (instanceRef blk00000b44))
+ (portRef C (instanceRef blk00000b45))
+ (portRef CLK (instanceRef blk00000b46))
+ (portRef C (instanceRef blk00000b47))
+ (portRef CLK (instanceRef blk00000b48))
+ (portRef C (instanceRef blk00000b49))
+ (portRef CLK (instanceRef blk00000b4a))
+ (portRef C (instanceRef blk00000b4b))
+ (portRef CLK (instanceRef blk00000b4c))
+ (portRef C (instanceRef blk00000b4d))
+ (portRef CLK (instanceRef blk00000b4e))
+ (portRef C (instanceRef blk00000b4f))
+ (portRef CLK (instanceRef blk00000b50))
+ (portRef C (instanceRef blk00000b51))
+ (portRef CLK (instanceRef blk00000b52))
+ (portRef C (instanceRef blk00000b53))
+ (portRef CLK (instanceRef blk00000b54))
+ (portRef C (instanceRef blk00000b55))
+ (portRef CLK (instanceRef blk00000b56))
+ (portRef C (instanceRef blk00000b57))
+ (portRef CLK (instanceRef blk00000b58))
+ (portRef C (instanceRef blk00000b59))
+ (portRef CLK (instanceRef blk00000b5a))
+ (portRef C (instanceRef blk00000b5b))
+ (portRef CLK (instanceRef blk00000b5c))
+ (portRef C (instanceRef blk00000b5d))
+ (portRef CLK (instanceRef blk00000b5e))
+ (portRef C (instanceRef blk00000b5f))
+ (portRef CLK (instanceRef blk00000b60))
+ (portRef C (instanceRef blk00000b61))
+ (portRef CLK (instanceRef blk00000b62))
+ (portRef C (instanceRef blk00000b63))
+ (portRef CLK (instanceRef blk00000b64))
+ (portRef C (instanceRef blk00000b65))
+ (portRef CLK (instanceRef blk00000b66))
+ (portRef C (instanceRef blk00000b67))
+ (portRef CLK (instanceRef blk00000b68))
+ (portRef C (instanceRef blk00000b69))
+ (portRef CLK (instanceRef blk00000b6a))
+ (portRef C (instanceRef blk00000b6b))
+ (portRef CLK (instanceRef blk00000b6c))
+ (portRef C (instanceRef blk00000b6d))
+ (portRef CLK (instanceRef blk00000b6e))
+ (portRef C (instanceRef blk00000b6f))
+ (portRef CLK (instanceRef blk00000b70))
+ (portRef C (instanceRef blk00000b71))
+ (portRef CLK (instanceRef blk00000b72))
+ (portRef C (instanceRef blk00000b73))
+ (portRef CLK (instanceRef blk00000b74))
+ (portRef C (instanceRef blk00000b75))
+ (portRef CLK (instanceRef blk00000b76))
+ (portRef C (instanceRef blk00000b77))
+ (portRef CLK (instanceRef blk00000b78))
+ (portRef C (instanceRef blk00000b79))
+ (portRef CLK (instanceRef blk00000b7a))
+ (portRef C (instanceRef blk00000b7b))
+ (portRef CLK (instanceRef blk00000b7c))
+ (portRef C (instanceRef blk00000b7d))
+ (portRef CLK (instanceRef blk00000b7e))
+ (portRef C (instanceRef blk00000b7f))
+ (portRef CLK (instanceRef blk00000b80))
+ (portRef C (instanceRef blk00000b81))
+ (portRef CLK (instanceRef blk00000b82))
+ (portRef C (instanceRef blk00000b83))
+ (portRef CLK (instanceRef blk00000b84))
+ (portRef C (instanceRef blk00000b85))
+ (portRef CLK (instanceRef blk00000b86))
+ (portRef C (instanceRef blk00000b87))
+ (portRef CLK (instanceRef blk00000b88))
+ (portRef C (instanceRef blk00000b89))
+ (portRef CLK (instanceRef blk00000b8a))
+ (portRef C (instanceRef blk00000b8b))
+ (portRef CLK (instanceRef blk00000b8c))
+ (portRef C (instanceRef blk00000b8d))
+ (portRef CLK (instanceRef blk00000b8e))
+ (portRef C (instanceRef blk00000b8f))
+ (portRef CLK (instanceRef blk00000b90))
+ (portRef C (instanceRef blk00000b91))
+ (portRef CLK (instanceRef blk00000b92))
+ (portRef C (instanceRef blk00000b93))
+ (portRef CLK (instanceRef blk00000b94))
+ (portRef C (instanceRef blk00000b95))
+ (portRef CLK (instanceRef blk00000b96))
+ (portRef C (instanceRef blk00000b97))
+ (portRef CLK (instanceRef blk00000b98))
+ (portRef C (instanceRef blk00000b99))
+ (portRef CLK (instanceRef blk00000b9a))
+ (portRef C (instanceRef blk00000b9b))
+ (portRef CLK (instanceRef blk00000b9c))
+ (portRef C (instanceRef blk00000b9d))
+ (portRef CLK (instanceRef blk00000b9e))
+ (portRef C (instanceRef blk00000b9f))
+ (portRef CLK (instanceRef blk00000ba0))
+ (portRef C (instanceRef blk00000ba1))
+ (portRef CLK (instanceRef blk00000ba2))
+ (portRef C (instanceRef blk00000ba3))
+ (portRef CLK (instanceRef blk00000ba4))
+ (portRef C (instanceRef blk00000ba5))
+ (portRef CLK (instanceRef blk00000ba6))
+ (portRef C (instanceRef blk00000ba7))
+ (portRef CLK (instanceRef blk00000ba8))
+ (portRef C (instanceRef blk00000ba9))
+ (portRef CLK (instanceRef blk00000baa))
+ (portRef C (instanceRef blk00000bab))
+ (portRef CLK (instanceRef blk00000bac))
+ (portRef C (instanceRef blk00000bad))
+ (portRef CLK (instanceRef blk00000bae))
+ (portRef C (instanceRef blk00000baf))
+ (portRef CLK (instanceRef blk00000bb0))
+ (portRef C (instanceRef blk00000bb1))
+ (portRef CLK (instanceRef blk00000bb2))
+ (portRef C (instanceRef blk00000bb3))
+ (portRef CLK (instanceRef blk00000bb4))
+ (portRef C (instanceRef blk00000bb5))
+ (portRef CLK (instanceRef blk00000bb6))
+ (portRef C (instanceRef blk00000bb7))
+ (portRef CLK (instanceRef blk00000bb8))
+ (portRef C (instanceRef blk00000bb9))
+ (portRef CLK (instanceRef blk00000bba))
+ (portRef C (instanceRef blk00000bbb))
+ (portRef CLK (instanceRef blk00000bbc))
+ (portRef C (instanceRef blk00000bbd))
+ (portRef CLK (instanceRef blk00000bbe))
+ (portRef C (instanceRef blk00000bbf))
+ (portRef CLK (instanceRef blk00000bc0))
+ (portRef C (instanceRef blk00000bc1))
+ (portRef CLK (instanceRef blk00000bc2))
+ (portRef C (instanceRef blk00000bc3))
+ (portRef CLK (instanceRef blk00000bc4))
+ (portRef C (instanceRef blk00000bc5))
+ (portRef CLK (instanceRef blk00000bc6))
+ (portRef C (instanceRef blk00000bc7))
+ (portRef CLK (instanceRef blk00000bc8))
+ (portRef C (instanceRef blk00000bc9))
+ (portRef CLK (instanceRef blk00000bca))
+ (portRef C (instanceRef blk00000bcb))
+ (portRef CLK (instanceRef blk00000bcc))
+ (portRef C (instanceRef blk00000bcd))
+ (portRef CLK (instanceRef blk00000bce))
+ (portRef C (instanceRef blk00000bcf))
+ (portRef CLK (instanceRef blk00000bd0))
+ (portRef C (instanceRef blk00000bd1))
+ (portRef CLK (instanceRef blk00000bd2))
+ (portRef C (instanceRef blk00000bd3))
+ (portRef CLK (instanceRef blk00000bd4))
+ (portRef C (instanceRef blk00000bd5))
+ (portRef CLK (instanceRef blk00000bd6))
+ (portRef C (instanceRef blk00000bd7))
+ (portRef CLK (instanceRef blk00000bd8))
+ (portRef C (instanceRef blk00000bd9))
+ (portRef CLK (instanceRef blk00000bda))
+ (portRef C (instanceRef blk00000bdb))
+ (portRef CLK (instanceRef blk00000bdc))
+ (portRef C (instanceRef blk00000bdd))
+ (portRef CLK (instanceRef blk00000bde))
+ (portRef C (instanceRef blk00000bdf))
+ (portRef CLK (instanceRef blk00000be0))
+ (portRef C (instanceRef blk00000be1))
+ (portRef CLK (instanceRef blk00000be2))
+ (portRef C (instanceRef blk00000be3))
+ (portRef CLK (instanceRef blk00000be4))
+ (portRef C (instanceRef blk00000be5))
+ (portRef CLK (instanceRef blk00000be6))
+ (portRef C (instanceRef blk00000be7))
+ (portRef CLK (instanceRef blk00000be8))
+ (portRef C (instanceRef blk00000be9))
+ (portRef CLK (instanceRef blk00000bea))
+ (portRef C (instanceRef blk00000beb))
+ (portRef CLK (instanceRef blk00000bec))
+ (portRef C (instanceRef blk00000bed))
+ (portRef CLK (instanceRef blk00000bee))
+ (portRef C (instanceRef blk00000bef))
+ (portRef CLK (instanceRef blk00000bf0))
+ (portRef C (instanceRef blk00000bf1))
+ (portRef CLK (instanceRef blk00000bf2))
+ (portRef C (instanceRef blk00000bf3))
+ (portRef CLK (instanceRef blk00000bf4))
+ (portRef C (instanceRef blk00000bf5))
+ (portRef CLK (instanceRef blk00000bf6))
+ (portRef C (instanceRef blk00000bf7))
+ (portRef CLK (instanceRef blk00000bf8))
+ (portRef C (instanceRef blk00000bf9))
+ (portRef CLK (instanceRef blk00000bfa))
+ (portRef C (instanceRef blk00000bfb))
+ (portRef CLK (instanceRef blk00000bfc))
+ (portRef C (instanceRef blk00000bfd))
+ (portRef CLK (instanceRef blk00000bfe))
+ (portRef C (instanceRef blk00000bff))
+ (portRef CLK (instanceRef blk00000c00))
+ (portRef C (instanceRef blk00000c01))
+ (portRef CLK (instanceRef blk00000c02))
+ (portRef C (instanceRef blk00000c03))
+ (portRef CLK (instanceRef blk00000c04))
+ (portRef C (instanceRef blk00000c05))
+ (portRef CLK (instanceRef blk00000c06))
+ (portRef C (instanceRef blk00000c07))
+ (portRef CLK (instanceRef blk00000c08))
+ (portRef C (instanceRef blk00000c09))
+ (portRef CLK (instanceRef blk00000c0a))
+ (portRef C (instanceRef blk00000c0b))
+ (portRef CLK (instanceRef blk00000c0c))
+ (portRef C (instanceRef blk00000c0d))
+ (portRef CLK (instanceRef blk00000c0e))
+ (portRef C (instanceRef blk00000c0f))
+ (portRef CLK (instanceRef blk00000c10))
+ (portRef C (instanceRef blk00000c11))
+ (portRef CLK (instanceRef blk00000c12))
+ (portRef C (instanceRef blk00000c13))
+ )
+ )
+ (net sig00000045
+ (joined
+ (portRef ce)
+ (portRef CE (instanceRef blk00000006))
+ (portRef CE (instanceRef blk00000009))
+ (portRef CEB1 (instanceRef blk00000015))
+ (portRef CEC (instanceRef blk00000015))
+ (portRef CEB2 (instanceRef blk00000015))
+ (portRef CEP (instanceRef blk00000015))
+ (portRef CECARRYIN (instanceRef blk00000015))
+ (portRef CEALUMODE (instanceRef blk00000015))
+ (portRef CEA2 (instanceRef blk00000015))
+ (portRef CEA1 (instanceRef blk00000015))
+ (portRef CECTRL (instanceRef blk00000015))
+ (portRef CEM (instanceRef blk00000015))
+ (portRef CEINMODE (instanceRef blk00000015))
+ (portRef CEB1 (instanceRef blk00000016))
+ (portRef CEC (instanceRef blk00000016))
+ (portRef CEB2 (instanceRef blk00000016))
+ (portRef CEP (instanceRef blk00000016))
+ (portRef CECARRYIN (instanceRef blk00000016))
+ (portRef CEALUMODE (instanceRef blk00000016))
+ (portRef CEA2 (instanceRef blk00000016))
+ (portRef CEA1 (instanceRef blk00000016))
+ (portRef CECTRL (instanceRef blk00000016))
+ (portRef CEM (instanceRef blk00000016))
+ (portRef CEINMODE (instanceRef blk00000016))
+ (portRef CE (instanceRef blk00000018))
+ (portRef CE (instanceRef blk00000019))
+ (portRef CE (instanceRef blk0000001a))
+ (portRef CE (instanceRef blk0000001b))
+ (portRef CE (instanceRef blk0000001c))
+ (portRef CE (instanceRef blk0000001d))
+ (portRef CE (instanceRef blk0000001e))
+ (portRef CE (instanceRef blk0000001f))
+ (portRef CE (instanceRef blk00000020))
+ (portRef CE (instanceRef blk00000021))
+ (portRef CE (instanceRef blk00000022))
+ (portRef CE (instanceRef blk00000023))
+ (portRef CE (instanceRef blk00000024))
+ (portRef CE (instanceRef blk00000025))
+ (portRef CE (instanceRef blk00000026))
+ (portRef CE (instanceRef blk00000027))
+ (portRef CE (instanceRef blk00000028))
+ (portRef CE (instanceRef blk00000029))
+ (portRef CE (instanceRef blk0000002a))
+ (portRef CE (instanceRef blk0000002c))
+ (portRef CE (instanceRef blk0000008f))
+ (portRef CE (instanceRef blk00000090))
+ (portRef CE (instanceRef blk0000009c))
+ (portRef CE (instanceRef blk0000009d))
+ (portRef CE (instanceRef blk0000009e))
+ (portRef CE (instanceRef blk0000009f))
+ (portRef CE (instanceRef blk000000a0))
+ (portRef CE (instanceRef blk000000a1))
+ (portRef CE (instanceRef blk000000a2))
+ (portRef CE (instanceRef blk000000a3))
+ (portRef CE (instanceRef blk000000a4))
+ (portRef CE (instanceRef blk000000a5))
+ (portRef CE (instanceRef blk000000a6))
+ (portRef CE (instanceRef blk000000a7))
+ (portRef CE (instanceRef blk000000a8))
+ (portRef CE (instanceRef blk000000a9))
+ (portRef CE (instanceRef blk000000aa))
+ (portRef CE (instanceRef blk000000ab))
+ (portRef CE (instanceRef blk000000ac))
+ (portRef CE (instanceRef blk000000ad))
+ (portRef CE (instanceRef blk000000ae))
+ (portRef CE (instanceRef blk000000af))
+ (portRef CE (instanceRef blk000000b0))
+ (portRef CE (instanceRef blk000000b1))
+ (portRef CE (instanceRef blk000000b2))
+ (portRef CE (instanceRef blk000000b3))
+ (portRef CE (instanceRef blk000000bd))
+ (portRef CE (instanceRef blk000000be))
+ (portRef CE (instanceRef blk000000bf))
+ (portRef CE (instanceRef blk000000c8))
+ (portRef CE (instanceRef blk000000c9))
+ (portRef CE (instanceRef blk000000d1))
+ (portRef CE (instanceRef blk000000d2))
+ (portRef CE (instanceRef blk000000e1))
+ (portRef CE (instanceRef blk000000e4))
+ (portRef CE (instanceRef blk000000e5))
+ (portRef CE (instanceRef blk000000e6))
+ (portRef CEAD (instanceRef blk000000e7))
+ (portRef CEC (instanceRef blk000000e7))
+ (portRef CEB2 (instanceRef blk000000e7))
+ (portRef CEP (instanceRef blk000000e7))
+ (portRef CECARRYIN (instanceRef blk000000e7))
+ (portRef CED (instanceRef blk000000e7))
+ (portRef CEALUMODE (instanceRef blk000000e7))
+ (portRef CEA2 (instanceRef blk000000e7))
+ (portRef CECTRL (instanceRef blk000000e7))
+ (portRef CEM (instanceRef blk000000e7))
+ (portRef CEINMODE (instanceRef blk000000e7))
+ (portRef CEAD (instanceRef blk000000e8))
+ (portRef CEC (instanceRef blk000000e8))
+ (portRef CEB2 (instanceRef blk000000e8))
+ (portRef CEP (instanceRef blk000000e8))
+ (portRef CECARRYIN (instanceRef blk000000e8))
+ (portRef CED (instanceRef blk000000e8))
+ (portRef CEALUMODE (instanceRef blk000000e8))
+ (portRef CEA2 (instanceRef blk000000e8))
+ (portRef CECTRL (instanceRef blk000000e8))
+ (portRef CEM (instanceRef blk000000e8))
+ (portRef CEINMODE (instanceRef blk000000e8))
+ (portRef CEAD (instanceRef blk000000e9))
+ (portRef CEC (instanceRef blk000000e9))
+ (portRef CEB2 (instanceRef blk000000e9))
+ (portRef CEP (instanceRef blk000000e9))
+ (portRef CECARRYIN (instanceRef blk000000e9))
+ (portRef CED (instanceRef blk000000e9))
+ (portRef CEALUMODE (instanceRef blk000000e9))
+ (portRef CEA2 (instanceRef blk000000e9))
+ (portRef CECTRL (instanceRef blk000000e9))
+ (portRef CEM (instanceRef blk000000e9))
+ (portRef CEINMODE (instanceRef blk000000e9))
+ (portRef CEAD (instanceRef blk000000ea))
+ (portRef CEC (instanceRef blk000000ea))
+ (portRef CEB2 (instanceRef blk000000ea))
+ (portRef CEP (instanceRef blk000000ea))
+ (portRef CECARRYIN (instanceRef blk000000ea))
+ (portRef CED (instanceRef blk000000ea))
+ (portRef CEALUMODE (instanceRef blk000000ea))
+ (portRef CEA2 (instanceRef blk000000ea))
+ (portRef CECTRL (instanceRef blk000000ea))
+ (portRef CEM (instanceRef blk000000ea))
+ (portRef CEINMODE (instanceRef blk000000ea))
+ (portRef CEAD (instanceRef blk000000eb))
+ (portRef CEC (instanceRef blk000000eb))
+ (portRef CEB2 (instanceRef blk000000eb))
+ (portRef CEP (instanceRef blk000000eb))
+ (portRef CECARRYIN (instanceRef blk000000eb))
+ (portRef CED (instanceRef blk000000eb))
+ (portRef CEALUMODE (instanceRef blk000000eb))
+ (portRef CEA2 (instanceRef blk000000eb))
+ (portRef CECTRL (instanceRef blk000000eb))
+ (portRef CEM (instanceRef blk000000eb))
+ (portRef CEINMODE (instanceRef blk000000eb))
+ (portRef CEAD (instanceRef blk000000ec))
+ (portRef CEC (instanceRef blk000000ec))
+ (portRef CEB2 (instanceRef blk000000ec))
+ (portRef CEP (instanceRef blk000000ec))
+ (portRef CECARRYIN (instanceRef blk000000ec))
+ (portRef CED (instanceRef blk000000ec))
+ (portRef CEALUMODE (instanceRef blk000000ec))
+ (portRef CEA2 (instanceRef blk000000ec))
+ (portRef CECTRL (instanceRef blk000000ec))
+ (portRef CEM (instanceRef blk000000ec))
+ (portRef CEINMODE (instanceRef blk000000ec))
+ (portRef CEAD (instanceRef blk000000ed))
+ (portRef CEC (instanceRef blk000000ed))
+ (portRef CEB2 (instanceRef blk000000ed))
+ (portRef CEP (instanceRef blk000000ed))
+ (portRef CECARRYIN (instanceRef blk000000ed))
+ (portRef CED (instanceRef blk000000ed))
+ (portRef CEALUMODE (instanceRef blk000000ed))
+ (portRef CEA2 (instanceRef blk000000ed))
+ (portRef CECTRL (instanceRef blk000000ed))
+ (portRef CEM (instanceRef blk000000ed))
+ (portRef CEINMODE (instanceRef blk000000ed))
+ (portRef CEAD (instanceRef blk000000ee))
+ (portRef CEC (instanceRef blk000000ee))
+ (portRef CEB2 (instanceRef blk000000ee))
+ (portRef CEP (instanceRef blk000000ee))
+ (portRef CECARRYIN (instanceRef blk000000ee))
+ (portRef CED (instanceRef blk000000ee))
+ (portRef CEALUMODE (instanceRef blk000000ee))
+ (portRef CEA2 (instanceRef blk000000ee))
+ (portRef CECTRL (instanceRef blk000000ee))
+ (portRef CEM (instanceRef blk000000ee))
+ (portRef CEINMODE (instanceRef blk000000ee))
+ (portRef CEAD (instanceRef blk000000ef))
+ (portRef CEC (instanceRef blk000000ef))
+ (portRef CEB2 (instanceRef blk000000ef))
+ (portRef CEP (instanceRef blk000000ef))
+ (portRef CECARRYIN (instanceRef blk000000ef))
+ (portRef CED (instanceRef blk000000ef))
+ (portRef CEALUMODE (instanceRef blk000000ef))
+ (portRef CEA2 (instanceRef blk000000ef))
+ (portRef CECTRL (instanceRef blk000000ef))
+ (portRef CEM (instanceRef blk000000ef))
+ (portRef CEINMODE (instanceRef blk000000ef))
+ (portRef CEAD (instanceRef blk000000f0))
+ (portRef CEC (instanceRef blk000000f0))
+ (portRef CEB2 (instanceRef blk000000f0))
+ (portRef CEP (instanceRef blk000000f0))
+ (portRef CECARRYIN (instanceRef blk000000f0))
+ (portRef CED (instanceRef blk000000f0))
+ (portRef CEALUMODE (instanceRef blk000000f0))
+ (portRef CEA2 (instanceRef blk000000f0))
+ (portRef CECTRL (instanceRef blk000000f0))
+ (portRef CEM (instanceRef blk000000f0))
+ (portRef CEINMODE (instanceRef blk000000f0))
+ (portRef CEAD (instanceRef blk000000f1))
+ (portRef CEC (instanceRef blk000000f1))
+ (portRef CEB2 (instanceRef blk000000f1))
+ (portRef CEP (instanceRef blk000000f1))
+ (portRef CECARRYIN (instanceRef blk000000f1))
+ (portRef CED (instanceRef blk000000f1))
+ (portRef CEALUMODE (instanceRef blk000000f1))
+ (portRef CEA2 (instanceRef blk000000f1))
+ (portRef CECTRL (instanceRef blk000000f1))
+ (portRef CEM (instanceRef blk000000f1))
+ (portRef CEINMODE (instanceRef blk000000f1))
+ (portRef CEAD (instanceRef blk000000f2))
+ (portRef CEC (instanceRef blk000000f2))
+ (portRef CEB2 (instanceRef blk000000f2))
+ (portRef CEP (instanceRef blk000000f2))
+ (portRef CECARRYIN (instanceRef blk000000f2))
+ (portRef CED (instanceRef blk000000f2))
+ (portRef CEALUMODE (instanceRef blk000000f2))
+ (portRef CEA2 (instanceRef blk000000f2))
+ (portRef CECTRL (instanceRef blk000000f2))
+ (portRef CEM (instanceRef blk000000f2))
+ (portRef CEINMODE (instanceRef blk000000f2))
+ (portRef CE (instanceRef blk000000f3))
+ (portRef CE (instanceRef blk000000f4))
+ (portRef CE (instanceRef blk000000f5))
+ (portRef CE (instanceRef blk000000f6))
+ (portRef CE (instanceRef blk000000f7))
+ (portRef CE (instanceRef blk000000f8))
+ (portRef CE (instanceRef blk000000f9))
+ (portRef CE (instanceRef blk000000fa))
+ (portRef CE (instanceRef blk000000fb))
+ (portRef CE (instanceRef blk000000fc))
+ (portRef CE (instanceRef blk000000fd))
+ (portRef CE (instanceRef blk000000fe))
+ (portRef CE (instanceRef blk000000ff))
+ (portRef CE (instanceRef blk00000100))
+ (portRef CE (instanceRef blk00000101))
+ (portRef CE (instanceRef blk00000102))
+ (portRef CE (instanceRef blk00000103))
+ (portRef CE (instanceRef blk00000104))
+ (portRef CE (instanceRef blk00000105))
+ (portRef CE (instanceRef blk00000106))
+ (portRef CE (instanceRef blk00000107))
+ (portRef CE (instanceRef blk00000108))
+ (portRef CE (instanceRef blk00000109))
+ (portRef CE (instanceRef blk0000010a))
+ (portRef CE (instanceRef blk0000010b))
+ (portRef CE (instanceRef blk0000010c))
+ (portRef CE (instanceRef blk0000010d))
+ (portRef CE (instanceRef blk0000010e))
+ (portRef CE (instanceRef blk0000010f))
+ (portRef CE (instanceRef blk00000110))
+ (portRef CE (instanceRef blk00000111))
+ (portRef CE (instanceRef blk00000112))
+ (portRef CE (instanceRef blk00000113))
+ (portRef CE (instanceRef blk00000114))
+ (portRef CE (instanceRef blk00000115))
+ (portRef CE (instanceRef blk00000116))
+ (portRef CE (instanceRef blk00000117))
+ (portRef CE (instanceRef blk00000118))
+ (portRef CE (instanceRef blk00000119))
+ (portRef CE (instanceRef blk0000011a))
+ (portRef CE (instanceRef blk0000011b))
+ (portRef CE (instanceRef blk0000011c))
+ (portRef CE (instanceRef blk0000011d))
+ (portRef CE (instanceRef blk0000011e))
+ (portRef CE (instanceRef blk0000011f))
+ (portRef CE (instanceRef blk00000120))
+ (portRef CE (instanceRef blk00000153))
+ (portRef CE (instanceRef blk00000186))
+ (portRef CE (instanceRef blk000001b9))
+ (portRef CE (instanceRef blk000001ec))
+ (portRef CE (instanceRef blk0000021f))
+ (portRef CE (instanceRef blk00000252))
+ (portRef CE (instanceRef blk00000285))
+ (portRef CE (instanceRef blk000002b8))
+ (portRef CE (instanceRef blk000002eb))
+ (portRef CE (instanceRef blk0000031e))
+ (portRef CE (instanceRef blk00000351))
+ (portRef CE (instanceRef blk00000384))
+ (portRef CE (instanceRef blk000003b7))
+ (portRef CE (instanceRef blk000003ea))
+ (portRef CE (instanceRef blk0000041d))
+ (portRef CE (instanceRef blk00000450))
+ (portRef CE (instanceRef blk00000483))
+ (portRef CE (instanceRef blk000004b6))
+ (portRef CE (instanceRef blk000004e9))
+ (portRef CE (instanceRef blk0000051c))
+ (portRef CE (instanceRef blk0000054f))
+ (portRef CE (instanceRef blk00000582))
+ (portRef CE (instanceRef blk000005b5))
+ (portRef CE (instanceRef blk000005e8))
+ (portRef CE (instanceRef blk00000621))
+ (portRef CE (instanceRef blk0000065a))
+ (portRef CE (instanceRef blk00000693))
+ (portRef CE (instanceRef blk000006cc))
+ (portRef CE (instanceRef blk00000705))
+ (portRef CE (instanceRef blk0000075c))
+ (portRef CE (instanceRef blk0000078f))
+ (portRef CE (instanceRef blk00000790))
+ (portRef CE (instanceRef blk00000791))
+ (portRef CE (instanceRef blk00000792))
+ (portRef CE (instanceRef blk00000793))
+ (portRef CE (instanceRef blk00000794))
+ (portRef CE (instanceRef blk00000795))
+ (portRef CE (instanceRef blk00000796))
+ (portRef CE (instanceRef blk00000797))
+ (portRef CE (instanceRef blk00000798))
+ (portRef CE (instanceRef blk00000799))
+ (portRef CE (instanceRef blk0000079a))
+ (portRef CE (instanceRef blk0000079b))
+ (portRef CE (instanceRef blk0000079c))
+ (portRef CE (instanceRef blk0000079d))
+ (portRef CE (instanceRef blk0000079e))
+ (portRef CE (instanceRef blk0000079f))
+ (portRef CE (instanceRef blk000007a0))
+ (portRef CE (instanceRef blk000007a1))
+ (portRef CE (instanceRef blk000007a2))
+ (portRef CE (instanceRef blk000007a3))
+ (portRef CE (instanceRef blk000007a4))
+ (portRef CE (instanceRef blk000007a5))
+ (portRef CE (instanceRef blk000007a6))
+ (portRef CE (instanceRef blk000007a7))
+ (portRef CE (instanceRef blk000007a8))
+ (portRef CE (instanceRef blk000007a9))
+ (portRef CE (instanceRef blk000007aa))
+ (portRef CE (instanceRef blk000007ab))
+ (portRef CE (instanceRef blk000007ac))
+ (portRef CE (instanceRef blk000007ad))
+ (portRef CE (instanceRef blk000007ae))
+ (portRef CE (instanceRef blk000007af))
+ (portRef CE (instanceRef blk000007b0))
+ (portRef CE (instanceRef blk000007b1))
+ (portRef CE (instanceRef blk000007b2))
+ (portRef CE (instanceRef blk000007b3))
+ (portRef CE (instanceRef blk000007b4))
+ (portRef CE (instanceRef blk000007b5))
+ (portRef CE (instanceRef blk000007b6))
+ (portRef CE (instanceRef blk000007b7))
+ (portRef CE (instanceRef blk000007b8))
+ (portRef CE (instanceRef blk000007b9))
+ (portRef CE (instanceRef blk000007ba))
+ (portRef CE (instanceRef blk000007bb))
+ (portRef CE (instanceRef blk000007bc))
+ (portRef CE (instanceRef blk000007bd))
+ (portRef CE (instanceRef blk000007be))
+ (portRef CE (instanceRef blk000007bf))
+ (portRef CE (instanceRef blk000007c0))
+ (portRef CE (instanceRef blk000007c1))
+ (portRef CE (instanceRef blk000007c2))
+ (portRef CE (instanceRef blk000007c3))
+ (portRef CE (instanceRef blk000007c4))
+ (portRef CE (instanceRef blk000007c5))
+ (portRef CE (instanceRef blk000007c6))
+ (portRef CE (instanceRef blk000007c7))
+ (portRef CE (instanceRef blk000007c8))
+ (portRef CE (instanceRef blk000007c9))
+ (portRef CE (instanceRef blk000007ca))
+ (portRef CE (instanceRef blk000007cb))
+ (portRef CE (instanceRef blk000007cc))
+ (portRef CE (instanceRef blk000007cd))
+ (portRef CE (instanceRef blk000007ce))
+ (portRef CE (instanceRef blk000007cf))
+ (portRef CE (instanceRef blk000007d0))
+ (portRef CE (instanceRef blk000007d1))
+ (portRef CE (instanceRef blk000007d2))
+ (portRef CE (instanceRef blk000007d3))
+ (portRef CE (instanceRef blk000007d4))
+ (portRef CE (instanceRef blk000007d5))
+ (portRef CE (instanceRef blk000007d6))
+ (portRef CE (instanceRef blk000007d7))
+ (portRef CE (instanceRef blk000007d8))
+ (portRef CE (instanceRef blk000007d9))
+ (portRef CE (instanceRef blk000007da))
+ (portRef CE (instanceRef blk000007db))
+ (portRef CE (instanceRef blk000007dc))
+ (portRef CE (instanceRef blk000007dd))
+ (portRef CE (instanceRef blk000007de))
+ (portRef CE (instanceRef blk000007df))
+ (portRef CE (instanceRef blk000007e0))
+ (portRef CE (instanceRef blk000007e1))
+ (portRef CE (instanceRef blk000007e2))
+ (portRef CE (instanceRef blk000007e3))
+ (portRef CE (instanceRef blk000007e4))
+ (portRef CE (instanceRef blk000007e5))
+ (portRef CE (instanceRef blk000007e6))
+ (portRef CE (instanceRef blk000007e7))
+ (portRef CE (instanceRef blk000007e8))
+ (portRef CE (instanceRef blk000007e9))
+ (portRef CE (instanceRef blk000007ea))
+ (portRef CE (instanceRef blk000007eb))
+ (portRef CE (instanceRef blk000007ec))
+ (portRef CE (instanceRef blk000007ed))
+ (portRef CE (instanceRef blk000007ee))
+ (portRef I0 (instanceRef blk000007fa))
+ (portRef I0 (instanceRef blk00000801))
+ (portRef I0 (instanceRef blk00000802))
+ (portRef I1 (instanceRef blk00000806))
+ (portRef I0 (instanceRef blk00000829))
+ (portRef I0 (instanceRef blk0000082a))
+ (portRef I0 (instanceRef blk0000082b))
+ (portRef I0 (instanceRef blk0000082c))
+ (portRef I0 (instanceRef blk0000082d))
+ (portRef I0 (instanceRef blk0000082e))
+ (portRef CE (instanceRef blk00000894))
+ (portRef CE (instanceRef blk00000895))
+ (portRef CE (instanceRef blk00000896))
+ (portRef CE (instanceRef blk00000897))
+ (portRef CE (instanceRef blk00000898))
+ (portRef CE (instanceRef blk00000899))
+ (portRef R (instanceRef blk0000089a))
+ (portRef CE (instanceRef blk0000089b))
+ (portRef CE (instanceRef blk0000089c))
+ (portRef CE (instanceRef blk0000089d))
+ (portRef CE (instanceRef blk0000089e))
+ (portRef CE (instanceRef blk0000089f))
+ (portRef CE (instanceRef blk000008a0))
+ (portRef R (instanceRef blk000008a1))
+ (portRef CE (instanceRef blk000008a2))
+ (portRef CE (instanceRef blk000008a3))
+ (portRef CE (instanceRef blk000008a4))
+ (portRef CE (instanceRef blk000008a5))
+ (portRef CE (instanceRef blk000008a6))
+ (portRef CE (instanceRef blk000008a7))
+ (portRef CE (instanceRef blk000008a8))
+ (portRef CE (instanceRef blk000008a9))
+ (portRef CE (instanceRef blk000008aa))
+ (portRef CE (instanceRef blk000008ab))
+ (portRef CE (instanceRef blk000008ac))
+ (portRef CE (instanceRef blk000008ad))
+ (portRef I2 (instanceRef blk000008c0))
+ (portRef I2 (instanceRef blk000008c1))
+ (portRef I3 (instanceRef blk000008c2))
+ (portRef I3 (instanceRef blk000008c3))
+ (portRef I0 (instanceRef blk000008c4))
+ (portRef I1 (instanceRef blk000008c5))
+ (portRef I1 (instanceRef blk000008c6))
+ (portRef I1 (instanceRef blk000008c7))
+ (portRef I0 (instanceRef blk000008c8))
+ (portRef CE (instanceRef blk000008ce))
+ (portRef CE (instanceRef blk000008dc))
+ (portRef CE (instanceRef blk000008dd))
+ (portRef CE (instanceRef blk000008de))
+ (portRef CE (instanceRef blk000008df))
+ (portRef CE (instanceRef blk000008e0))
+ (portRef CE (instanceRef blk000008e1))
+ (portRef CE (instanceRef blk000008e2))
+ (portRef CE (instanceRef blk000008e3))
+ (portRef CE (instanceRef blk000008e4))
+ (portRef CE (instanceRef blk000008e5))
+ (portRef CE (instanceRef blk000008e6))
+ (portRef CE (instanceRef blk000008e7))
+ (portRef CE (instanceRef blk000008e8))
+ (portRef CE (instanceRef blk000008e9))
+ (portRef CE (instanceRef blk000008ea))
+ (portRef CE (instanceRef blk000008eb))
+ (portRef CE (instanceRef blk000008ec))
+ (portRef CE (instanceRef blk000008ed))
+ (portRef CE (instanceRef blk000008ee))
+ (portRef CE (instanceRef blk000008ef))
+ (portRef CE (instanceRef blk000008f0))
+ (portRef CE (instanceRef blk000008f1))
+ (portRef CE (instanceRef blk000008f2))
+ (portRef CE (instanceRef blk000008f3))
+ (portRef CE (instanceRef blk000008f4))
+ (portRef CE (instanceRef blk000008f5))
+ (portRef CE (instanceRef blk000008f6))
+ (portRef CE (instanceRef blk000008f7))
+ (portRef CE (instanceRef blk000008f8))
+ (portRef CE (instanceRef blk000008f9))
+ (portRef CE (instanceRef blk000008fa))
+ (portRef CE (instanceRef blk000008fb))
+ (portRef CE (instanceRef blk000008fc))
+ (portRef CE (instanceRef blk000008fd))
+ (portRef CE (instanceRef blk000008fe))
+ (portRef CE (instanceRef blk000008ff))
+ (portRef CE (instanceRef blk00000900))
+ (portRef CE (instanceRef blk00000901))
+ (portRef CE (instanceRef blk00000902))
+ (portRef CE (instanceRef blk00000903))
+ (portRef CE (instanceRef blk00000904))
+ (portRef CE (instanceRef blk00000905))
+ (portRef CE (instanceRef blk00000906))
+ (portRef CE (instanceRef blk00000907))
+ (portRef CE (instanceRef blk00000908))
+ (portRef CE (instanceRef blk00000909))
+ (portRef CE (instanceRef blk0000090a))
+ (portRef CE (instanceRef blk0000090b))
+ (portRef CE (instanceRef blk0000090c))
+ (portRef CE (instanceRef blk0000090d))
+ (portRef CE (instanceRef blk0000090e))
+ (portRef CE (instanceRef blk0000090f))
+ (portRef CE (instanceRef blk00000910))
+ (portRef CE (instanceRef blk00000911))
+ (portRef CE (instanceRef blk00000912))
+ (portRef CE (instanceRef blk00000913))
+ (portRef CE (instanceRef blk00000914))
+ (portRef CE (instanceRef blk00000915))
+ (portRef CE (instanceRef blk00000916))
+ (portRef CE (instanceRef blk00000917))
+ (portRef CE (instanceRef blk00000918))
+ (portRef CE (instanceRef blk00000919))
+ (portRef CE (instanceRef blk0000091a))
+ (portRef CE (instanceRef blk0000091b))
+ (portRef CE (instanceRef blk0000091c))
+ (portRef CE (instanceRef blk0000091d))
+ (portRef CE (instanceRef blk0000091e))
+ (portRef CE (instanceRef blk0000091f))
+ (portRef CE (instanceRef blk00000920))
+ (portRef CE (instanceRef blk00000921))
+ (portRef CE (instanceRef blk00000922))
+ (portRef CE (instanceRef blk00000923))
+ (portRef CE (instanceRef blk00000924))
+ (portRef CE (instanceRef blk00000925))
+ (portRef CE (instanceRef blk00000926))
+ (portRef CE (instanceRef blk00000927))
+ (portRef CE (instanceRef blk00000928))
+ (portRef CE (instanceRef blk00000929))
+ (portRef CE (instanceRef blk0000092a))
+ (portRef CE (instanceRef blk0000092b))
+ (portRef CE (instanceRef blk0000092c))
+ (portRef CE (instanceRef blk0000092d))
+ (portRef CE (instanceRef blk0000092e))
+ (portRef CE (instanceRef blk0000092f))
+ (portRef CE (instanceRef blk00000930))
+ (portRef CE (instanceRef blk00000931))
+ (portRef CE (instanceRef blk00000932))
+ (portRef CE (instanceRef blk00000933))
+ (portRef CE (instanceRef blk00000934))
+ (portRef CE (instanceRef blk00000935))
+ (portRef CE (instanceRef blk00000936))
+ (portRef CE (instanceRef blk00000937))
+ (portRef CE (instanceRef blk00000938))
+ (portRef CE (instanceRef blk00000939))
+ (portRef CE (instanceRef blk0000093a))
+ (portRef CE (instanceRef blk0000093b))
+ (portRef CE (instanceRef blk0000093c))
+ (portRef CE (instanceRef blk0000093d))
+ (portRef CE (instanceRef blk0000093e))
+ (portRef CE (instanceRef blk0000093f))
+ (portRef CE (instanceRef blk00000940))
+ (portRef CE (instanceRef blk00000941))
+ (portRef CE (instanceRef blk00000942))
+ (portRef CE (instanceRef blk00000943))
+ (portRef CE (instanceRef blk00000944))
+ (portRef CE (instanceRef blk00000945))
+ (portRef CE (instanceRef blk00000946))
+ (portRef CE (instanceRef blk00000947))
+ (portRef CE (instanceRef blk00000948))
+ (portRef CE (instanceRef blk00000949))
+ (portRef CE (instanceRef blk0000094a))
+ (portRef CE (instanceRef blk0000094b))
+ (portRef CE (instanceRef blk0000094c))
+ (portRef CE (instanceRef blk0000094d))
+ (portRef CE (instanceRef blk0000094e))
+ (portRef CE (instanceRef blk0000094f))
+ (portRef CE (instanceRef blk00000950))
+ (portRef CE (instanceRef blk00000951))
+ (portRef CE (instanceRef blk00000952))
+ (portRef CE (instanceRef blk00000953))
+ (portRef CE (instanceRef blk00000954))
+ (portRef CE (instanceRef blk00000955))
+ (portRef CE (instanceRef blk00000956))
+ (portRef CE (instanceRef blk00000957))
+ (portRef CE (instanceRef blk00000958))
+ (portRef CE (instanceRef blk00000959))
+ (portRef CE (instanceRef blk0000095a))
+ (portRef CE (instanceRef blk0000095b))
+ (portRef CE (instanceRef blk0000095c))
+ (portRef CE (instanceRef blk0000095d))
+ (portRef CE (instanceRef blk0000095e))
+ (portRef CE (instanceRef blk0000095f))
+ (portRef CE (instanceRef blk00000960))
+ (portRef CE (instanceRef blk00000961))
+ (portRef CE (instanceRef blk00000962))
+ (portRef CE (instanceRef blk00000963))
+ (portRef CE (instanceRef blk00000964))
+ (portRef CE (instanceRef blk00000965))
+ (portRef CE (instanceRef blk00000966))
+ (portRef CE (instanceRef blk00000967))
+ (portRef CE (instanceRef blk00000968))
+ (portRef CE (instanceRef blk00000969))
+ (portRef CE (instanceRef blk0000096c))
+ (portRef CE (instanceRef blk0000096d))
+ (portRef CE (instanceRef blk00000a2c))
+ (portRef CE (instanceRef blk00000a2d))
+ (portRef CE (instanceRef blk00000a2e))
+ (portRef CE (instanceRef blk00000a2f))
+ (portRef CE (instanceRef blk00000a90))
+ (portRef CE (instanceRef blk00000a91))
+ (portRef CE (instanceRef blk00000a92))
+ (portRef CE (instanceRef blk00000a93))
+ (portRef CE (instanceRef blk00000a94))
+ (portRef CE (instanceRef blk00000a95))
+ (portRef CE (instanceRef blk00000a96))
+ (portRef CE (instanceRef blk00000a97))
+ (portRef CE (instanceRef blk00000a98))
+ (portRef CE (instanceRef blk00000a99))
+ (portRef CE (instanceRef blk00000a9a))
+ (portRef CE (instanceRef blk00000a9b))
+ (portRef CE (instanceRef blk00000a9c))
+ (portRef CE (instanceRef blk00000a9d))
+ (portRef CE (instanceRef blk00000a9e))
+ (portRef CE (instanceRef blk00000a9f))
+ (portRef CE (instanceRef blk00000aa0))
+ (portRef CE (instanceRef blk00000aa1))
+ (portRef CE (instanceRef blk00000aa2))
+ (portRef CE (instanceRef blk00000aa3))
+ (portRef CE (instanceRef blk00000aa4))
+ (portRef CE (instanceRef blk00000aa5))
+ (portRef CE (instanceRef blk00000aa6))
+ (portRef CE (instanceRef blk00000aa7))
+ (portRef CE (instanceRef blk00000aa8))
+ (portRef CE (instanceRef blk00000aa9))
+ (portRef CE (instanceRef blk00000aaa))
+ (portRef CE (instanceRef blk00000aab))
+ (portRef CE (instanceRef blk00000aac))
+ (portRef CE (instanceRef blk00000aad))
+ (portRef CE (instanceRef blk00000aae))
+ (portRef CE (instanceRef blk00000aaf))
+ (portRef CE (instanceRef blk00000ab0))
+ (portRef CE (instanceRef blk00000ab1))
+ (portRef CE (instanceRef blk00000ab2))
+ (portRef CE (instanceRef blk00000ab3))
+ (portRef CE (instanceRef blk00000ab4))
+ (portRef CE (instanceRef blk00000ab5))
+ (portRef CE (instanceRef blk00000ab6))
+ (portRef CE (instanceRef blk00000ab7))
+ (portRef CE (instanceRef blk00000ab8))
+ (portRef CE (instanceRef blk00000ab9))
+ (portRef CE (instanceRef blk00000aba))
+ (portRef CE (instanceRef blk00000abb))
+ (portRef CE (instanceRef blk00000abc))
+ (portRef CE (instanceRef blk00000abd))
+ (portRef CE (instanceRef blk00000abe))
+ (portRef CE (instanceRef blk00000abf))
+ (portRef CE (instanceRef blk00000ac0))
+ (portRef CE (instanceRef blk00000ac1))
+ (portRef CE (instanceRef blk00000ac2))
+ (portRef CE (instanceRef blk00000ac3))
+ (portRef CE (instanceRef blk00000ac4))
+ (portRef CE (instanceRef blk00000ac5))
+ (portRef CE (instanceRef blk00000ac6))
+ (portRef CE (instanceRef blk00000ac7))
+ (portRef CE (instanceRef blk00000ac8))
+ (portRef CE (instanceRef blk00000ac9))
+ (portRef CE (instanceRef blk00000aca))
+ (portRef CE (instanceRef blk00000acb))
+ (portRef CE (instanceRef blk00000acc))
+ (portRef CE (instanceRef blk00000acd))
+ (portRef CE (instanceRef blk00000ace))
+ (portRef CE (instanceRef blk00000acf))
+ (portRef CE (instanceRef blk00000ad0))
+ (portRef CE (instanceRef blk00000ad1))
+ (portRef CE (instanceRef blk00000ad2))
+ (portRef CE (instanceRef blk00000ad3))
+ (portRef CE (instanceRef blk00000ad4))
+ (portRef CE (instanceRef blk00000ad5))
+ (portRef CE (instanceRef blk00000ad6))
+ (portRef CE (instanceRef blk00000ad7))
+ (portRef CE (instanceRef blk00000ad8))
+ (portRef CE (instanceRef blk00000ad9))
+ (portRef CE (instanceRef blk00000ada))
+ (portRef CE (instanceRef blk00000adb))
+ (portRef CE (instanceRef blk00000adc))
+ (portRef CE (instanceRef blk00000add))
+ (portRef CE (instanceRef blk00000ade))
+ (portRef CE (instanceRef blk00000adf))
+ (portRef CE (instanceRef blk00000ae0))
+ (portRef CE (instanceRef blk00000ae1))
+ (portRef CE (instanceRef blk00000ae2))
+ (portRef CE (instanceRef blk00000ae3))
+ (portRef CE (instanceRef blk00000ae4))
+ (portRef CE (instanceRef blk00000ae5))
+ (portRef CE (instanceRef blk00000ae6))
+ (portRef CE (instanceRef blk00000ae7))
+ (portRef CE (instanceRef blk00000ae8))
+ (portRef CE (instanceRef blk00000ae9))
+ (portRef CE (instanceRef blk00000aea))
+ (portRef CE (instanceRef blk00000aeb))
+ (portRef CE (instanceRef blk00000aec))
+ (portRef CE (instanceRef blk00000aed))
+ (portRef CE (instanceRef blk00000aee))
+ (portRef CE (instanceRef blk00000aef))
+ (portRef CE (instanceRef blk00000af0))
+ (portRef CE (instanceRef blk00000af1))
+ (portRef CE (instanceRef blk00000b52))
+ (portRef CE (instanceRef blk00000b53))
+ )
+ )
+ (net sig00000046
+ (joined
+ (portRef nd)
+ (portRef S (instanceRef blk00000007))
+ (portRef WEA (instanceRef blk0000002c))
+ (portRef I1 (instanceRef blk00000809))
+ (portRef I0 (instanceRef blk00000821))
+ (portRef I1 (instanceRef blk00000822))
+ (portRef I0 (instanceRef blk00000823))
+ (portRef I3 (instanceRef blk000008c0))
+ (portRef I4 (instanceRef blk000008c3))
+ )
+ )
+ (net sig00000047
+ (joined
+ (portRef coef_ld)
+ (portRef R (instanceRef blk000000a1))
+ (portRef R (instanceRef blk000000a2))
+ (portRef R (instanceRef blk000000a3))
+ (portRef R (instanceRef blk000000a4))
+ (portRef D (instanceRef blk000000b3))
+ (portRef I3 (instanceRef blk0000080a))
+ (portRef I4 (instanceRef blk0000080b))
+ (portRef I0 (instanceRef blk0000080e))
+ (portRef I1 (instanceRef blk00000811))
+ (portRef I0 (instanceRef blk00000813))
+ (portRef R (instanceRef blk00000895))
+ (portRef R (instanceRef blk00000896))
+ (portRef R (instanceRef blk00000897))
+ (portRef R (instanceRef blk00000898))
+ (portRef I4 (instanceRef blk000008c9))
+ (portRef I0 (instanceRef blk000008cb))
+ (portRef I3 (instanceRef blk000008cc))
+ (portRef I2 (instanceRef blk000008cd))
+ )
+ )
+ (net sig00000048
+ (joined
+ (portRef coef_we)
+ (portRef S (instanceRef blk00000099))
+ (portRef CI (instanceRef blk0000009b))
+ (portRef D (instanceRef blk000000b2))
+ (portRef I2 (instanceRef blk0000080a))
+ (portRef I1 (instanceRef blk0000080b))
+ (portRef I1 (instanceRef blk0000080c))
+ (portRef I0 (instanceRef blk0000080d))
+ (portRef I0 (instanceRef blk00000811))
+ (portRef I0 (instanceRef blk00000812))
+ (portRef I0 (instanceRef blk00000814))
+ (portRef I0 (instanceRef blk00000815))
+ (portRef I0 (instanceRef blk000008bf))
+ (portRef I2 (instanceRef blk000008c9))
+ (portRef I2 (instanceRef blk000008ca))
+ (portRef I2 (instanceRef blk000008cb))
+ )
+ )
+ (net sig00000049
+ (joined
+ (portRef (member chan_in 0))
+ (portRef (member dout_i_1 0))
+ (portRef (member dout_i_1 1))
+ (portRef (member dout_i_1 2))
+ (portRef (member dout_i_1 3))
+ (portRef (member dout_i_1 4))
+ (portRef (member dout_i_1 5))
+ (portRef (member dout_i_1 6))
+ (portRef (member dout_i_1 7))
+ (portRef (member dout_i_1 8))
+ (portRef (member dout_i_1 9))
+ (portRef (member dout_i_1 10))
+ (portRef (member dout_i_1 11))
+ (portRef (member dout_i_1 12))
+ (portRef (member dout_i_1 13))
+ (portRef (member dout_i_1 14))
+ (portRef (member dout_i_1 15))
+ (portRef (member dout_i_1 16))
+ (portRef (member dout_i_1 17))
+ (portRef (member dout_i_1 18))
+ (portRef (member dout_i_1 19))
+ (portRef (member dout_i_1 20))
+ (portRef (member dout_i_1 21))
+ (portRef (member dout_i_1 22))
+ (portRef (member dout_i_1 23))
+ (portRef (member dout_q_1 0))
+ (portRef (member dout_q_1 1))
+ (portRef (member dout_q_1 2))
+ (portRef (member dout_q_1 3))
+ (portRef (member dout_q_1 4))
+ (portRef (member dout_q_1 5))
+ (portRef (member dout_q_1 6))
+ (portRef (member dout_q_1 7))
+ (portRef (member dout_q_1 8))
+ (portRef (member dout_q_1 9))
+ (portRef (member dout_q_1 10))
+ (portRef (member dout_q_1 11))
+ (portRef (member dout_q_1 12))
+ (portRef (member dout_q_1 13))
+ (portRef (member dout_q_1 14))
+ (portRef (member dout_q_1 15))
+ (portRef (member dout_q_1 16))
+ (portRef (member dout_q_1 17))
+ (portRef (member dout_q_1 18))
+ (portRef (member dout_q_1 19))
+ (portRef (member dout_q_1 20))
+ (portRef (member dout_q_1 21))
+ (portRef (member dout_q_1 22))
+ (portRef (member dout_q_1 23))
+ (portRef (member dout_q_1 24))
+ (portRef (member dout_q_1 25))
+ (portRef (member dout_q_1 26))
+ (portRef (member dout_q_1 27))
+ (portRef (member dout_q_1 28))
+ (portRef (member dout_q_1 29))
+ (portRef (member dout_q_1 30))
+ (portRef (member dout_q_1 31))
+ (portRef (member dout_q_1 32))
+ (portRef (member dout_q_1 33))
+ (portRef (member dout_q_1 34))
+ (portRef (member dout_q_1 35))
+ (portRef (member dout_q_1 36))
+ (portRef (member dout_q_1 37))
+ (portRef (member dout_q_1 38))
+ (portRef (member dout_q_1 39))
+ (portRef (member dout_q_1 40))
+ (portRef (member dout_q_1 41))
+ (portRef (member dout_q_1 42))
+ (portRef (member dout_q_1 43))
+ (portRef (member dout_q_1 44))
+ (portRef (member dout_q_1 45))
+ (portRef (member dout_q_1 46))
+ (portRef (member dout_i_2 0))
+ (portRef (member dout_i_2 1))
+ (portRef (member dout_i_2 2))
+ (portRef (member dout_i_2 3))
+ (portRef (member dout_i_2 4))
+ (portRef (member dout_i_2 5))
+ (portRef (member dout_i_2 6))
+ (portRef (member dout_i_2 7))
+ (portRef (member dout_i_2 8))
+ (portRef (member dout_i_2 9))
+ (portRef (member dout_i_2 10))
+ (portRef (member dout_i_2 11))
+ (portRef (member dout_i_2 12))
+ (portRef (member dout_i_2 13))
+ (portRef (member dout_i_2 14))
+ (portRef (member dout_i_2 15))
+ (portRef (member dout_i_2 16))
+ (portRef (member dout_i_2 17))
+ (portRef (member dout_i_2 18))
+ (portRef (member dout_i_2 19))
+ (portRef (member dout_i_2 20))
+ (portRef (member dout_i_2 21))
+ (portRef (member dout_i_2 22))
+ (portRef (member dout_i_2 23))
+ (portRef (member dout_q_2 0))
+ (portRef (member dout_q_2 1))
+ (portRef (member dout_q_2 2))
+ (portRef (member dout_q_2 3))
+ (portRef (member dout_q_2 4))
+ (portRef (member dout_q_2 5))
+ (portRef (member dout_q_2 6))
+ (portRef (member dout_q_2 7))
+ (portRef (member dout_q_2 8))
+ (portRef (member dout_q_2 9))
+ (portRef (member dout_q_2 10))
+ (portRef (member dout_q_2 11))
+ (portRef (member dout_q_2 12))
+ (portRef (member dout_q_2 13))
+ (portRef (member dout_q_2 14))
+ (portRef (member dout_q_2 15))
+ (portRef (member dout_q_2 16))
+ (portRef (member dout_q_2 17))
+ (portRef (member dout_q_2 18))
+ (portRef (member dout_q_2 19))
+ (portRef (member dout_q_2 20))
+ (portRef (member dout_q_2 21))
+ (portRef (member dout_q_2 22))
+ (portRef (member dout_q_2 23))
+ (portRef (member dout_q_2 24))
+ (portRef (member dout_q_2 25))
+ (portRef (member dout_q_2 26))
+ (portRef (member dout_q_2 27))
+ (portRef (member dout_q_2 28))
+ (portRef (member dout_q_2 29))
+ (portRef (member dout_q_2 30))
+ (portRef (member dout_q_2 31))
+ (portRef (member dout_q_2 32))
+ (portRef (member dout_q_2 33))
+ (portRef (member dout_q_2 34))
+ (portRef (member dout_q_2 35))
+ (portRef (member dout_q_2 36))
+ (portRef (member dout_q_2 37))
+ (portRef (member dout_q_2 38))
+ (portRef (member dout_q_2 39))
+ (portRef (member dout_q_2 40))
+ (portRef (member dout_q_2 41))
+ (portRef (member dout_q_2 42))
+ (portRef (member dout_q_2 43))
+ (portRef (member dout_q_2 44))
+ (portRef (member dout_q_2 45))
+ (portRef (member dout_q_2 46))
+ (portRef G (instanceRef blk00000004))
+ (portRef DI (instanceRef blk00000008))
+ (portRef DI (instanceRef blk0000000a))
+ (portRef CI (instanceRef blk0000000b))
+ (portRef CI (instanceRef blk0000000c))
+ (portRef DI (instanceRef blk00000014))
+ (portRef RSTC (instanceRef blk00000015))
+ (portRef CEAD (instanceRef blk00000015))
+ (portRef RSTM (instanceRef blk00000015))
+ (portRef MULTSIGNIN (instanceRef blk00000015))
+ (portRef RSTCTRL (instanceRef blk00000015))
+ (portRef RSTA (instanceRef blk00000015))
+ (portRef RSTALUMODE (instanceRef blk00000015))
+ (portRef RSTALLCARRYIN (instanceRef blk00000015))
+ (portRef CED (instanceRef blk00000015))
+ (portRef RSTD (instanceRef blk00000015))
+ (portRef RSTB (instanceRef blk00000015))
+ (portRef CARRYIN (instanceRef blk00000015))
+ (portRef CARRYCASCIN (instanceRef blk00000015))
+ (portRef RSTINMODE (instanceRef blk00000015))
+ (portRef RSTP (instanceRef blk00000015))
+ (portRef OPMODE_6_ (instanceRef blk00000015))
+ (portRef OPMODE_5_ (instanceRef blk00000015))
+ (portRef OPMODE_3_ (instanceRef blk00000015))
+ (portRef ALUMODE_3_ (instanceRef blk00000015))
+ (portRef ALUMODE_2_ (instanceRef blk00000015))
+ (portRef ALUMODE_1_ (instanceRef blk00000015))
+ (portRef ALUMODE_0_ (instanceRef blk00000015))
+ (portRef C_47_ (instanceRef blk00000015))
+ (portRef C_46_ (instanceRef blk00000015))
+ (portRef C_45_ (instanceRef blk00000015))
+ (portRef C_44_ (instanceRef blk00000015))
+ (portRef C_43_ (instanceRef blk00000015))
+ (portRef C_42_ (instanceRef blk00000015))
+ (portRef C_41_ (instanceRef blk00000015))
+ (portRef C_40_ (instanceRef blk00000015))
+ (portRef C_39_ (instanceRef blk00000015))
+ (portRef C_38_ (instanceRef blk00000015))
+ (portRef C_37_ (instanceRef blk00000015))
+ (portRef C_36_ (instanceRef blk00000015))
+ (portRef C_35_ (instanceRef blk00000015))
+ (portRef C_34_ (instanceRef blk00000015))
+ (portRef C_33_ (instanceRef blk00000015))
+ (portRef C_32_ (instanceRef blk00000015))
+ (portRef C_31_ (instanceRef blk00000015))
+ (portRef C_30_ (instanceRef blk00000015))
+ (portRef C_29_ (instanceRef blk00000015))
+ (portRef C_28_ (instanceRef blk00000015))
+ (portRef C_27_ (instanceRef blk00000015))
+ (portRef C_26_ (instanceRef blk00000015))
+ (portRef C_25_ (instanceRef blk00000015))
+ (portRef C_24_ (instanceRef blk00000015))
+ (portRef C_23_ (instanceRef blk00000015))
+ (portRef C_22_ (instanceRef blk00000015))
+ (portRef C_21_ (instanceRef blk00000015))
+ (portRef C_20_ (instanceRef blk00000015))
+ (portRef C_19_ (instanceRef blk00000015))
+ (portRef C_18_ (instanceRef blk00000015))
+ (portRef C_17_ (instanceRef blk00000015))
+ (portRef C_16_ (instanceRef blk00000015))
+ (portRef C_15_ (instanceRef blk00000015))
+ (portRef C_14_ (instanceRef blk00000015))
+ (portRef C_13_ (instanceRef blk00000015))
+ (portRef C_12_ (instanceRef blk00000015))
+ (portRef C_11_ (instanceRef blk00000015))
+ (portRef C_10_ (instanceRef blk00000015))
+ (portRef C_9_ (instanceRef blk00000015))
+ (portRef C_8_ (instanceRef blk00000015))
+ (portRef C_7_ (instanceRef blk00000015))
+ (portRef C_6_ (instanceRef blk00000015))
+ (portRef C_5_ (instanceRef blk00000015))
+ (portRef C_4_ (instanceRef blk00000015))
+ (portRef C_3_ (instanceRef blk00000015))
+ (portRef C_2_ (instanceRef blk00000015))
+ (portRef C_1_ (instanceRef blk00000015))
+ (portRef C_0_ (instanceRef blk00000015))
+ (portRef INMODE_4_ (instanceRef blk00000015))
+ (portRef INMODE_3_ (instanceRef blk00000015))
+ (portRef INMODE_1_ (instanceRef blk00000015))
+ (portRef INMODE_0_ (instanceRef blk00000015))
+ (portRef BCIN_17_ (instanceRef blk00000015))
+ (portRef BCIN_16_ (instanceRef blk00000015))
+ (portRef BCIN_15_ (instanceRef blk00000015))
+ (portRef BCIN_14_ (instanceRef blk00000015))
+ (portRef BCIN_13_ (instanceRef blk00000015))
+ (portRef BCIN_12_ (instanceRef blk00000015))
+ (portRef BCIN_11_ (instanceRef blk00000015))
+ (portRef BCIN_10_ (instanceRef blk00000015))
+ (portRef BCIN_9_ (instanceRef blk00000015))
+ (portRef BCIN_8_ (instanceRef blk00000015))
+ (portRef BCIN_7_ (instanceRef blk00000015))
+ (portRef BCIN_6_ (instanceRef blk00000015))
+ (portRef BCIN_5_ (instanceRef blk00000015))
+ (portRef BCIN_4_ (instanceRef blk00000015))
+ (portRef BCIN_3_ (instanceRef blk00000015))
+ (portRef BCIN_2_ (instanceRef blk00000015))
+ (portRef BCIN_1_ (instanceRef blk00000015))
+ (portRef BCIN_0_ (instanceRef blk00000015))
+ (portRef D_24_ (instanceRef blk00000015))
+ (portRef D_23_ (instanceRef blk00000015))
+ (portRef D_22_ (instanceRef blk00000015))
+ (portRef D_21_ (instanceRef blk00000015))
+ (portRef D_20_ (instanceRef blk00000015))
+ (portRef D_19_ (instanceRef blk00000015))
+ (portRef D_18_ (instanceRef blk00000015))
+ (portRef D_17_ (instanceRef blk00000015))
+ (portRef D_16_ (instanceRef blk00000015))
+ (portRef D_15_ (instanceRef blk00000015))
+ (portRef D_14_ (instanceRef blk00000015))
+ (portRef D_13_ (instanceRef blk00000015))
+ (portRef D_12_ (instanceRef blk00000015))
+ (portRef D_11_ (instanceRef blk00000015))
+ (portRef D_10_ (instanceRef blk00000015))
+ (portRef D_9_ (instanceRef blk00000015))
+ (portRef D_8_ (instanceRef blk00000015))
+ (portRef D_7_ (instanceRef blk00000015))
+ (portRef D_6_ (instanceRef blk00000015))
+ (portRef D_5_ (instanceRef blk00000015))
+ (portRef D_4_ (instanceRef blk00000015))
+ (portRef D_3_ (instanceRef blk00000015))
+ (portRef D_2_ (instanceRef blk00000015))
+ (portRef D_1_ (instanceRef blk00000015))
+ (portRef D_0_ (instanceRef blk00000015))
+ (portRef ACIN_29_ (instanceRef blk00000015))
+ (portRef ACIN_28_ (instanceRef blk00000015))
+ (portRef ACIN_27_ (instanceRef blk00000015))
+ (portRef ACIN_26_ (instanceRef blk00000015))
+ (portRef ACIN_25_ (instanceRef blk00000015))
+ (portRef ACIN_24_ (instanceRef blk00000015))
+ (portRef ACIN_23_ (instanceRef blk00000015))
+ (portRef ACIN_22_ (instanceRef blk00000015))
+ (portRef ACIN_21_ (instanceRef blk00000015))
+ (portRef ACIN_20_ (instanceRef blk00000015))
+ (portRef ACIN_19_ (instanceRef blk00000015))
+ (portRef ACIN_18_ (instanceRef blk00000015))
+ (portRef ACIN_17_ (instanceRef blk00000015))
+ (portRef ACIN_16_ (instanceRef blk00000015))
+ (portRef ACIN_15_ (instanceRef blk00000015))
+ (portRef ACIN_14_ (instanceRef blk00000015))
+ (portRef ACIN_13_ (instanceRef blk00000015))
+ (portRef ACIN_12_ (instanceRef blk00000015))
+ (portRef ACIN_11_ (instanceRef blk00000015))
+ (portRef ACIN_10_ (instanceRef blk00000015))
+ (portRef ACIN_9_ (instanceRef blk00000015))
+ (portRef ACIN_8_ (instanceRef blk00000015))
+ (portRef ACIN_7_ (instanceRef blk00000015))
+ (portRef ACIN_6_ (instanceRef blk00000015))
+ (portRef ACIN_5_ (instanceRef blk00000015))
+ (portRef ACIN_4_ (instanceRef blk00000015))
+ (portRef ACIN_3_ (instanceRef blk00000015))
+ (portRef ACIN_2_ (instanceRef blk00000015))
+ (portRef ACIN_1_ (instanceRef blk00000015))
+ (portRef ACIN_0_ (instanceRef blk00000015))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000015))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000015))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000015))
+ (portRef RSTC (instanceRef blk00000016))
+ (portRef CEAD (instanceRef blk00000016))
+ (portRef RSTM (instanceRef blk00000016))
+ (portRef MULTSIGNIN (instanceRef blk00000016))
+ (portRef RSTCTRL (instanceRef blk00000016))
+ (portRef RSTA (instanceRef blk00000016))
+ (portRef RSTALUMODE (instanceRef blk00000016))
+ (portRef RSTALLCARRYIN (instanceRef blk00000016))
+ (portRef CED (instanceRef blk00000016))
+ (portRef RSTD (instanceRef blk00000016))
+ (portRef RSTB (instanceRef blk00000016))
+ (portRef CARRYIN (instanceRef blk00000016))
+ (portRef CARRYCASCIN (instanceRef blk00000016))
+ (portRef RSTINMODE (instanceRef blk00000016))
+ (portRef RSTP (instanceRef blk00000016))
+ (portRef OPMODE_6_ (instanceRef blk00000016))
+ (portRef OPMODE_5_ (instanceRef blk00000016))
+ (portRef OPMODE_3_ (instanceRef blk00000016))
+ (portRef ALUMODE_3_ (instanceRef blk00000016))
+ (portRef ALUMODE_2_ (instanceRef blk00000016))
+ (portRef ALUMODE_1_ (instanceRef blk00000016))
+ (portRef ALUMODE_0_ (instanceRef blk00000016))
+ (portRef C_47_ (instanceRef blk00000016))
+ (portRef C_46_ (instanceRef blk00000016))
+ (portRef C_45_ (instanceRef blk00000016))
+ (portRef C_44_ (instanceRef blk00000016))
+ (portRef C_43_ (instanceRef blk00000016))
+ (portRef C_42_ (instanceRef blk00000016))
+ (portRef C_41_ (instanceRef blk00000016))
+ (portRef C_40_ (instanceRef blk00000016))
+ (portRef C_39_ (instanceRef blk00000016))
+ (portRef C_38_ (instanceRef blk00000016))
+ (portRef C_37_ (instanceRef blk00000016))
+ (portRef C_36_ (instanceRef blk00000016))
+ (portRef C_35_ (instanceRef blk00000016))
+ (portRef C_34_ (instanceRef blk00000016))
+ (portRef C_33_ (instanceRef blk00000016))
+ (portRef C_32_ (instanceRef blk00000016))
+ (portRef C_31_ (instanceRef blk00000016))
+ (portRef C_30_ (instanceRef blk00000016))
+ (portRef C_29_ (instanceRef blk00000016))
+ (portRef C_28_ (instanceRef blk00000016))
+ (portRef C_27_ (instanceRef blk00000016))
+ (portRef C_26_ (instanceRef blk00000016))
+ (portRef C_25_ (instanceRef blk00000016))
+ (portRef C_24_ (instanceRef blk00000016))
+ (portRef C_23_ (instanceRef blk00000016))
+ (portRef C_22_ (instanceRef blk00000016))
+ (portRef C_21_ (instanceRef blk00000016))
+ (portRef C_20_ (instanceRef blk00000016))
+ (portRef C_19_ (instanceRef blk00000016))
+ (portRef C_18_ (instanceRef blk00000016))
+ (portRef C_17_ (instanceRef blk00000016))
+ (portRef C_16_ (instanceRef blk00000016))
+ (portRef C_15_ (instanceRef blk00000016))
+ (portRef C_14_ (instanceRef blk00000016))
+ (portRef C_13_ (instanceRef blk00000016))
+ (portRef C_12_ (instanceRef blk00000016))
+ (portRef C_11_ (instanceRef blk00000016))
+ (portRef C_10_ (instanceRef blk00000016))
+ (portRef C_9_ (instanceRef blk00000016))
+ (portRef C_8_ (instanceRef blk00000016))
+ (portRef C_7_ (instanceRef blk00000016))
+ (portRef C_6_ (instanceRef blk00000016))
+ (portRef C_5_ (instanceRef blk00000016))
+ (portRef C_4_ (instanceRef blk00000016))
+ (portRef C_3_ (instanceRef blk00000016))
+ (portRef C_2_ (instanceRef blk00000016))
+ (portRef C_1_ (instanceRef blk00000016))
+ (portRef C_0_ (instanceRef blk00000016))
+ (portRef INMODE_4_ (instanceRef blk00000016))
+ (portRef INMODE_3_ (instanceRef blk00000016))
+ (portRef INMODE_1_ (instanceRef blk00000016))
+ (portRef INMODE_0_ (instanceRef blk00000016))
+ (portRef BCIN_17_ (instanceRef blk00000016))
+ (portRef BCIN_16_ (instanceRef blk00000016))
+ (portRef BCIN_15_ (instanceRef blk00000016))
+ (portRef BCIN_14_ (instanceRef blk00000016))
+ (portRef BCIN_13_ (instanceRef blk00000016))
+ (portRef BCIN_12_ (instanceRef blk00000016))
+ (portRef BCIN_11_ (instanceRef blk00000016))
+ (portRef BCIN_10_ (instanceRef blk00000016))
+ (portRef BCIN_9_ (instanceRef blk00000016))
+ (portRef BCIN_8_ (instanceRef blk00000016))
+ (portRef BCIN_7_ (instanceRef blk00000016))
+ (portRef BCIN_6_ (instanceRef blk00000016))
+ (portRef BCIN_5_ (instanceRef blk00000016))
+ (portRef BCIN_4_ (instanceRef blk00000016))
+ (portRef BCIN_3_ (instanceRef blk00000016))
+ (portRef BCIN_2_ (instanceRef blk00000016))
+ (portRef BCIN_1_ (instanceRef blk00000016))
+ (portRef BCIN_0_ (instanceRef blk00000016))
+ (portRef D_24_ (instanceRef blk00000016))
+ (portRef D_23_ (instanceRef blk00000016))
+ (portRef D_22_ (instanceRef blk00000016))
+ (portRef D_21_ (instanceRef blk00000016))
+ (portRef D_20_ (instanceRef blk00000016))
+ (portRef D_19_ (instanceRef blk00000016))
+ (portRef D_18_ (instanceRef blk00000016))
+ (portRef D_17_ (instanceRef blk00000016))
+ (portRef D_16_ (instanceRef blk00000016))
+ (portRef D_15_ (instanceRef blk00000016))
+ (portRef D_14_ (instanceRef blk00000016))
+ (portRef D_13_ (instanceRef blk00000016))
+ (portRef D_12_ (instanceRef blk00000016))
+ (portRef D_11_ (instanceRef blk00000016))
+ (portRef D_10_ (instanceRef blk00000016))
+ (portRef D_9_ (instanceRef blk00000016))
+ (portRef D_8_ (instanceRef blk00000016))
+ (portRef D_7_ (instanceRef blk00000016))
+ (portRef D_6_ (instanceRef blk00000016))
+ (portRef D_5_ (instanceRef blk00000016))
+ (portRef D_4_ (instanceRef blk00000016))
+ (portRef D_3_ (instanceRef blk00000016))
+ (portRef D_2_ (instanceRef blk00000016))
+ (portRef D_1_ (instanceRef blk00000016))
+ (portRef D_0_ (instanceRef blk00000016))
+ (portRef ACIN_29_ (instanceRef blk00000016))
+ (portRef ACIN_28_ (instanceRef blk00000016))
+ (portRef ACIN_27_ (instanceRef blk00000016))
+ (portRef ACIN_26_ (instanceRef blk00000016))
+ (portRef ACIN_25_ (instanceRef blk00000016))
+ (portRef ACIN_24_ (instanceRef blk00000016))
+ (portRef ACIN_23_ (instanceRef blk00000016))
+ (portRef ACIN_22_ (instanceRef blk00000016))
+ (portRef ACIN_21_ (instanceRef blk00000016))
+ (portRef ACIN_20_ (instanceRef blk00000016))
+ (portRef ACIN_19_ (instanceRef blk00000016))
+ (portRef ACIN_18_ (instanceRef blk00000016))
+ (portRef ACIN_17_ (instanceRef blk00000016))
+ (portRef ACIN_16_ (instanceRef blk00000016))
+ (portRef ACIN_15_ (instanceRef blk00000016))
+ (portRef ACIN_14_ (instanceRef blk00000016))
+ (portRef ACIN_13_ (instanceRef blk00000016))
+ (portRef ACIN_12_ (instanceRef blk00000016))
+ (portRef ACIN_11_ (instanceRef blk00000016))
+ (portRef ACIN_10_ (instanceRef blk00000016))
+ (portRef ACIN_9_ (instanceRef blk00000016))
+ (portRef ACIN_8_ (instanceRef blk00000016))
+ (portRef ACIN_7_ (instanceRef blk00000016))
+ (portRef ACIN_6_ (instanceRef blk00000016))
+ (portRef ACIN_5_ (instanceRef blk00000016))
+ (portRef ACIN_4_ (instanceRef blk00000016))
+ (portRef ACIN_3_ (instanceRef blk00000016))
+ (portRef ACIN_2_ (instanceRef blk00000016))
+ (portRef ACIN_1_ (instanceRef blk00000016))
+ (portRef ACIN_0_ (instanceRef blk00000016))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000016))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000016))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000016))
+ (portRef DI (instanceRef blk00000017))
+ (portRef S (instanceRef blk0000008f))
+ (portRef R (instanceRef blk00000090))
+ (portRef CI (instanceRef blk00000094))
+ (portRef CI (instanceRef blk00000095))
+ (portRef DI (instanceRef blk00000097))
+ (portRef DI (instanceRef blk00000098))
+ (portRef DI (instanceRef blk0000009a))
+ (portRef DI (instanceRef blk0000009b))
+ (portRef R (instanceRef blk0000009e))
+ (portRef R (instanceRef blk0000009f))
+ (portRef R (instanceRef blk000000a0))
+ (portRef CI (instanceRef blk000000b7))
+ (portRef R (instanceRef blk000000bd))
+ (portRef R (instanceRef blk000000be))
+ (portRef R (instanceRef blk000000c8))
+ (portRef S (instanceRef blk000000c9))
+ (portRef R (instanceRef blk000000d1))
+ (portRef S (instanceRef blk000000d2))
+ (portRef CI (instanceRef blk000000d5))
+ (portRef CI (instanceRef blk000000d8))
+ (portRef CI (instanceRef blk000000db))
+ (portRef CI (instanceRef blk000000dc))
+ (portRef CI (instanceRef blk000000dd))
+ (portRef CI (instanceRef blk000000de))
+ (portRef R (instanceRef blk000000e6))
+ (portRef RSTC (instanceRef blk000000e7))
+ (portRef CEB1 (instanceRef blk000000e7))
+ (portRef RSTM (instanceRef blk000000e7))
+ (portRef MULTSIGNIN (instanceRef blk000000e7))
+ (portRef RSTCTRL (instanceRef blk000000e7))
+ (portRef RSTA (instanceRef blk000000e7))
+ (portRef RSTALUMODE (instanceRef blk000000e7))
+ (portRef RSTALLCARRYIN (instanceRef blk000000e7))
+ (portRef RSTD (instanceRef blk000000e7))
+ (portRef CEA1 (instanceRef blk000000e7))
+ (portRef RSTB (instanceRef blk000000e7))
+ (portRef CARRYIN (instanceRef blk000000e7))
+ (portRef CARRYCASCIN (instanceRef blk000000e7))
+ (portRef RSTINMODE (instanceRef blk000000e7))
+ (portRef RSTP (instanceRef blk000000e7))
+ (portRef OPMODE_6_ (instanceRef blk000000e7))
+ (portRef OPMODE_3_ (instanceRef blk000000e7))
+ (portRef OPMODE_1_ (instanceRef blk000000e7))
+ (portRef PCIN_47_ (instanceRef blk000000e7))
+ (portRef PCIN_46_ (instanceRef blk000000e7))
+ (portRef PCIN_45_ (instanceRef blk000000e7))
+ (portRef PCIN_44_ (instanceRef blk000000e7))
+ (portRef PCIN_43_ (instanceRef blk000000e7))
+ (portRef PCIN_42_ (instanceRef blk000000e7))
+ (portRef PCIN_41_ (instanceRef blk000000e7))
+ (portRef PCIN_40_ (instanceRef blk000000e7))
+ (portRef PCIN_39_ (instanceRef blk000000e7))
+ (portRef PCIN_38_ (instanceRef blk000000e7))
+ (portRef PCIN_37_ (instanceRef blk000000e7))
+ (portRef PCIN_36_ (instanceRef blk000000e7))
+ (portRef PCIN_35_ (instanceRef blk000000e7))
+ (portRef PCIN_34_ (instanceRef blk000000e7))
+ (portRef PCIN_33_ (instanceRef blk000000e7))
+ (portRef PCIN_32_ (instanceRef blk000000e7))
+ (portRef PCIN_31_ (instanceRef blk000000e7))
+ (portRef PCIN_30_ (instanceRef blk000000e7))
+ (portRef PCIN_29_ (instanceRef blk000000e7))
+ (portRef PCIN_28_ (instanceRef blk000000e7))
+ (portRef PCIN_27_ (instanceRef blk000000e7))
+ (portRef PCIN_26_ (instanceRef blk000000e7))
+ (portRef PCIN_25_ (instanceRef blk000000e7))
+ (portRef PCIN_24_ (instanceRef blk000000e7))
+ (portRef PCIN_23_ (instanceRef blk000000e7))
+ (portRef PCIN_22_ (instanceRef blk000000e7))
+ (portRef PCIN_21_ (instanceRef blk000000e7))
+ (portRef PCIN_20_ (instanceRef blk000000e7))
+ (portRef PCIN_19_ (instanceRef blk000000e7))
+ (portRef PCIN_18_ (instanceRef blk000000e7))
+ (portRef PCIN_17_ (instanceRef blk000000e7))
+ (portRef PCIN_16_ (instanceRef blk000000e7))
+ (portRef PCIN_15_ (instanceRef blk000000e7))
+ (portRef PCIN_14_ (instanceRef blk000000e7))
+ (portRef PCIN_13_ (instanceRef blk000000e7))
+ (portRef PCIN_12_ (instanceRef blk000000e7))
+ (portRef PCIN_11_ (instanceRef blk000000e7))
+ (portRef PCIN_10_ (instanceRef blk000000e7))
+ (portRef PCIN_9_ (instanceRef blk000000e7))
+ (portRef PCIN_8_ (instanceRef blk000000e7))
+ (portRef PCIN_7_ (instanceRef blk000000e7))
+ (portRef PCIN_6_ (instanceRef blk000000e7))
+ (portRef PCIN_5_ (instanceRef blk000000e7))
+ (portRef PCIN_4_ (instanceRef blk000000e7))
+ (portRef PCIN_3_ (instanceRef blk000000e7))
+ (portRef PCIN_2_ (instanceRef blk000000e7))
+ (portRef PCIN_1_ (instanceRef blk000000e7))
+ (portRef PCIN_0_ (instanceRef blk000000e7))
+ (portRef ALUMODE_3_ (instanceRef blk000000e7))
+ (portRef ALUMODE_2_ (instanceRef blk000000e7))
+ (portRef ALUMODE_1_ (instanceRef blk000000e7))
+ (portRef ALUMODE_0_ (instanceRef blk000000e7))
+ (portRef C_47_ (instanceRef blk000000e7))
+ (portRef C_46_ (instanceRef blk000000e7))
+ (portRef C_45_ (instanceRef blk000000e7))
+ (portRef C_44_ (instanceRef blk000000e7))
+ (portRef C_43_ (instanceRef blk000000e7))
+ (portRef C_42_ (instanceRef blk000000e7))
+ (portRef C_41_ (instanceRef blk000000e7))
+ (portRef C_40_ (instanceRef blk000000e7))
+ (portRef C_39_ (instanceRef blk000000e7))
+ (portRef C_38_ (instanceRef blk000000e7))
+ (portRef C_37_ (instanceRef blk000000e7))
+ (portRef C_36_ (instanceRef blk000000e7))
+ (portRef C_35_ (instanceRef blk000000e7))
+ (portRef C_34_ (instanceRef blk000000e7))
+ (portRef C_33_ (instanceRef blk000000e7))
+ (portRef C_32_ (instanceRef blk000000e7))
+ (portRef C_31_ (instanceRef blk000000e7))
+ (portRef C_30_ (instanceRef blk000000e7))
+ (portRef C_29_ (instanceRef blk000000e7))
+ (portRef C_28_ (instanceRef blk000000e7))
+ (portRef C_27_ (instanceRef blk000000e7))
+ (portRef C_26_ (instanceRef blk000000e7))
+ (portRef C_25_ (instanceRef blk000000e7))
+ (portRef C_24_ (instanceRef blk000000e7))
+ (portRef C_23_ (instanceRef blk000000e7))
+ (portRef C_22_ (instanceRef blk000000e7))
+ (portRef C_21_ (instanceRef blk000000e7))
+ (portRef C_20_ (instanceRef blk000000e7))
+ (portRef C_19_ (instanceRef blk000000e7))
+ (portRef C_18_ (instanceRef blk000000e7))
+ (portRef C_17_ (instanceRef blk000000e7))
+ (portRef C_16_ (instanceRef blk000000e7))
+ (portRef C_15_ (instanceRef blk000000e7))
+ (portRef C_14_ (instanceRef blk000000e7))
+ (portRef C_13_ (instanceRef blk000000e7))
+ (portRef C_12_ (instanceRef blk000000e7))
+ (portRef C_11_ (instanceRef blk000000e7))
+ (portRef C_10_ (instanceRef blk000000e7))
+ (portRef C_9_ (instanceRef blk000000e7))
+ (portRef C_8_ (instanceRef blk000000e7))
+ (portRef C_7_ (instanceRef blk000000e7))
+ (portRef C_6_ (instanceRef blk000000e7))
+ (portRef C_5_ (instanceRef blk000000e7))
+ (portRef C_4_ (instanceRef blk000000e7))
+ (portRef C_3_ (instanceRef blk000000e7))
+ (portRef C_2_ (instanceRef blk000000e7))
+ (portRef C_1_ (instanceRef blk000000e7))
+ (portRef C_0_ (instanceRef blk000000e7))
+ (portRef INMODE_4_ (instanceRef blk000000e7))
+ (portRef INMODE_3_ (instanceRef blk000000e7))
+ (portRef INMODE_1_ (instanceRef blk000000e7))
+ (portRef INMODE_0_ (instanceRef blk000000e7))
+ (portRef BCIN_17_ (instanceRef blk000000e7))
+ (portRef BCIN_16_ (instanceRef blk000000e7))
+ (portRef BCIN_15_ (instanceRef blk000000e7))
+ (portRef BCIN_14_ (instanceRef blk000000e7))
+ (portRef BCIN_13_ (instanceRef blk000000e7))
+ (portRef BCIN_12_ (instanceRef blk000000e7))
+ (portRef BCIN_11_ (instanceRef blk000000e7))
+ (portRef BCIN_10_ (instanceRef blk000000e7))
+ (portRef BCIN_9_ (instanceRef blk000000e7))
+ (portRef BCIN_8_ (instanceRef blk000000e7))
+ (portRef BCIN_7_ (instanceRef blk000000e7))
+ (portRef BCIN_6_ (instanceRef blk000000e7))
+ (portRef BCIN_5_ (instanceRef blk000000e7))
+ (portRef BCIN_4_ (instanceRef blk000000e7))
+ (portRef BCIN_3_ (instanceRef blk000000e7))
+ (portRef BCIN_2_ (instanceRef blk000000e7))
+ (portRef BCIN_1_ (instanceRef blk000000e7))
+ (portRef BCIN_0_ (instanceRef blk000000e7))
+ (portRef ACIN_29_ (instanceRef blk000000e7))
+ (portRef ACIN_28_ (instanceRef blk000000e7))
+ (portRef ACIN_27_ (instanceRef blk000000e7))
+ (portRef ACIN_26_ (instanceRef blk000000e7))
+ (portRef ACIN_25_ (instanceRef blk000000e7))
+ (portRef ACIN_24_ (instanceRef blk000000e7))
+ (portRef ACIN_23_ (instanceRef blk000000e7))
+ (portRef ACIN_22_ (instanceRef blk000000e7))
+ (portRef ACIN_21_ (instanceRef blk000000e7))
+ (portRef ACIN_20_ (instanceRef blk000000e7))
+ (portRef ACIN_19_ (instanceRef blk000000e7))
+ (portRef ACIN_18_ (instanceRef blk000000e7))
+ (portRef ACIN_17_ (instanceRef blk000000e7))
+ (portRef ACIN_16_ (instanceRef blk000000e7))
+ (portRef ACIN_15_ (instanceRef blk000000e7))
+ (portRef ACIN_14_ (instanceRef blk000000e7))
+ (portRef ACIN_13_ (instanceRef blk000000e7))
+ (portRef ACIN_12_ (instanceRef blk000000e7))
+ (portRef ACIN_11_ (instanceRef blk000000e7))
+ (portRef ACIN_10_ (instanceRef blk000000e7))
+ (portRef ACIN_9_ (instanceRef blk000000e7))
+ (portRef ACIN_8_ (instanceRef blk000000e7))
+ (portRef ACIN_7_ (instanceRef blk000000e7))
+ (portRef ACIN_6_ (instanceRef blk000000e7))
+ (portRef ACIN_5_ (instanceRef blk000000e7))
+ (portRef ACIN_4_ (instanceRef blk000000e7))
+ (portRef ACIN_3_ (instanceRef blk000000e7))
+ (portRef ACIN_2_ (instanceRef blk000000e7))
+ (portRef ACIN_1_ (instanceRef blk000000e7))
+ (portRef ACIN_0_ (instanceRef blk000000e7))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000e7))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000e7))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000e7))
+ (portRef RSTC (instanceRef blk000000e8))
+ (portRef CEB1 (instanceRef blk000000e8))
+ (portRef RSTM (instanceRef blk000000e8))
+ (portRef MULTSIGNIN (instanceRef blk000000e8))
+ (portRef RSTCTRL (instanceRef blk000000e8))
+ (portRef RSTA (instanceRef blk000000e8))
+ (portRef RSTALUMODE (instanceRef blk000000e8))
+ (portRef RSTALLCARRYIN (instanceRef blk000000e8))
+ (portRef RSTD (instanceRef blk000000e8))
+ (portRef CEA1 (instanceRef blk000000e8))
+ (portRef RSTB (instanceRef blk000000e8))
+ (portRef CARRYIN (instanceRef blk000000e8))
+ (portRef CARRYCASCIN (instanceRef blk000000e8))
+ (portRef RSTINMODE (instanceRef blk000000e8))
+ (portRef RSTP (instanceRef blk000000e8))
+ (portRef OPMODE_6_ (instanceRef blk000000e8))
+ (portRef OPMODE_3_ (instanceRef blk000000e8))
+ (portRef OPMODE_1_ (instanceRef blk000000e8))
+ (portRef PCIN_47_ (instanceRef blk000000e8))
+ (portRef PCIN_46_ (instanceRef blk000000e8))
+ (portRef PCIN_45_ (instanceRef blk000000e8))
+ (portRef PCIN_44_ (instanceRef blk000000e8))
+ (portRef PCIN_43_ (instanceRef blk000000e8))
+ (portRef PCIN_42_ (instanceRef blk000000e8))
+ (portRef PCIN_41_ (instanceRef blk000000e8))
+ (portRef PCIN_40_ (instanceRef blk000000e8))
+ (portRef PCIN_39_ (instanceRef blk000000e8))
+ (portRef PCIN_38_ (instanceRef blk000000e8))
+ (portRef PCIN_37_ (instanceRef blk000000e8))
+ (portRef PCIN_36_ (instanceRef blk000000e8))
+ (portRef PCIN_35_ (instanceRef blk000000e8))
+ (portRef PCIN_34_ (instanceRef blk000000e8))
+ (portRef PCIN_33_ (instanceRef blk000000e8))
+ (portRef PCIN_32_ (instanceRef blk000000e8))
+ (portRef PCIN_31_ (instanceRef blk000000e8))
+ (portRef PCIN_30_ (instanceRef blk000000e8))
+ (portRef PCIN_29_ (instanceRef blk000000e8))
+ (portRef PCIN_28_ (instanceRef blk000000e8))
+ (portRef PCIN_27_ (instanceRef blk000000e8))
+ (portRef PCIN_26_ (instanceRef blk000000e8))
+ (portRef PCIN_25_ (instanceRef blk000000e8))
+ (portRef PCIN_24_ (instanceRef blk000000e8))
+ (portRef PCIN_23_ (instanceRef blk000000e8))
+ (portRef PCIN_22_ (instanceRef blk000000e8))
+ (portRef PCIN_21_ (instanceRef blk000000e8))
+ (portRef PCIN_20_ (instanceRef blk000000e8))
+ (portRef PCIN_19_ (instanceRef blk000000e8))
+ (portRef PCIN_18_ (instanceRef blk000000e8))
+ (portRef PCIN_17_ (instanceRef blk000000e8))
+ (portRef PCIN_16_ (instanceRef blk000000e8))
+ (portRef PCIN_15_ (instanceRef blk000000e8))
+ (portRef PCIN_14_ (instanceRef blk000000e8))
+ (portRef PCIN_13_ (instanceRef blk000000e8))
+ (portRef PCIN_12_ (instanceRef blk000000e8))
+ (portRef PCIN_11_ (instanceRef blk000000e8))
+ (portRef PCIN_10_ (instanceRef blk000000e8))
+ (portRef PCIN_9_ (instanceRef blk000000e8))
+ (portRef PCIN_8_ (instanceRef blk000000e8))
+ (portRef PCIN_7_ (instanceRef blk000000e8))
+ (portRef PCIN_6_ (instanceRef blk000000e8))
+ (portRef PCIN_5_ (instanceRef blk000000e8))
+ (portRef PCIN_4_ (instanceRef blk000000e8))
+ (portRef PCIN_3_ (instanceRef blk000000e8))
+ (portRef PCIN_2_ (instanceRef blk000000e8))
+ (portRef PCIN_1_ (instanceRef blk000000e8))
+ (portRef PCIN_0_ (instanceRef blk000000e8))
+ (portRef ALUMODE_3_ (instanceRef blk000000e8))
+ (portRef ALUMODE_2_ (instanceRef blk000000e8))
+ (portRef ALUMODE_1_ (instanceRef blk000000e8))
+ (portRef ALUMODE_0_ (instanceRef blk000000e8))
+ (portRef C_47_ (instanceRef blk000000e8))
+ (portRef C_46_ (instanceRef blk000000e8))
+ (portRef C_45_ (instanceRef blk000000e8))
+ (portRef C_44_ (instanceRef blk000000e8))
+ (portRef C_43_ (instanceRef blk000000e8))
+ (portRef C_42_ (instanceRef blk000000e8))
+ (portRef C_41_ (instanceRef blk000000e8))
+ (portRef C_40_ (instanceRef blk000000e8))
+ (portRef C_39_ (instanceRef blk000000e8))
+ (portRef C_38_ (instanceRef blk000000e8))
+ (portRef C_37_ (instanceRef blk000000e8))
+ (portRef C_36_ (instanceRef blk000000e8))
+ (portRef C_35_ (instanceRef blk000000e8))
+ (portRef C_34_ (instanceRef blk000000e8))
+ (portRef C_33_ (instanceRef blk000000e8))
+ (portRef C_32_ (instanceRef blk000000e8))
+ (portRef C_31_ (instanceRef blk000000e8))
+ (portRef C_30_ (instanceRef blk000000e8))
+ (portRef C_29_ (instanceRef blk000000e8))
+ (portRef C_28_ (instanceRef blk000000e8))
+ (portRef C_27_ (instanceRef blk000000e8))
+ (portRef C_26_ (instanceRef blk000000e8))
+ (portRef C_25_ (instanceRef blk000000e8))
+ (portRef C_24_ (instanceRef blk000000e8))
+ (portRef C_23_ (instanceRef blk000000e8))
+ (portRef C_22_ (instanceRef blk000000e8))
+ (portRef C_21_ (instanceRef blk000000e8))
+ (portRef C_20_ (instanceRef blk000000e8))
+ (portRef C_19_ (instanceRef blk000000e8))
+ (portRef C_18_ (instanceRef blk000000e8))
+ (portRef C_17_ (instanceRef blk000000e8))
+ (portRef C_16_ (instanceRef blk000000e8))
+ (portRef C_15_ (instanceRef blk000000e8))
+ (portRef C_14_ (instanceRef blk000000e8))
+ (portRef C_13_ (instanceRef blk000000e8))
+ (portRef C_12_ (instanceRef blk000000e8))
+ (portRef C_11_ (instanceRef blk000000e8))
+ (portRef C_10_ (instanceRef blk000000e8))
+ (portRef C_9_ (instanceRef blk000000e8))
+ (portRef C_8_ (instanceRef blk000000e8))
+ (portRef C_7_ (instanceRef blk000000e8))
+ (portRef C_6_ (instanceRef blk000000e8))
+ (portRef C_5_ (instanceRef blk000000e8))
+ (portRef C_4_ (instanceRef blk000000e8))
+ (portRef C_3_ (instanceRef blk000000e8))
+ (portRef C_2_ (instanceRef blk000000e8))
+ (portRef C_1_ (instanceRef blk000000e8))
+ (portRef C_0_ (instanceRef blk000000e8))
+ (portRef INMODE_4_ (instanceRef blk000000e8))
+ (portRef INMODE_3_ (instanceRef blk000000e8))
+ (portRef INMODE_1_ (instanceRef blk000000e8))
+ (portRef INMODE_0_ (instanceRef blk000000e8))
+ (portRef BCIN_17_ (instanceRef blk000000e8))
+ (portRef BCIN_16_ (instanceRef blk000000e8))
+ (portRef BCIN_15_ (instanceRef blk000000e8))
+ (portRef BCIN_14_ (instanceRef blk000000e8))
+ (portRef BCIN_13_ (instanceRef blk000000e8))
+ (portRef BCIN_12_ (instanceRef blk000000e8))
+ (portRef BCIN_11_ (instanceRef blk000000e8))
+ (portRef BCIN_10_ (instanceRef blk000000e8))
+ (portRef BCIN_9_ (instanceRef blk000000e8))
+ (portRef BCIN_8_ (instanceRef blk000000e8))
+ (portRef BCIN_7_ (instanceRef blk000000e8))
+ (portRef BCIN_6_ (instanceRef blk000000e8))
+ (portRef BCIN_5_ (instanceRef blk000000e8))
+ (portRef BCIN_4_ (instanceRef blk000000e8))
+ (portRef BCIN_3_ (instanceRef blk000000e8))
+ (portRef BCIN_2_ (instanceRef blk000000e8))
+ (portRef BCIN_1_ (instanceRef blk000000e8))
+ (portRef BCIN_0_ (instanceRef blk000000e8))
+ (portRef ACIN_29_ (instanceRef blk000000e8))
+ (portRef ACIN_28_ (instanceRef blk000000e8))
+ (portRef ACIN_27_ (instanceRef blk000000e8))
+ (portRef ACIN_26_ (instanceRef blk000000e8))
+ (portRef ACIN_25_ (instanceRef blk000000e8))
+ (portRef ACIN_24_ (instanceRef blk000000e8))
+ (portRef ACIN_23_ (instanceRef blk000000e8))
+ (portRef ACIN_22_ (instanceRef blk000000e8))
+ (portRef ACIN_21_ (instanceRef blk000000e8))
+ (portRef ACIN_20_ (instanceRef blk000000e8))
+ (portRef ACIN_19_ (instanceRef blk000000e8))
+ (portRef ACIN_18_ (instanceRef blk000000e8))
+ (portRef ACIN_17_ (instanceRef blk000000e8))
+ (portRef ACIN_16_ (instanceRef blk000000e8))
+ (portRef ACIN_15_ (instanceRef blk000000e8))
+ (portRef ACIN_14_ (instanceRef blk000000e8))
+ (portRef ACIN_13_ (instanceRef blk000000e8))
+ (portRef ACIN_12_ (instanceRef blk000000e8))
+ (portRef ACIN_11_ (instanceRef blk000000e8))
+ (portRef ACIN_10_ (instanceRef blk000000e8))
+ (portRef ACIN_9_ (instanceRef blk000000e8))
+ (portRef ACIN_8_ (instanceRef blk000000e8))
+ (portRef ACIN_7_ (instanceRef blk000000e8))
+ (portRef ACIN_6_ (instanceRef blk000000e8))
+ (portRef ACIN_5_ (instanceRef blk000000e8))
+ (portRef ACIN_4_ (instanceRef blk000000e8))
+ (portRef ACIN_3_ (instanceRef blk000000e8))
+ (portRef ACIN_2_ (instanceRef blk000000e8))
+ (portRef ACIN_1_ (instanceRef blk000000e8))
+ (portRef ACIN_0_ (instanceRef blk000000e8))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000e8))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000e8))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000e8))
+ (portRef RSTC (instanceRef blk000000e9))
+ (portRef CEB1 (instanceRef blk000000e9))
+ (portRef RSTM (instanceRef blk000000e9))
+ (portRef MULTSIGNIN (instanceRef blk000000e9))
+ (portRef RSTCTRL (instanceRef blk000000e9))
+ (portRef RSTA (instanceRef blk000000e9))
+ (portRef RSTALUMODE (instanceRef blk000000e9))
+ (portRef RSTALLCARRYIN (instanceRef blk000000e9))
+ (portRef RSTD (instanceRef blk000000e9))
+ (portRef CEA1 (instanceRef blk000000e9))
+ (portRef RSTB (instanceRef blk000000e9))
+ (portRef CARRYIN (instanceRef blk000000e9))
+ (portRef CARRYCASCIN (instanceRef blk000000e9))
+ (portRef RSTINMODE (instanceRef blk000000e9))
+ (portRef RSTP (instanceRef blk000000e9))
+ (portRef OPMODE_6_ (instanceRef blk000000e9))
+ (portRef OPMODE_5_ (instanceRef blk000000e9))
+ (portRef OPMODE_3_ (instanceRef blk000000e9))
+ (portRef OPMODE_1_ (instanceRef blk000000e9))
+ (portRef ALUMODE_3_ (instanceRef blk000000e9))
+ (portRef ALUMODE_2_ (instanceRef blk000000e9))
+ (portRef ALUMODE_1_ (instanceRef blk000000e9))
+ (portRef ALUMODE_0_ (instanceRef blk000000e9))
+ (portRef C_47_ (instanceRef blk000000e9))
+ (portRef C_46_ (instanceRef blk000000e9))
+ (portRef C_45_ (instanceRef blk000000e9))
+ (portRef C_44_ (instanceRef blk000000e9))
+ (portRef C_43_ (instanceRef blk000000e9))
+ (portRef C_42_ (instanceRef blk000000e9))
+ (portRef C_41_ (instanceRef blk000000e9))
+ (portRef C_40_ (instanceRef blk000000e9))
+ (portRef C_39_ (instanceRef blk000000e9))
+ (portRef C_38_ (instanceRef blk000000e9))
+ (portRef C_37_ (instanceRef blk000000e9))
+ (portRef C_36_ (instanceRef blk000000e9))
+ (portRef C_35_ (instanceRef blk000000e9))
+ (portRef C_34_ (instanceRef blk000000e9))
+ (portRef C_33_ (instanceRef blk000000e9))
+ (portRef C_32_ (instanceRef blk000000e9))
+ (portRef C_31_ (instanceRef blk000000e9))
+ (portRef C_30_ (instanceRef blk000000e9))
+ (portRef C_29_ (instanceRef blk000000e9))
+ (portRef C_28_ (instanceRef blk000000e9))
+ (portRef C_27_ (instanceRef blk000000e9))
+ (portRef C_26_ (instanceRef blk000000e9))
+ (portRef C_25_ (instanceRef blk000000e9))
+ (portRef C_24_ (instanceRef blk000000e9))
+ (portRef C_23_ (instanceRef blk000000e9))
+ (portRef C_22_ (instanceRef blk000000e9))
+ (portRef C_21_ (instanceRef blk000000e9))
+ (portRef C_20_ (instanceRef blk000000e9))
+ (portRef C_19_ (instanceRef blk000000e9))
+ (portRef C_18_ (instanceRef blk000000e9))
+ (portRef C_17_ (instanceRef blk000000e9))
+ (portRef C_16_ (instanceRef blk000000e9))
+ (portRef C_15_ (instanceRef blk000000e9))
+ (portRef C_14_ (instanceRef blk000000e9))
+ (portRef C_13_ (instanceRef blk000000e9))
+ (portRef C_12_ (instanceRef blk000000e9))
+ (portRef C_11_ (instanceRef blk000000e9))
+ (portRef C_10_ (instanceRef blk000000e9))
+ (portRef C_9_ (instanceRef blk000000e9))
+ (portRef C_8_ (instanceRef blk000000e9))
+ (portRef C_7_ (instanceRef blk000000e9))
+ (portRef C_6_ (instanceRef blk000000e9))
+ (portRef C_5_ (instanceRef blk000000e9))
+ (portRef C_4_ (instanceRef blk000000e9))
+ (portRef C_3_ (instanceRef blk000000e9))
+ (portRef C_2_ (instanceRef blk000000e9))
+ (portRef C_1_ (instanceRef blk000000e9))
+ (portRef C_0_ (instanceRef blk000000e9))
+ (portRef INMODE_4_ (instanceRef blk000000e9))
+ (portRef INMODE_3_ (instanceRef blk000000e9))
+ (portRef INMODE_1_ (instanceRef blk000000e9))
+ (portRef INMODE_0_ (instanceRef blk000000e9))
+ (portRef BCIN_17_ (instanceRef blk000000e9))
+ (portRef BCIN_16_ (instanceRef blk000000e9))
+ (portRef BCIN_15_ (instanceRef blk000000e9))
+ (portRef BCIN_14_ (instanceRef blk000000e9))
+ (portRef BCIN_13_ (instanceRef blk000000e9))
+ (portRef BCIN_12_ (instanceRef blk000000e9))
+ (portRef BCIN_11_ (instanceRef blk000000e9))
+ (portRef BCIN_10_ (instanceRef blk000000e9))
+ (portRef BCIN_9_ (instanceRef blk000000e9))
+ (portRef BCIN_8_ (instanceRef blk000000e9))
+ (portRef BCIN_7_ (instanceRef blk000000e9))
+ (portRef BCIN_6_ (instanceRef blk000000e9))
+ (portRef BCIN_5_ (instanceRef blk000000e9))
+ (portRef BCIN_4_ (instanceRef blk000000e9))
+ (portRef BCIN_3_ (instanceRef blk000000e9))
+ (portRef BCIN_2_ (instanceRef blk000000e9))
+ (portRef BCIN_1_ (instanceRef blk000000e9))
+ (portRef BCIN_0_ (instanceRef blk000000e9))
+ (portRef ACIN_29_ (instanceRef blk000000e9))
+ (portRef ACIN_28_ (instanceRef blk000000e9))
+ (portRef ACIN_27_ (instanceRef blk000000e9))
+ (portRef ACIN_26_ (instanceRef blk000000e9))
+ (portRef ACIN_25_ (instanceRef blk000000e9))
+ (portRef ACIN_24_ (instanceRef blk000000e9))
+ (portRef ACIN_23_ (instanceRef blk000000e9))
+ (portRef ACIN_22_ (instanceRef blk000000e9))
+ (portRef ACIN_21_ (instanceRef blk000000e9))
+ (portRef ACIN_20_ (instanceRef blk000000e9))
+ (portRef ACIN_19_ (instanceRef blk000000e9))
+ (portRef ACIN_18_ (instanceRef blk000000e9))
+ (portRef ACIN_17_ (instanceRef blk000000e9))
+ (portRef ACIN_16_ (instanceRef blk000000e9))
+ (portRef ACIN_15_ (instanceRef blk000000e9))
+ (portRef ACIN_14_ (instanceRef blk000000e9))
+ (portRef ACIN_13_ (instanceRef blk000000e9))
+ (portRef ACIN_12_ (instanceRef blk000000e9))
+ (portRef ACIN_11_ (instanceRef blk000000e9))
+ (portRef ACIN_10_ (instanceRef blk000000e9))
+ (portRef ACIN_9_ (instanceRef blk000000e9))
+ (portRef ACIN_8_ (instanceRef blk000000e9))
+ (portRef ACIN_7_ (instanceRef blk000000e9))
+ (portRef ACIN_6_ (instanceRef blk000000e9))
+ (portRef ACIN_5_ (instanceRef blk000000e9))
+ (portRef ACIN_4_ (instanceRef blk000000e9))
+ (portRef ACIN_3_ (instanceRef blk000000e9))
+ (portRef ACIN_2_ (instanceRef blk000000e9))
+ (portRef ACIN_1_ (instanceRef blk000000e9))
+ (portRef ACIN_0_ (instanceRef blk000000e9))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000e9))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000e9))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000e9))
+ (portRef RSTC (instanceRef blk000000ea))
+ (portRef CEB1 (instanceRef blk000000ea))
+ (portRef RSTM (instanceRef blk000000ea))
+ (portRef MULTSIGNIN (instanceRef blk000000ea))
+ (portRef RSTCTRL (instanceRef blk000000ea))
+ (portRef RSTA (instanceRef blk000000ea))
+ (portRef RSTALUMODE (instanceRef blk000000ea))
+ (portRef RSTALLCARRYIN (instanceRef blk000000ea))
+ (portRef RSTD (instanceRef blk000000ea))
+ (portRef CEA1 (instanceRef blk000000ea))
+ (portRef RSTB (instanceRef blk000000ea))
+ (portRef CARRYIN (instanceRef blk000000ea))
+ (portRef CARRYCASCIN (instanceRef blk000000ea))
+ (portRef RSTINMODE (instanceRef blk000000ea))
+ (portRef RSTP (instanceRef blk000000ea))
+ (portRef OPMODE_6_ (instanceRef blk000000ea))
+ (portRef OPMODE_5_ (instanceRef blk000000ea))
+ (portRef OPMODE_3_ (instanceRef blk000000ea))
+ (portRef OPMODE_1_ (instanceRef blk000000ea))
+ (portRef ALUMODE_3_ (instanceRef blk000000ea))
+ (portRef ALUMODE_2_ (instanceRef blk000000ea))
+ (portRef ALUMODE_1_ (instanceRef blk000000ea))
+ (portRef ALUMODE_0_ (instanceRef blk000000ea))
+ (portRef C_47_ (instanceRef blk000000ea))
+ (portRef C_46_ (instanceRef blk000000ea))
+ (portRef C_45_ (instanceRef blk000000ea))
+ (portRef C_44_ (instanceRef blk000000ea))
+ (portRef C_43_ (instanceRef blk000000ea))
+ (portRef C_42_ (instanceRef blk000000ea))
+ (portRef C_41_ (instanceRef blk000000ea))
+ (portRef C_40_ (instanceRef blk000000ea))
+ (portRef C_39_ (instanceRef blk000000ea))
+ (portRef C_38_ (instanceRef blk000000ea))
+ (portRef C_37_ (instanceRef blk000000ea))
+ (portRef C_36_ (instanceRef blk000000ea))
+ (portRef C_35_ (instanceRef blk000000ea))
+ (portRef C_34_ (instanceRef blk000000ea))
+ (portRef C_33_ (instanceRef blk000000ea))
+ (portRef C_32_ (instanceRef blk000000ea))
+ (portRef C_31_ (instanceRef blk000000ea))
+ (portRef C_30_ (instanceRef blk000000ea))
+ (portRef C_29_ (instanceRef blk000000ea))
+ (portRef C_28_ (instanceRef blk000000ea))
+ (portRef C_27_ (instanceRef blk000000ea))
+ (portRef C_26_ (instanceRef blk000000ea))
+ (portRef C_25_ (instanceRef blk000000ea))
+ (portRef C_24_ (instanceRef blk000000ea))
+ (portRef C_23_ (instanceRef blk000000ea))
+ (portRef C_22_ (instanceRef blk000000ea))
+ (portRef C_21_ (instanceRef blk000000ea))
+ (portRef C_20_ (instanceRef blk000000ea))
+ (portRef C_19_ (instanceRef blk000000ea))
+ (portRef C_18_ (instanceRef blk000000ea))
+ (portRef C_17_ (instanceRef blk000000ea))
+ (portRef C_16_ (instanceRef blk000000ea))
+ (portRef C_15_ (instanceRef blk000000ea))
+ (portRef C_14_ (instanceRef blk000000ea))
+ (portRef C_13_ (instanceRef blk000000ea))
+ (portRef C_12_ (instanceRef blk000000ea))
+ (portRef C_11_ (instanceRef blk000000ea))
+ (portRef C_10_ (instanceRef blk000000ea))
+ (portRef C_9_ (instanceRef blk000000ea))
+ (portRef C_8_ (instanceRef blk000000ea))
+ (portRef C_7_ (instanceRef blk000000ea))
+ (portRef C_6_ (instanceRef blk000000ea))
+ (portRef C_5_ (instanceRef blk000000ea))
+ (portRef C_4_ (instanceRef blk000000ea))
+ (portRef C_3_ (instanceRef blk000000ea))
+ (portRef C_2_ (instanceRef blk000000ea))
+ (portRef C_1_ (instanceRef blk000000ea))
+ (portRef C_0_ (instanceRef blk000000ea))
+ (portRef INMODE_4_ (instanceRef blk000000ea))
+ (portRef INMODE_3_ (instanceRef blk000000ea))
+ (portRef INMODE_1_ (instanceRef blk000000ea))
+ (portRef INMODE_0_ (instanceRef blk000000ea))
+ (portRef BCIN_17_ (instanceRef blk000000ea))
+ (portRef BCIN_16_ (instanceRef blk000000ea))
+ (portRef BCIN_15_ (instanceRef blk000000ea))
+ (portRef BCIN_14_ (instanceRef blk000000ea))
+ (portRef BCIN_13_ (instanceRef blk000000ea))
+ (portRef BCIN_12_ (instanceRef blk000000ea))
+ (portRef BCIN_11_ (instanceRef blk000000ea))
+ (portRef BCIN_10_ (instanceRef blk000000ea))
+ (portRef BCIN_9_ (instanceRef blk000000ea))
+ (portRef BCIN_8_ (instanceRef blk000000ea))
+ (portRef BCIN_7_ (instanceRef blk000000ea))
+ (portRef BCIN_6_ (instanceRef blk000000ea))
+ (portRef BCIN_5_ (instanceRef blk000000ea))
+ (portRef BCIN_4_ (instanceRef blk000000ea))
+ (portRef BCIN_3_ (instanceRef blk000000ea))
+ (portRef BCIN_2_ (instanceRef blk000000ea))
+ (portRef BCIN_1_ (instanceRef blk000000ea))
+ (portRef BCIN_0_ (instanceRef blk000000ea))
+ (portRef ACIN_29_ (instanceRef blk000000ea))
+ (portRef ACIN_28_ (instanceRef blk000000ea))
+ (portRef ACIN_27_ (instanceRef blk000000ea))
+ (portRef ACIN_26_ (instanceRef blk000000ea))
+ (portRef ACIN_25_ (instanceRef blk000000ea))
+ (portRef ACIN_24_ (instanceRef blk000000ea))
+ (portRef ACIN_23_ (instanceRef blk000000ea))
+ (portRef ACIN_22_ (instanceRef blk000000ea))
+ (portRef ACIN_21_ (instanceRef blk000000ea))
+ (portRef ACIN_20_ (instanceRef blk000000ea))
+ (portRef ACIN_19_ (instanceRef blk000000ea))
+ (portRef ACIN_18_ (instanceRef blk000000ea))
+ (portRef ACIN_17_ (instanceRef blk000000ea))
+ (portRef ACIN_16_ (instanceRef blk000000ea))
+ (portRef ACIN_15_ (instanceRef blk000000ea))
+ (portRef ACIN_14_ (instanceRef blk000000ea))
+ (portRef ACIN_13_ (instanceRef blk000000ea))
+ (portRef ACIN_12_ (instanceRef blk000000ea))
+ (portRef ACIN_11_ (instanceRef blk000000ea))
+ (portRef ACIN_10_ (instanceRef blk000000ea))
+ (portRef ACIN_9_ (instanceRef blk000000ea))
+ (portRef ACIN_8_ (instanceRef blk000000ea))
+ (portRef ACIN_7_ (instanceRef blk000000ea))
+ (portRef ACIN_6_ (instanceRef blk000000ea))
+ (portRef ACIN_5_ (instanceRef blk000000ea))
+ (portRef ACIN_4_ (instanceRef blk000000ea))
+ (portRef ACIN_3_ (instanceRef blk000000ea))
+ (portRef ACIN_2_ (instanceRef blk000000ea))
+ (portRef ACIN_1_ (instanceRef blk000000ea))
+ (portRef ACIN_0_ (instanceRef blk000000ea))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000ea))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000ea))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000ea))
+ (portRef RSTC (instanceRef blk000000eb))
+ (portRef CEB1 (instanceRef blk000000eb))
+ (portRef RSTM (instanceRef blk000000eb))
+ (portRef MULTSIGNIN (instanceRef blk000000eb))
+ (portRef RSTCTRL (instanceRef blk000000eb))
+ (portRef RSTA (instanceRef blk000000eb))
+ (portRef RSTALUMODE (instanceRef blk000000eb))
+ (portRef RSTALLCARRYIN (instanceRef blk000000eb))
+ (portRef RSTD (instanceRef blk000000eb))
+ (portRef CEA1 (instanceRef blk000000eb))
+ (portRef RSTB (instanceRef blk000000eb))
+ (portRef CARRYIN (instanceRef blk000000eb))
+ (portRef CARRYCASCIN (instanceRef blk000000eb))
+ (portRef RSTINMODE (instanceRef blk000000eb))
+ (portRef RSTP (instanceRef blk000000eb))
+ (portRef OPMODE_6_ (instanceRef blk000000eb))
+ (portRef OPMODE_5_ (instanceRef blk000000eb))
+ (portRef OPMODE_3_ (instanceRef blk000000eb))
+ (portRef OPMODE_1_ (instanceRef blk000000eb))
+ (portRef ALUMODE_3_ (instanceRef blk000000eb))
+ (portRef ALUMODE_2_ (instanceRef blk000000eb))
+ (portRef ALUMODE_1_ (instanceRef blk000000eb))
+ (portRef ALUMODE_0_ (instanceRef blk000000eb))
+ (portRef C_47_ (instanceRef blk000000eb))
+ (portRef C_46_ (instanceRef blk000000eb))
+ (portRef C_45_ (instanceRef blk000000eb))
+ (portRef C_44_ (instanceRef blk000000eb))
+ (portRef C_43_ (instanceRef blk000000eb))
+ (portRef C_42_ (instanceRef blk000000eb))
+ (portRef C_41_ (instanceRef blk000000eb))
+ (portRef C_40_ (instanceRef blk000000eb))
+ (portRef C_39_ (instanceRef blk000000eb))
+ (portRef C_38_ (instanceRef blk000000eb))
+ (portRef C_37_ (instanceRef blk000000eb))
+ (portRef C_36_ (instanceRef blk000000eb))
+ (portRef C_35_ (instanceRef blk000000eb))
+ (portRef C_34_ (instanceRef blk000000eb))
+ (portRef C_33_ (instanceRef blk000000eb))
+ (portRef C_32_ (instanceRef blk000000eb))
+ (portRef C_31_ (instanceRef blk000000eb))
+ (portRef C_30_ (instanceRef blk000000eb))
+ (portRef C_29_ (instanceRef blk000000eb))
+ (portRef C_28_ (instanceRef blk000000eb))
+ (portRef C_27_ (instanceRef blk000000eb))
+ (portRef C_26_ (instanceRef blk000000eb))
+ (portRef C_25_ (instanceRef blk000000eb))
+ (portRef C_24_ (instanceRef blk000000eb))
+ (portRef C_23_ (instanceRef blk000000eb))
+ (portRef C_22_ (instanceRef blk000000eb))
+ (portRef C_21_ (instanceRef blk000000eb))
+ (portRef C_20_ (instanceRef blk000000eb))
+ (portRef C_19_ (instanceRef blk000000eb))
+ (portRef C_18_ (instanceRef blk000000eb))
+ (portRef C_17_ (instanceRef blk000000eb))
+ (portRef C_16_ (instanceRef blk000000eb))
+ (portRef C_15_ (instanceRef blk000000eb))
+ (portRef C_14_ (instanceRef blk000000eb))
+ (portRef C_13_ (instanceRef blk000000eb))
+ (portRef C_12_ (instanceRef blk000000eb))
+ (portRef C_11_ (instanceRef blk000000eb))
+ (portRef C_10_ (instanceRef blk000000eb))
+ (portRef C_9_ (instanceRef blk000000eb))
+ (portRef C_8_ (instanceRef blk000000eb))
+ (portRef C_7_ (instanceRef blk000000eb))
+ (portRef C_6_ (instanceRef blk000000eb))
+ (portRef C_5_ (instanceRef blk000000eb))
+ (portRef C_4_ (instanceRef blk000000eb))
+ (portRef C_3_ (instanceRef blk000000eb))
+ (portRef C_2_ (instanceRef blk000000eb))
+ (portRef C_1_ (instanceRef blk000000eb))
+ (portRef C_0_ (instanceRef blk000000eb))
+ (portRef INMODE_4_ (instanceRef blk000000eb))
+ (portRef INMODE_3_ (instanceRef blk000000eb))
+ (portRef INMODE_1_ (instanceRef blk000000eb))
+ (portRef INMODE_0_ (instanceRef blk000000eb))
+ (portRef BCIN_17_ (instanceRef blk000000eb))
+ (portRef BCIN_16_ (instanceRef blk000000eb))
+ (portRef BCIN_15_ (instanceRef blk000000eb))
+ (portRef BCIN_14_ (instanceRef blk000000eb))
+ (portRef BCIN_13_ (instanceRef blk000000eb))
+ (portRef BCIN_12_ (instanceRef blk000000eb))
+ (portRef BCIN_11_ (instanceRef blk000000eb))
+ (portRef BCIN_10_ (instanceRef blk000000eb))
+ (portRef BCIN_9_ (instanceRef blk000000eb))
+ (portRef BCIN_8_ (instanceRef blk000000eb))
+ (portRef BCIN_7_ (instanceRef blk000000eb))
+ (portRef BCIN_6_ (instanceRef blk000000eb))
+ (portRef BCIN_5_ (instanceRef blk000000eb))
+ (portRef BCIN_4_ (instanceRef blk000000eb))
+ (portRef BCIN_3_ (instanceRef blk000000eb))
+ (portRef BCIN_2_ (instanceRef blk000000eb))
+ (portRef BCIN_1_ (instanceRef blk000000eb))
+ (portRef BCIN_0_ (instanceRef blk000000eb))
+ (portRef ACIN_29_ (instanceRef blk000000eb))
+ (portRef ACIN_28_ (instanceRef blk000000eb))
+ (portRef ACIN_27_ (instanceRef blk000000eb))
+ (portRef ACIN_26_ (instanceRef blk000000eb))
+ (portRef ACIN_25_ (instanceRef blk000000eb))
+ (portRef ACIN_24_ (instanceRef blk000000eb))
+ (portRef ACIN_23_ (instanceRef blk000000eb))
+ (portRef ACIN_22_ (instanceRef blk000000eb))
+ (portRef ACIN_21_ (instanceRef blk000000eb))
+ (portRef ACIN_20_ (instanceRef blk000000eb))
+ (portRef ACIN_19_ (instanceRef blk000000eb))
+ (portRef ACIN_18_ (instanceRef blk000000eb))
+ (portRef ACIN_17_ (instanceRef blk000000eb))
+ (portRef ACIN_16_ (instanceRef blk000000eb))
+ (portRef ACIN_15_ (instanceRef blk000000eb))
+ (portRef ACIN_14_ (instanceRef blk000000eb))
+ (portRef ACIN_13_ (instanceRef blk000000eb))
+ (portRef ACIN_12_ (instanceRef blk000000eb))
+ (portRef ACIN_11_ (instanceRef blk000000eb))
+ (portRef ACIN_10_ (instanceRef blk000000eb))
+ (portRef ACIN_9_ (instanceRef blk000000eb))
+ (portRef ACIN_8_ (instanceRef blk000000eb))
+ (portRef ACIN_7_ (instanceRef blk000000eb))
+ (portRef ACIN_6_ (instanceRef blk000000eb))
+ (portRef ACIN_5_ (instanceRef blk000000eb))
+ (portRef ACIN_4_ (instanceRef blk000000eb))
+ (portRef ACIN_3_ (instanceRef blk000000eb))
+ (portRef ACIN_2_ (instanceRef blk000000eb))
+ (portRef ACIN_1_ (instanceRef blk000000eb))
+ (portRef ACIN_0_ (instanceRef blk000000eb))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000eb))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000eb))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000eb))
+ (portRef RSTC (instanceRef blk000000ec))
+ (portRef CEB1 (instanceRef blk000000ec))
+ (portRef RSTM (instanceRef blk000000ec))
+ (portRef MULTSIGNIN (instanceRef blk000000ec))
+ (portRef RSTCTRL (instanceRef blk000000ec))
+ (portRef RSTA (instanceRef blk000000ec))
+ (portRef RSTALUMODE (instanceRef blk000000ec))
+ (portRef RSTALLCARRYIN (instanceRef blk000000ec))
+ (portRef RSTD (instanceRef blk000000ec))
+ (portRef CEA1 (instanceRef blk000000ec))
+ (portRef RSTB (instanceRef blk000000ec))
+ (portRef CARRYIN (instanceRef blk000000ec))
+ (portRef CARRYCASCIN (instanceRef blk000000ec))
+ (portRef RSTINMODE (instanceRef blk000000ec))
+ (portRef RSTP (instanceRef blk000000ec))
+ (portRef OPMODE_6_ (instanceRef blk000000ec))
+ (portRef OPMODE_5_ (instanceRef blk000000ec))
+ (portRef OPMODE_3_ (instanceRef blk000000ec))
+ (portRef OPMODE_1_ (instanceRef blk000000ec))
+ (portRef ALUMODE_3_ (instanceRef blk000000ec))
+ (portRef ALUMODE_2_ (instanceRef blk000000ec))
+ (portRef ALUMODE_1_ (instanceRef blk000000ec))
+ (portRef ALUMODE_0_ (instanceRef blk000000ec))
+ (portRef C_47_ (instanceRef blk000000ec))
+ (portRef C_46_ (instanceRef blk000000ec))
+ (portRef C_45_ (instanceRef blk000000ec))
+ (portRef C_44_ (instanceRef blk000000ec))
+ (portRef C_43_ (instanceRef blk000000ec))
+ (portRef C_42_ (instanceRef blk000000ec))
+ (portRef C_41_ (instanceRef blk000000ec))
+ (portRef C_40_ (instanceRef blk000000ec))
+ (portRef C_39_ (instanceRef blk000000ec))
+ (portRef C_38_ (instanceRef blk000000ec))
+ (portRef C_37_ (instanceRef blk000000ec))
+ (portRef C_36_ (instanceRef blk000000ec))
+ (portRef C_35_ (instanceRef blk000000ec))
+ (portRef C_34_ (instanceRef blk000000ec))
+ (portRef C_33_ (instanceRef blk000000ec))
+ (portRef C_32_ (instanceRef blk000000ec))
+ (portRef C_31_ (instanceRef blk000000ec))
+ (portRef C_30_ (instanceRef blk000000ec))
+ (portRef C_29_ (instanceRef blk000000ec))
+ (portRef C_28_ (instanceRef blk000000ec))
+ (portRef C_27_ (instanceRef blk000000ec))
+ (portRef C_26_ (instanceRef blk000000ec))
+ (portRef C_25_ (instanceRef blk000000ec))
+ (portRef C_24_ (instanceRef blk000000ec))
+ (portRef C_23_ (instanceRef blk000000ec))
+ (portRef C_22_ (instanceRef blk000000ec))
+ (portRef C_21_ (instanceRef blk000000ec))
+ (portRef C_20_ (instanceRef blk000000ec))
+ (portRef C_19_ (instanceRef blk000000ec))
+ (portRef C_18_ (instanceRef blk000000ec))
+ (portRef C_17_ (instanceRef blk000000ec))
+ (portRef C_16_ (instanceRef blk000000ec))
+ (portRef C_15_ (instanceRef blk000000ec))
+ (portRef C_14_ (instanceRef blk000000ec))
+ (portRef C_13_ (instanceRef blk000000ec))
+ (portRef C_12_ (instanceRef blk000000ec))
+ (portRef C_11_ (instanceRef blk000000ec))
+ (portRef C_10_ (instanceRef blk000000ec))
+ (portRef C_9_ (instanceRef blk000000ec))
+ (portRef C_8_ (instanceRef blk000000ec))
+ (portRef C_7_ (instanceRef blk000000ec))
+ (portRef C_6_ (instanceRef blk000000ec))
+ (portRef C_5_ (instanceRef blk000000ec))
+ (portRef C_4_ (instanceRef blk000000ec))
+ (portRef C_3_ (instanceRef blk000000ec))
+ (portRef C_2_ (instanceRef blk000000ec))
+ (portRef C_1_ (instanceRef blk000000ec))
+ (portRef C_0_ (instanceRef blk000000ec))
+ (portRef INMODE_4_ (instanceRef blk000000ec))
+ (portRef INMODE_3_ (instanceRef blk000000ec))
+ (portRef INMODE_1_ (instanceRef blk000000ec))
+ (portRef INMODE_0_ (instanceRef blk000000ec))
+ (portRef BCIN_17_ (instanceRef blk000000ec))
+ (portRef BCIN_16_ (instanceRef blk000000ec))
+ (portRef BCIN_15_ (instanceRef blk000000ec))
+ (portRef BCIN_14_ (instanceRef blk000000ec))
+ (portRef BCIN_13_ (instanceRef blk000000ec))
+ (portRef BCIN_12_ (instanceRef blk000000ec))
+ (portRef BCIN_11_ (instanceRef blk000000ec))
+ (portRef BCIN_10_ (instanceRef blk000000ec))
+ (portRef BCIN_9_ (instanceRef blk000000ec))
+ (portRef BCIN_8_ (instanceRef blk000000ec))
+ (portRef BCIN_7_ (instanceRef blk000000ec))
+ (portRef BCIN_6_ (instanceRef blk000000ec))
+ (portRef BCIN_5_ (instanceRef blk000000ec))
+ (portRef BCIN_4_ (instanceRef blk000000ec))
+ (portRef BCIN_3_ (instanceRef blk000000ec))
+ (portRef BCIN_2_ (instanceRef blk000000ec))
+ (portRef BCIN_1_ (instanceRef blk000000ec))
+ (portRef BCIN_0_ (instanceRef blk000000ec))
+ (portRef ACIN_29_ (instanceRef blk000000ec))
+ (portRef ACIN_28_ (instanceRef blk000000ec))
+ (portRef ACIN_27_ (instanceRef blk000000ec))
+ (portRef ACIN_26_ (instanceRef blk000000ec))
+ (portRef ACIN_25_ (instanceRef blk000000ec))
+ (portRef ACIN_24_ (instanceRef blk000000ec))
+ (portRef ACIN_23_ (instanceRef blk000000ec))
+ (portRef ACIN_22_ (instanceRef blk000000ec))
+ (portRef ACIN_21_ (instanceRef blk000000ec))
+ (portRef ACIN_20_ (instanceRef blk000000ec))
+ (portRef ACIN_19_ (instanceRef blk000000ec))
+ (portRef ACIN_18_ (instanceRef blk000000ec))
+ (portRef ACIN_17_ (instanceRef blk000000ec))
+ (portRef ACIN_16_ (instanceRef blk000000ec))
+ (portRef ACIN_15_ (instanceRef blk000000ec))
+ (portRef ACIN_14_ (instanceRef blk000000ec))
+ (portRef ACIN_13_ (instanceRef blk000000ec))
+ (portRef ACIN_12_ (instanceRef blk000000ec))
+ (portRef ACIN_11_ (instanceRef blk000000ec))
+ (portRef ACIN_10_ (instanceRef blk000000ec))
+ (portRef ACIN_9_ (instanceRef blk000000ec))
+ (portRef ACIN_8_ (instanceRef blk000000ec))
+ (portRef ACIN_7_ (instanceRef blk000000ec))
+ (portRef ACIN_6_ (instanceRef blk000000ec))
+ (portRef ACIN_5_ (instanceRef blk000000ec))
+ (portRef ACIN_4_ (instanceRef blk000000ec))
+ (portRef ACIN_3_ (instanceRef blk000000ec))
+ (portRef ACIN_2_ (instanceRef blk000000ec))
+ (portRef ACIN_1_ (instanceRef blk000000ec))
+ (portRef ACIN_0_ (instanceRef blk000000ec))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000ec))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000ec))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000ec))
+ (portRef RSTC (instanceRef blk000000ed))
+ (portRef CEB1 (instanceRef blk000000ed))
+ (portRef RSTM (instanceRef blk000000ed))
+ (portRef MULTSIGNIN (instanceRef blk000000ed))
+ (portRef RSTCTRL (instanceRef blk000000ed))
+ (portRef RSTA (instanceRef blk000000ed))
+ (portRef RSTALUMODE (instanceRef blk000000ed))
+ (portRef RSTALLCARRYIN (instanceRef blk000000ed))
+ (portRef RSTD (instanceRef blk000000ed))
+ (portRef CEA1 (instanceRef blk000000ed))
+ (portRef RSTB (instanceRef blk000000ed))
+ (portRef CARRYIN (instanceRef blk000000ed))
+ (portRef CARRYCASCIN (instanceRef blk000000ed))
+ (portRef RSTINMODE (instanceRef blk000000ed))
+ (portRef RSTP (instanceRef blk000000ed))
+ (portRef OPMODE_6_ (instanceRef blk000000ed))
+ (portRef OPMODE_5_ (instanceRef blk000000ed))
+ (portRef OPMODE_3_ (instanceRef blk000000ed))
+ (portRef OPMODE_1_ (instanceRef blk000000ed))
+ (portRef ALUMODE_3_ (instanceRef blk000000ed))
+ (portRef ALUMODE_2_ (instanceRef blk000000ed))
+ (portRef ALUMODE_1_ (instanceRef blk000000ed))
+ (portRef ALUMODE_0_ (instanceRef blk000000ed))
+ (portRef C_47_ (instanceRef blk000000ed))
+ (portRef C_46_ (instanceRef blk000000ed))
+ (portRef C_45_ (instanceRef blk000000ed))
+ (portRef C_44_ (instanceRef blk000000ed))
+ (portRef C_43_ (instanceRef blk000000ed))
+ (portRef C_42_ (instanceRef blk000000ed))
+ (portRef C_41_ (instanceRef blk000000ed))
+ (portRef C_40_ (instanceRef blk000000ed))
+ (portRef C_39_ (instanceRef blk000000ed))
+ (portRef C_38_ (instanceRef blk000000ed))
+ (portRef C_37_ (instanceRef blk000000ed))
+ (portRef C_36_ (instanceRef blk000000ed))
+ (portRef C_35_ (instanceRef blk000000ed))
+ (portRef C_34_ (instanceRef blk000000ed))
+ (portRef C_33_ (instanceRef blk000000ed))
+ (portRef C_32_ (instanceRef blk000000ed))
+ (portRef C_31_ (instanceRef blk000000ed))
+ (portRef C_30_ (instanceRef blk000000ed))
+ (portRef C_29_ (instanceRef blk000000ed))
+ (portRef C_28_ (instanceRef blk000000ed))
+ (portRef C_27_ (instanceRef blk000000ed))
+ (portRef C_26_ (instanceRef blk000000ed))
+ (portRef C_25_ (instanceRef blk000000ed))
+ (portRef C_24_ (instanceRef blk000000ed))
+ (portRef C_23_ (instanceRef blk000000ed))
+ (portRef C_22_ (instanceRef blk000000ed))
+ (portRef C_21_ (instanceRef blk000000ed))
+ (portRef C_20_ (instanceRef blk000000ed))
+ (portRef C_19_ (instanceRef blk000000ed))
+ (portRef C_18_ (instanceRef blk000000ed))
+ (portRef C_17_ (instanceRef blk000000ed))
+ (portRef C_16_ (instanceRef blk000000ed))
+ (portRef C_15_ (instanceRef blk000000ed))
+ (portRef C_14_ (instanceRef blk000000ed))
+ (portRef C_13_ (instanceRef blk000000ed))
+ (portRef C_12_ (instanceRef blk000000ed))
+ (portRef C_11_ (instanceRef blk000000ed))
+ (portRef C_10_ (instanceRef blk000000ed))
+ (portRef C_9_ (instanceRef blk000000ed))
+ (portRef C_8_ (instanceRef blk000000ed))
+ (portRef C_7_ (instanceRef blk000000ed))
+ (portRef C_6_ (instanceRef blk000000ed))
+ (portRef C_5_ (instanceRef blk000000ed))
+ (portRef C_4_ (instanceRef blk000000ed))
+ (portRef C_3_ (instanceRef blk000000ed))
+ (portRef C_2_ (instanceRef blk000000ed))
+ (portRef C_1_ (instanceRef blk000000ed))
+ (portRef C_0_ (instanceRef blk000000ed))
+ (portRef INMODE_4_ (instanceRef blk000000ed))
+ (portRef INMODE_3_ (instanceRef blk000000ed))
+ (portRef INMODE_1_ (instanceRef blk000000ed))
+ (portRef INMODE_0_ (instanceRef blk000000ed))
+ (portRef BCIN_17_ (instanceRef blk000000ed))
+ (portRef BCIN_16_ (instanceRef blk000000ed))
+ (portRef BCIN_15_ (instanceRef blk000000ed))
+ (portRef BCIN_14_ (instanceRef blk000000ed))
+ (portRef BCIN_13_ (instanceRef blk000000ed))
+ (portRef BCIN_12_ (instanceRef blk000000ed))
+ (portRef BCIN_11_ (instanceRef blk000000ed))
+ (portRef BCIN_10_ (instanceRef blk000000ed))
+ (portRef BCIN_9_ (instanceRef blk000000ed))
+ (portRef BCIN_8_ (instanceRef blk000000ed))
+ (portRef BCIN_7_ (instanceRef blk000000ed))
+ (portRef BCIN_6_ (instanceRef blk000000ed))
+ (portRef BCIN_5_ (instanceRef blk000000ed))
+ (portRef BCIN_4_ (instanceRef blk000000ed))
+ (portRef BCIN_3_ (instanceRef blk000000ed))
+ (portRef BCIN_2_ (instanceRef blk000000ed))
+ (portRef BCIN_1_ (instanceRef blk000000ed))
+ (portRef BCIN_0_ (instanceRef blk000000ed))
+ (portRef ACIN_29_ (instanceRef blk000000ed))
+ (portRef ACIN_28_ (instanceRef blk000000ed))
+ (portRef ACIN_27_ (instanceRef blk000000ed))
+ (portRef ACIN_26_ (instanceRef blk000000ed))
+ (portRef ACIN_25_ (instanceRef blk000000ed))
+ (portRef ACIN_24_ (instanceRef blk000000ed))
+ (portRef ACIN_23_ (instanceRef blk000000ed))
+ (portRef ACIN_22_ (instanceRef blk000000ed))
+ (portRef ACIN_21_ (instanceRef blk000000ed))
+ (portRef ACIN_20_ (instanceRef blk000000ed))
+ (portRef ACIN_19_ (instanceRef blk000000ed))
+ (portRef ACIN_18_ (instanceRef blk000000ed))
+ (portRef ACIN_17_ (instanceRef blk000000ed))
+ (portRef ACIN_16_ (instanceRef blk000000ed))
+ (portRef ACIN_15_ (instanceRef blk000000ed))
+ (portRef ACIN_14_ (instanceRef blk000000ed))
+ (portRef ACIN_13_ (instanceRef blk000000ed))
+ (portRef ACIN_12_ (instanceRef blk000000ed))
+ (portRef ACIN_11_ (instanceRef blk000000ed))
+ (portRef ACIN_10_ (instanceRef blk000000ed))
+ (portRef ACIN_9_ (instanceRef blk000000ed))
+ (portRef ACIN_8_ (instanceRef blk000000ed))
+ (portRef ACIN_7_ (instanceRef blk000000ed))
+ (portRef ACIN_6_ (instanceRef blk000000ed))
+ (portRef ACIN_5_ (instanceRef blk000000ed))
+ (portRef ACIN_4_ (instanceRef blk000000ed))
+ (portRef ACIN_3_ (instanceRef blk000000ed))
+ (portRef ACIN_2_ (instanceRef blk000000ed))
+ (portRef ACIN_1_ (instanceRef blk000000ed))
+ (portRef ACIN_0_ (instanceRef blk000000ed))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000ed))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000ed))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000ed))
+ (portRef RSTC (instanceRef blk000000ee))
+ (portRef CEB1 (instanceRef blk000000ee))
+ (portRef RSTM (instanceRef blk000000ee))
+ (portRef MULTSIGNIN (instanceRef blk000000ee))
+ (portRef RSTCTRL (instanceRef blk000000ee))
+ (portRef RSTA (instanceRef blk000000ee))
+ (portRef RSTALUMODE (instanceRef blk000000ee))
+ (portRef RSTALLCARRYIN (instanceRef blk000000ee))
+ (portRef RSTD (instanceRef blk000000ee))
+ (portRef CEA1 (instanceRef blk000000ee))
+ (portRef RSTB (instanceRef blk000000ee))
+ (portRef CARRYIN (instanceRef blk000000ee))
+ (portRef CARRYCASCIN (instanceRef blk000000ee))
+ (portRef RSTINMODE (instanceRef blk000000ee))
+ (portRef RSTP (instanceRef blk000000ee))
+ (portRef OPMODE_6_ (instanceRef blk000000ee))
+ (portRef OPMODE_5_ (instanceRef blk000000ee))
+ (portRef OPMODE_3_ (instanceRef blk000000ee))
+ (portRef OPMODE_1_ (instanceRef blk000000ee))
+ (portRef ALUMODE_3_ (instanceRef blk000000ee))
+ (portRef ALUMODE_2_ (instanceRef blk000000ee))
+ (portRef ALUMODE_1_ (instanceRef blk000000ee))
+ (portRef ALUMODE_0_ (instanceRef blk000000ee))
+ (portRef C_47_ (instanceRef blk000000ee))
+ (portRef C_46_ (instanceRef blk000000ee))
+ (portRef C_45_ (instanceRef blk000000ee))
+ (portRef C_44_ (instanceRef blk000000ee))
+ (portRef C_43_ (instanceRef blk000000ee))
+ (portRef C_42_ (instanceRef blk000000ee))
+ (portRef C_41_ (instanceRef blk000000ee))
+ (portRef C_40_ (instanceRef blk000000ee))
+ (portRef C_39_ (instanceRef blk000000ee))
+ (portRef C_38_ (instanceRef blk000000ee))
+ (portRef C_37_ (instanceRef blk000000ee))
+ (portRef C_36_ (instanceRef blk000000ee))
+ (portRef C_35_ (instanceRef blk000000ee))
+ (portRef C_34_ (instanceRef blk000000ee))
+ (portRef C_33_ (instanceRef blk000000ee))
+ (portRef C_32_ (instanceRef blk000000ee))
+ (portRef C_31_ (instanceRef blk000000ee))
+ (portRef C_30_ (instanceRef blk000000ee))
+ (portRef C_29_ (instanceRef blk000000ee))
+ (portRef C_28_ (instanceRef blk000000ee))
+ (portRef C_27_ (instanceRef blk000000ee))
+ (portRef C_26_ (instanceRef blk000000ee))
+ (portRef C_25_ (instanceRef blk000000ee))
+ (portRef C_24_ (instanceRef blk000000ee))
+ (portRef C_23_ (instanceRef blk000000ee))
+ (portRef C_22_ (instanceRef blk000000ee))
+ (portRef C_21_ (instanceRef blk000000ee))
+ (portRef C_20_ (instanceRef blk000000ee))
+ (portRef C_19_ (instanceRef blk000000ee))
+ (portRef C_18_ (instanceRef blk000000ee))
+ (portRef C_17_ (instanceRef blk000000ee))
+ (portRef C_16_ (instanceRef blk000000ee))
+ (portRef C_15_ (instanceRef blk000000ee))
+ (portRef C_14_ (instanceRef blk000000ee))
+ (portRef C_13_ (instanceRef blk000000ee))
+ (portRef C_12_ (instanceRef blk000000ee))
+ (portRef C_11_ (instanceRef blk000000ee))
+ (portRef C_10_ (instanceRef blk000000ee))
+ (portRef C_9_ (instanceRef blk000000ee))
+ (portRef C_8_ (instanceRef blk000000ee))
+ (portRef C_7_ (instanceRef blk000000ee))
+ (portRef C_6_ (instanceRef blk000000ee))
+ (portRef C_5_ (instanceRef blk000000ee))
+ (portRef C_4_ (instanceRef blk000000ee))
+ (portRef C_3_ (instanceRef blk000000ee))
+ (portRef C_2_ (instanceRef blk000000ee))
+ (portRef C_1_ (instanceRef blk000000ee))
+ (portRef C_0_ (instanceRef blk000000ee))
+ (portRef INMODE_4_ (instanceRef blk000000ee))
+ (portRef INMODE_3_ (instanceRef blk000000ee))
+ (portRef INMODE_1_ (instanceRef blk000000ee))
+ (portRef INMODE_0_ (instanceRef blk000000ee))
+ (portRef BCIN_17_ (instanceRef blk000000ee))
+ (portRef BCIN_16_ (instanceRef blk000000ee))
+ (portRef BCIN_15_ (instanceRef blk000000ee))
+ (portRef BCIN_14_ (instanceRef blk000000ee))
+ (portRef BCIN_13_ (instanceRef blk000000ee))
+ (portRef BCIN_12_ (instanceRef blk000000ee))
+ (portRef BCIN_11_ (instanceRef blk000000ee))
+ (portRef BCIN_10_ (instanceRef blk000000ee))
+ (portRef BCIN_9_ (instanceRef blk000000ee))
+ (portRef BCIN_8_ (instanceRef blk000000ee))
+ (portRef BCIN_7_ (instanceRef blk000000ee))
+ (portRef BCIN_6_ (instanceRef blk000000ee))
+ (portRef BCIN_5_ (instanceRef blk000000ee))
+ (portRef BCIN_4_ (instanceRef blk000000ee))
+ (portRef BCIN_3_ (instanceRef blk000000ee))
+ (portRef BCIN_2_ (instanceRef blk000000ee))
+ (portRef BCIN_1_ (instanceRef blk000000ee))
+ (portRef BCIN_0_ (instanceRef blk000000ee))
+ (portRef ACIN_29_ (instanceRef blk000000ee))
+ (portRef ACIN_28_ (instanceRef blk000000ee))
+ (portRef ACIN_27_ (instanceRef blk000000ee))
+ (portRef ACIN_26_ (instanceRef blk000000ee))
+ (portRef ACIN_25_ (instanceRef blk000000ee))
+ (portRef ACIN_24_ (instanceRef blk000000ee))
+ (portRef ACIN_23_ (instanceRef blk000000ee))
+ (portRef ACIN_22_ (instanceRef blk000000ee))
+ (portRef ACIN_21_ (instanceRef blk000000ee))
+ (portRef ACIN_20_ (instanceRef blk000000ee))
+ (portRef ACIN_19_ (instanceRef blk000000ee))
+ (portRef ACIN_18_ (instanceRef blk000000ee))
+ (portRef ACIN_17_ (instanceRef blk000000ee))
+ (portRef ACIN_16_ (instanceRef blk000000ee))
+ (portRef ACIN_15_ (instanceRef blk000000ee))
+ (portRef ACIN_14_ (instanceRef blk000000ee))
+ (portRef ACIN_13_ (instanceRef blk000000ee))
+ (portRef ACIN_12_ (instanceRef blk000000ee))
+ (portRef ACIN_11_ (instanceRef blk000000ee))
+ (portRef ACIN_10_ (instanceRef blk000000ee))
+ (portRef ACIN_9_ (instanceRef blk000000ee))
+ (portRef ACIN_8_ (instanceRef blk000000ee))
+ (portRef ACIN_7_ (instanceRef blk000000ee))
+ (portRef ACIN_6_ (instanceRef blk000000ee))
+ (portRef ACIN_5_ (instanceRef blk000000ee))
+ (portRef ACIN_4_ (instanceRef blk000000ee))
+ (portRef ACIN_3_ (instanceRef blk000000ee))
+ (portRef ACIN_2_ (instanceRef blk000000ee))
+ (portRef ACIN_1_ (instanceRef blk000000ee))
+ (portRef ACIN_0_ (instanceRef blk000000ee))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000ee))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000ee))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000ee))
+ (portRef RSTC (instanceRef blk000000ef))
+ (portRef CEB1 (instanceRef blk000000ef))
+ (portRef RSTM (instanceRef blk000000ef))
+ (portRef MULTSIGNIN (instanceRef blk000000ef))
+ (portRef RSTCTRL (instanceRef blk000000ef))
+ (portRef RSTA (instanceRef blk000000ef))
+ (portRef RSTALUMODE (instanceRef blk000000ef))
+ (portRef RSTALLCARRYIN (instanceRef blk000000ef))
+ (portRef RSTD (instanceRef blk000000ef))
+ (portRef CEA1 (instanceRef blk000000ef))
+ (portRef RSTB (instanceRef blk000000ef))
+ (portRef CARRYIN (instanceRef blk000000ef))
+ (portRef CARRYCASCIN (instanceRef blk000000ef))
+ (portRef RSTINMODE (instanceRef blk000000ef))
+ (portRef RSTP (instanceRef blk000000ef))
+ (portRef OPMODE_6_ (instanceRef blk000000ef))
+ (portRef OPMODE_5_ (instanceRef blk000000ef))
+ (portRef OPMODE_3_ (instanceRef blk000000ef))
+ (portRef OPMODE_1_ (instanceRef blk000000ef))
+ (portRef ALUMODE_3_ (instanceRef blk000000ef))
+ (portRef ALUMODE_2_ (instanceRef blk000000ef))
+ (portRef ALUMODE_1_ (instanceRef blk000000ef))
+ (portRef ALUMODE_0_ (instanceRef blk000000ef))
+ (portRef C_47_ (instanceRef blk000000ef))
+ (portRef C_46_ (instanceRef blk000000ef))
+ (portRef C_45_ (instanceRef blk000000ef))
+ (portRef C_44_ (instanceRef blk000000ef))
+ (portRef C_43_ (instanceRef blk000000ef))
+ (portRef C_42_ (instanceRef blk000000ef))
+ (portRef C_41_ (instanceRef blk000000ef))
+ (portRef C_40_ (instanceRef blk000000ef))
+ (portRef C_39_ (instanceRef blk000000ef))
+ (portRef C_38_ (instanceRef blk000000ef))
+ (portRef C_37_ (instanceRef blk000000ef))
+ (portRef C_36_ (instanceRef blk000000ef))
+ (portRef C_35_ (instanceRef blk000000ef))
+ (portRef C_34_ (instanceRef blk000000ef))
+ (portRef C_33_ (instanceRef blk000000ef))
+ (portRef C_32_ (instanceRef blk000000ef))
+ (portRef C_31_ (instanceRef blk000000ef))
+ (portRef C_30_ (instanceRef blk000000ef))
+ (portRef C_29_ (instanceRef blk000000ef))
+ (portRef C_28_ (instanceRef blk000000ef))
+ (portRef C_27_ (instanceRef blk000000ef))
+ (portRef C_26_ (instanceRef blk000000ef))
+ (portRef C_25_ (instanceRef blk000000ef))
+ (portRef C_24_ (instanceRef blk000000ef))
+ (portRef C_23_ (instanceRef blk000000ef))
+ (portRef C_22_ (instanceRef blk000000ef))
+ (portRef C_21_ (instanceRef blk000000ef))
+ (portRef C_20_ (instanceRef blk000000ef))
+ (portRef C_19_ (instanceRef blk000000ef))
+ (portRef C_18_ (instanceRef blk000000ef))
+ (portRef C_17_ (instanceRef blk000000ef))
+ (portRef C_16_ (instanceRef blk000000ef))
+ (portRef C_15_ (instanceRef blk000000ef))
+ (portRef C_14_ (instanceRef blk000000ef))
+ (portRef C_13_ (instanceRef blk000000ef))
+ (portRef C_12_ (instanceRef blk000000ef))
+ (portRef C_11_ (instanceRef blk000000ef))
+ (portRef C_10_ (instanceRef blk000000ef))
+ (portRef C_9_ (instanceRef blk000000ef))
+ (portRef C_8_ (instanceRef blk000000ef))
+ (portRef C_7_ (instanceRef blk000000ef))
+ (portRef C_6_ (instanceRef blk000000ef))
+ (portRef C_5_ (instanceRef blk000000ef))
+ (portRef C_4_ (instanceRef blk000000ef))
+ (portRef C_3_ (instanceRef blk000000ef))
+ (portRef C_2_ (instanceRef blk000000ef))
+ (portRef C_1_ (instanceRef blk000000ef))
+ (portRef C_0_ (instanceRef blk000000ef))
+ (portRef INMODE_4_ (instanceRef blk000000ef))
+ (portRef INMODE_3_ (instanceRef blk000000ef))
+ (portRef INMODE_1_ (instanceRef blk000000ef))
+ (portRef INMODE_0_ (instanceRef blk000000ef))
+ (portRef BCIN_17_ (instanceRef blk000000ef))
+ (portRef BCIN_16_ (instanceRef blk000000ef))
+ (portRef BCIN_15_ (instanceRef blk000000ef))
+ (portRef BCIN_14_ (instanceRef blk000000ef))
+ (portRef BCIN_13_ (instanceRef blk000000ef))
+ (portRef BCIN_12_ (instanceRef blk000000ef))
+ (portRef BCIN_11_ (instanceRef blk000000ef))
+ (portRef BCIN_10_ (instanceRef blk000000ef))
+ (portRef BCIN_9_ (instanceRef blk000000ef))
+ (portRef BCIN_8_ (instanceRef blk000000ef))
+ (portRef BCIN_7_ (instanceRef blk000000ef))
+ (portRef BCIN_6_ (instanceRef blk000000ef))
+ (portRef BCIN_5_ (instanceRef blk000000ef))
+ (portRef BCIN_4_ (instanceRef blk000000ef))
+ (portRef BCIN_3_ (instanceRef blk000000ef))
+ (portRef BCIN_2_ (instanceRef blk000000ef))
+ (portRef BCIN_1_ (instanceRef blk000000ef))
+ (portRef BCIN_0_ (instanceRef blk000000ef))
+ (portRef ACIN_29_ (instanceRef blk000000ef))
+ (portRef ACIN_28_ (instanceRef blk000000ef))
+ (portRef ACIN_27_ (instanceRef blk000000ef))
+ (portRef ACIN_26_ (instanceRef blk000000ef))
+ (portRef ACIN_25_ (instanceRef blk000000ef))
+ (portRef ACIN_24_ (instanceRef blk000000ef))
+ (portRef ACIN_23_ (instanceRef blk000000ef))
+ (portRef ACIN_22_ (instanceRef blk000000ef))
+ (portRef ACIN_21_ (instanceRef blk000000ef))
+ (portRef ACIN_20_ (instanceRef blk000000ef))
+ (portRef ACIN_19_ (instanceRef blk000000ef))
+ (portRef ACIN_18_ (instanceRef blk000000ef))
+ (portRef ACIN_17_ (instanceRef blk000000ef))
+ (portRef ACIN_16_ (instanceRef blk000000ef))
+ (portRef ACIN_15_ (instanceRef blk000000ef))
+ (portRef ACIN_14_ (instanceRef blk000000ef))
+ (portRef ACIN_13_ (instanceRef blk000000ef))
+ (portRef ACIN_12_ (instanceRef blk000000ef))
+ (portRef ACIN_11_ (instanceRef blk000000ef))
+ (portRef ACIN_10_ (instanceRef blk000000ef))
+ (portRef ACIN_9_ (instanceRef blk000000ef))
+ (portRef ACIN_8_ (instanceRef blk000000ef))
+ (portRef ACIN_7_ (instanceRef blk000000ef))
+ (portRef ACIN_6_ (instanceRef blk000000ef))
+ (portRef ACIN_5_ (instanceRef blk000000ef))
+ (portRef ACIN_4_ (instanceRef blk000000ef))
+ (portRef ACIN_3_ (instanceRef blk000000ef))
+ (portRef ACIN_2_ (instanceRef blk000000ef))
+ (portRef ACIN_1_ (instanceRef blk000000ef))
+ (portRef ACIN_0_ (instanceRef blk000000ef))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000ef))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000ef))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000ef))
+ (portRef RSTC (instanceRef blk000000f0))
+ (portRef CEB1 (instanceRef blk000000f0))
+ (portRef RSTM (instanceRef blk000000f0))
+ (portRef MULTSIGNIN (instanceRef blk000000f0))
+ (portRef RSTCTRL (instanceRef blk000000f0))
+ (portRef RSTA (instanceRef blk000000f0))
+ (portRef RSTALUMODE (instanceRef blk000000f0))
+ (portRef RSTALLCARRYIN (instanceRef blk000000f0))
+ (portRef RSTD (instanceRef blk000000f0))
+ (portRef CEA1 (instanceRef blk000000f0))
+ (portRef RSTB (instanceRef blk000000f0))
+ (portRef CARRYIN (instanceRef blk000000f0))
+ (portRef CARRYCASCIN (instanceRef blk000000f0))
+ (portRef RSTINMODE (instanceRef blk000000f0))
+ (portRef RSTP (instanceRef blk000000f0))
+ (portRef OPMODE_6_ (instanceRef blk000000f0))
+ (portRef OPMODE_5_ (instanceRef blk000000f0))
+ (portRef OPMODE_3_ (instanceRef blk000000f0))
+ (portRef OPMODE_1_ (instanceRef blk000000f0))
+ (portRef ALUMODE_3_ (instanceRef blk000000f0))
+ (portRef ALUMODE_2_ (instanceRef blk000000f0))
+ (portRef ALUMODE_1_ (instanceRef blk000000f0))
+ (portRef ALUMODE_0_ (instanceRef blk000000f0))
+ (portRef C_47_ (instanceRef blk000000f0))
+ (portRef C_46_ (instanceRef blk000000f0))
+ (portRef C_45_ (instanceRef blk000000f0))
+ (portRef C_44_ (instanceRef blk000000f0))
+ (portRef C_43_ (instanceRef blk000000f0))
+ (portRef C_42_ (instanceRef blk000000f0))
+ (portRef C_41_ (instanceRef blk000000f0))
+ (portRef C_40_ (instanceRef blk000000f0))
+ (portRef C_39_ (instanceRef blk000000f0))
+ (portRef C_38_ (instanceRef blk000000f0))
+ (portRef C_37_ (instanceRef blk000000f0))
+ (portRef C_36_ (instanceRef blk000000f0))
+ (portRef C_35_ (instanceRef blk000000f0))
+ (portRef C_34_ (instanceRef blk000000f0))
+ (portRef C_33_ (instanceRef blk000000f0))
+ (portRef C_32_ (instanceRef blk000000f0))
+ (portRef C_31_ (instanceRef blk000000f0))
+ (portRef C_30_ (instanceRef blk000000f0))
+ (portRef C_29_ (instanceRef blk000000f0))
+ (portRef C_28_ (instanceRef blk000000f0))
+ (portRef C_27_ (instanceRef blk000000f0))
+ (portRef C_26_ (instanceRef blk000000f0))
+ (portRef C_25_ (instanceRef blk000000f0))
+ (portRef C_24_ (instanceRef blk000000f0))
+ (portRef C_23_ (instanceRef blk000000f0))
+ (portRef C_22_ (instanceRef blk000000f0))
+ (portRef C_21_ (instanceRef blk000000f0))
+ (portRef C_20_ (instanceRef blk000000f0))
+ (portRef C_19_ (instanceRef blk000000f0))
+ (portRef C_18_ (instanceRef blk000000f0))
+ (portRef C_17_ (instanceRef blk000000f0))
+ (portRef C_16_ (instanceRef blk000000f0))
+ (portRef C_15_ (instanceRef blk000000f0))
+ (portRef C_14_ (instanceRef blk000000f0))
+ (portRef C_13_ (instanceRef blk000000f0))
+ (portRef C_12_ (instanceRef blk000000f0))
+ (portRef C_11_ (instanceRef blk000000f0))
+ (portRef C_10_ (instanceRef blk000000f0))
+ (portRef C_9_ (instanceRef blk000000f0))
+ (portRef C_8_ (instanceRef blk000000f0))
+ (portRef C_7_ (instanceRef blk000000f0))
+ (portRef C_6_ (instanceRef blk000000f0))
+ (portRef C_5_ (instanceRef blk000000f0))
+ (portRef C_4_ (instanceRef blk000000f0))
+ (portRef C_3_ (instanceRef blk000000f0))
+ (portRef C_2_ (instanceRef blk000000f0))
+ (portRef C_1_ (instanceRef blk000000f0))
+ (portRef C_0_ (instanceRef blk000000f0))
+ (portRef INMODE_4_ (instanceRef blk000000f0))
+ (portRef INMODE_3_ (instanceRef blk000000f0))
+ (portRef INMODE_1_ (instanceRef blk000000f0))
+ (portRef INMODE_0_ (instanceRef blk000000f0))
+ (portRef BCIN_17_ (instanceRef blk000000f0))
+ (portRef BCIN_16_ (instanceRef blk000000f0))
+ (portRef BCIN_15_ (instanceRef blk000000f0))
+ (portRef BCIN_14_ (instanceRef blk000000f0))
+ (portRef BCIN_13_ (instanceRef blk000000f0))
+ (portRef BCIN_12_ (instanceRef blk000000f0))
+ (portRef BCIN_11_ (instanceRef blk000000f0))
+ (portRef BCIN_10_ (instanceRef blk000000f0))
+ (portRef BCIN_9_ (instanceRef blk000000f0))
+ (portRef BCIN_8_ (instanceRef blk000000f0))
+ (portRef BCIN_7_ (instanceRef blk000000f0))
+ (portRef BCIN_6_ (instanceRef blk000000f0))
+ (portRef BCIN_5_ (instanceRef blk000000f0))
+ (portRef BCIN_4_ (instanceRef blk000000f0))
+ (portRef BCIN_3_ (instanceRef blk000000f0))
+ (portRef BCIN_2_ (instanceRef blk000000f0))
+ (portRef BCIN_1_ (instanceRef blk000000f0))
+ (portRef BCIN_0_ (instanceRef blk000000f0))
+ (portRef ACIN_29_ (instanceRef blk000000f0))
+ (portRef ACIN_28_ (instanceRef blk000000f0))
+ (portRef ACIN_27_ (instanceRef blk000000f0))
+ (portRef ACIN_26_ (instanceRef blk000000f0))
+ (portRef ACIN_25_ (instanceRef blk000000f0))
+ (portRef ACIN_24_ (instanceRef blk000000f0))
+ (portRef ACIN_23_ (instanceRef blk000000f0))
+ (portRef ACIN_22_ (instanceRef blk000000f0))
+ (portRef ACIN_21_ (instanceRef blk000000f0))
+ (portRef ACIN_20_ (instanceRef blk000000f0))
+ (portRef ACIN_19_ (instanceRef blk000000f0))
+ (portRef ACIN_18_ (instanceRef blk000000f0))
+ (portRef ACIN_17_ (instanceRef blk000000f0))
+ (portRef ACIN_16_ (instanceRef blk000000f0))
+ (portRef ACIN_15_ (instanceRef blk000000f0))
+ (portRef ACIN_14_ (instanceRef blk000000f0))
+ (portRef ACIN_13_ (instanceRef blk000000f0))
+ (portRef ACIN_12_ (instanceRef blk000000f0))
+ (portRef ACIN_11_ (instanceRef blk000000f0))
+ (portRef ACIN_10_ (instanceRef blk000000f0))
+ (portRef ACIN_9_ (instanceRef blk000000f0))
+ (portRef ACIN_8_ (instanceRef blk000000f0))
+ (portRef ACIN_7_ (instanceRef blk000000f0))
+ (portRef ACIN_6_ (instanceRef blk000000f0))
+ (portRef ACIN_5_ (instanceRef blk000000f0))
+ (portRef ACIN_4_ (instanceRef blk000000f0))
+ (portRef ACIN_3_ (instanceRef blk000000f0))
+ (portRef ACIN_2_ (instanceRef blk000000f0))
+ (portRef ACIN_1_ (instanceRef blk000000f0))
+ (portRef ACIN_0_ (instanceRef blk000000f0))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000f0))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000f0))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000f0))
+ (portRef RSTC (instanceRef blk000000f1))
+ (portRef CEB1 (instanceRef blk000000f1))
+ (portRef RSTM (instanceRef blk000000f1))
+ (portRef MULTSIGNIN (instanceRef blk000000f1))
+ (portRef RSTCTRL (instanceRef blk000000f1))
+ (portRef RSTA (instanceRef blk000000f1))
+ (portRef RSTALUMODE (instanceRef blk000000f1))
+ (portRef RSTALLCARRYIN (instanceRef blk000000f1))
+ (portRef RSTD (instanceRef blk000000f1))
+ (portRef CEA1 (instanceRef blk000000f1))
+ (portRef RSTB (instanceRef blk000000f1))
+ (portRef CARRYIN (instanceRef blk000000f1))
+ (portRef CARRYCASCIN (instanceRef blk000000f1))
+ (portRef RSTINMODE (instanceRef blk000000f1))
+ (portRef RSTP (instanceRef blk000000f1))
+ (portRef OPMODE_6_ (instanceRef blk000000f1))
+ (portRef OPMODE_5_ (instanceRef blk000000f1))
+ (portRef OPMODE_3_ (instanceRef blk000000f1))
+ (portRef OPMODE_1_ (instanceRef blk000000f1))
+ (portRef ALUMODE_3_ (instanceRef blk000000f1))
+ (portRef ALUMODE_2_ (instanceRef blk000000f1))
+ (portRef ALUMODE_1_ (instanceRef blk000000f1))
+ (portRef ALUMODE_0_ (instanceRef blk000000f1))
+ (portRef C_47_ (instanceRef blk000000f1))
+ (portRef C_46_ (instanceRef blk000000f1))
+ (portRef C_45_ (instanceRef blk000000f1))
+ (portRef C_44_ (instanceRef blk000000f1))
+ (portRef C_43_ (instanceRef blk000000f1))
+ (portRef C_42_ (instanceRef blk000000f1))
+ (portRef C_41_ (instanceRef blk000000f1))
+ (portRef C_40_ (instanceRef blk000000f1))
+ (portRef C_39_ (instanceRef blk000000f1))
+ (portRef C_38_ (instanceRef blk000000f1))
+ (portRef C_37_ (instanceRef blk000000f1))
+ (portRef C_36_ (instanceRef blk000000f1))
+ (portRef C_35_ (instanceRef blk000000f1))
+ (portRef C_34_ (instanceRef blk000000f1))
+ (portRef C_33_ (instanceRef blk000000f1))
+ (portRef C_32_ (instanceRef blk000000f1))
+ (portRef C_31_ (instanceRef blk000000f1))
+ (portRef C_30_ (instanceRef blk000000f1))
+ (portRef C_29_ (instanceRef blk000000f1))
+ (portRef C_28_ (instanceRef blk000000f1))
+ (portRef C_27_ (instanceRef blk000000f1))
+ (portRef C_26_ (instanceRef blk000000f1))
+ (portRef C_25_ (instanceRef blk000000f1))
+ (portRef C_24_ (instanceRef blk000000f1))
+ (portRef C_23_ (instanceRef blk000000f1))
+ (portRef C_22_ (instanceRef blk000000f1))
+ (portRef C_21_ (instanceRef blk000000f1))
+ (portRef C_20_ (instanceRef blk000000f1))
+ (portRef C_19_ (instanceRef blk000000f1))
+ (portRef C_18_ (instanceRef blk000000f1))
+ (portRef C_17_ (instanceRef blk000000f1))
+ (portRef C_16_ (instanceRef blk000000f1))
+ (portRef C_15_ (instanceRef blk000000f1))
+ (portRef C_14_ (instanceRef blk000000f1))
+ (portRef C_13_ (instanceRef blk000000f1))
+ (portRef C_12_ (instanceRef blk000000f1))
+ (portRef C_11_ (instanceRef blk000000f1))
+ (portRef C_10_ (instanceRef blk000000f1))
+ (portRef C_9_ (instanceRef blk000000f1))
+ (portRef C_8_ (instanceRef blk000000f1))
+ (portRef C_7_ (instanceRef blk000000f1))
+ (portRef C_6_ (instanceRef blk000000f1))
+ (portRef C_5_ (instanceRef blk000000f1))
+ (portRef C_4_ (instanceRef blk000000f1))
+ (portRef C_3_ (instanceRef blk000000f1))
+ (portRef C_2_ (instanceRef blk000000f1))
+ (portRef C_1_ (instanceRef blk000000f1))
+ (portRef C_0_ (instanceRef blk000000f1))
+ (portRef INMODE_4_ (instanceRef blk000000f1))
+ (portRef INMODE_3_ (instanceRef blk000000f1))
+ (portRef INMODE_1_ (instanceRef blk000000f1))
+ (portRef INMODE_0_ (instanceRef blk000000f1))
+ (portRef BCIN_17_ (instanceRef blk000000f1))
+ (portRef BCIN_16_ (instanceRef blk000000f1))
+ (portRef BCIN_15_ (instanceRef blk000000f1))
+ (portRef BCIN_14_ (instanceRef blk000000f1))
+ (portRef BCIN_13_ (instanceRef blk000000f1))
+ (portRef BCIN_12_ (instanceRef blk000000f1))
+ (portRef BCIN_11_ (instanceRef blk000000f1))
+ (portRef BCIN_10_ (instanceRef blk000000f1))
+ (portRef BCIN_9_ (instanceRef blk000000f1))
+ (portRef BCIN_8_ (instanceRef blk000000f1))
+ (portRef BCIN_7_ (instanceRef blk000000f1))
+ (portRef BCIN_6_ (instanceRef blk000000f1))
+ (portRef BCIN_5_ (instanceRef blk000000f1))
+ (portRef BCIN_4_ (instanceRef blk000000f1))
+ (portRef BCIN_3_ (instanceRef blk000000f1))
+ (portRef BCIN_2_ (instanceRef blk000000f1))
+ (portRef BCIN_1_ (instanceRef blk000000f1))
+ (portRef BCIN_0_ (instanceRef blk000000f1))
+ (portRef ACIN_29_ (instanceRef blk000000f1))
+ (portRef ACIN_28_ (instanceRef blk000000f1))
+ (portRef ACIN_27_ (instanceRef blk000000f1))
+ (portRef ACIN_26_ (instanceRef blk000000f1))
+ (portRef ACIN_25_ (instanceRef blk000000f1))
+ (portRef ACIN_24_ (instanceRef blk000000f1))
+ (portRef ACIN_23_ (instanceRef blk000000f1))
+ (portRef ACIN_22_ (instanceRef blk000000f1))
+ (portRef ACIN_21_ (instanceRef blk000000f1))
+ (portRef ACIN_20_ (instanceRef blk000000f1))
+ (portRef ACIN_19_ (instanceRef blk000000f1))
+ (portRef ACIN_18_ (instanceRef blk000000f1))
+ (portRef ACIN_17_ (instanceRef blk000000f1))
+ (portRef ACIN_16_ (instanceRef blk000000f1))
+ (portRef ACIN_15_ (instanceRef blk000000f1))
+ (portRef ACIN_14_ (instanceRef blk000000f1))
+ (portRef ACIN_13_ (instanceRef blk000000f1))
+ (portRef ACIN_12_ (instanceRef blk000000f1))
+ (portRef ACIN_11_ (instanceRef blk000000f1))
+ (portRef ACIN_10_ (instanceRef blk000000f1))
+ (portRef ACIN_9_ (instanceRef blk000000f1))
+ (portRef ACIN_8_ (instanceRef blk000000f1))
+ (portRef ACIN_7_ (instanceRef blk000000f1))
+ (portRef ACIN_6_ (instanceRef blk000000f1))
+ (portRef ACIN_5_ (instanceRef blk000000f1))
+ (portRef ACIN_4_ (instanceRef blk000000f1))
+ (portRef ACIN_3_ (instanceRef blk000000f1))
+ (portRef ACIN_2_ (instanceRef blk000000f1))
+ (portRef ACIN_1_ (instanceRef blk000000f1))
+ (portRef ACIN_0_ (instanceRef blk000000f1))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000f1))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000f1))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000f1))
+ (portRef RSTC (instanceRef blk000000f2))
+ (portRef CEB1 (instanceRef blk000000f2))
+ (portRef RSTM (instanceRef blk000000f2))
+ (portRef MULTSIGNIN (instanceRef blk000000f2))
+ (portRef RSTCTRL (instanceRef blk000000f2))
+ (portRef RSTA (instanceRef blk000000f2))
+ (portRef RSTALUMODE (instanceRef blk000000f2))
+ (portRef RSTALLCARRYIN (instanceRef blk000000f2))
+ (portRef RSTD (instanceRef blk000000f2))
+ (portRef CEA1 (instanceRef blk000000f2))
+ (portRef RSTB (instanceRef blk000000f2))
+ (portRef CARRYIN (instanceRef blk000000f2))
+ (portRef CARRYCASCIN (instanceRef blk000000f2))
+ (portRef RSTINMODE (instanceRef blk000000f2))
+ (portRef RSTP (instanceRef blk000000f2))
+ (portRef OPMODE_6_ (instanceRef blk000000f2))
+ (portRef OPMODE_5_ (instanceRef blk000000f2))
+ (portRef OPMODE_3_ (instanceRef blk000000f2))
+ (portRef OPMODE_1_ (instanceRef blk000000f2))
+ (portRef ALUMODE_3_ (instanceRef blk000000f2))
+ (portRef ALUMODE_2_ (instanceRef blk000000f2))
+ (portRef ALUMODE_1_ (instanceRef blk000000f2))
+ (portRef ALUMODE_0_ (instanceRef blk000000f2))
+ (portRef C_47_ (instanceRef blk000000f2))
+ (portRef C_46_ (instanceRef blk000000f2))
+ (portRef C_45_ (instanceRef blk000000f2))
+ (portRef C_44_ (instanceRef blk000000f2))
+ (portRef C_43_ (instanceRef blk000000f2))
+ (portRef C_42_ (instanceRef blk000000f2))
+ (portRef C_41_ (instanceRef blk000000f2))
+ (portRef C_40_ (instanceRef blk000000f2))
+ (portRef C_39_ (instanceRef blk000000f2))
+ (portRef C_38_ (instanceRef blk000000f2))
+ (portRef C_37_ (instanceRef blk000000f2))
+ (portRef C_36_ (instanceRef blk000000f2))
+ (portRef C_35_ (instanceRef blk000000f2))
+ (portRef C_34_ (instanceRef blk000000f2))
+ (portRef C_33_ (instanceRef blk000000f2))
+ (portRef C_32_ (instanceRef blk000000f2))
+ (portRef C_31_ (instanceRef blk000000f2))
+ (portRef C_30_ (instanceRef blk000000f2))
+ (portRef C_29_ (instanceRef blk000000f2))
+ (portRef C_28_ (instanceRef blk000000f2))
+ (portRef C_27_ (instanceRef blk000000f2))
+ (portRef C_26_ (instanceRef blk000000f2))
+ (portRef C_25_ (instanceRef blk000000f2))
+ (portRef C_24_ (instanceRef blk000000f2))
+ (portRef C_23_ (instanceRef blk000000f2))
+ (portRef C_22_ (instanceRef blk000000f2))
+ (portRef C_21_ (instanceRef blk000000f2))
+ (portRef C_20_ (instanceRef blk000000f2))
+ (portRef C_19_ (instanceRef blk000000f2))
+ (portRef C_18_ (instanceRef blk000000f2))
+ (portRef C_17_ (instanceRef blk000000f2))
+ (portRef C_16_ (instanceRef blk000000f2))
+ (portRef C_15_ (instanceRef blk000000f2))
+ (portRef C_14_ (instanceRef blk000000f2))
+ (portRef C_13_ (instanceRef blk000000f2))
+ (portRef C_12_ (instanceRef blk000000f2))
+ (portRef C_11_ (instanceRef blk000000f2))
+ (portRef C_10_ (instanceRef blk000000f2))
+ (portRef C_9_ (instanceRef blk000000f2))
+ (portRef C_8_ (instanceRef blk000000f2))
+ (portRef C_7_ (instanceRef blk000000f2))
+ (portRef C_6_ (instanceRef blk000000f2))
+ (portRef C_5_ (instanceRef blk000000f2))
+ (portRef C_4_ (instanceRef blk000000f2))
+ (portRef C_3_ (instanceRef blk000000f2))
+ (portRef C_2_ (instanceRef blk000000f2))
+ (portRef C_1_ (instanceRef blk000000f2))
+ (portRef C_0_ (instanceRef blk000000f2))
+ (portRef INMODE_4_ (instanceRef blk000000f2))
+ (portRef INMODE_3_ (instanceRef blk000000f2))
+ (portRef INMODE_1_ (instanceRef blk000000f2))
+ (portRef INMODE_0_ (instanceRef blk000000f2))
+ (portRef BCIN_17_ (instanceRef blk000000f2))
+ (portRef BCIN_16_ (instanceRef blk000000f2))
+ (portRef BCIN_15_ (instanceRef blk000000f2))
+ (portRef BCIN_14_ (instanceRef blk000000f2))
+ (portRef BCIN_13_ (instanceRef blk000000f2))
+ (portRef BCIN_12_ (instanceRef blk000000f2))
+ (portRef BCIN_11_ (instanceRef blk000000f2))
+ (portRef BCIN_10_ (instanceRef blk000000f2))
+ (portRef BCIN_9_ (instanceRef blk000000f2))
+ (portRef BCIN_8_ (instanceRef blk000000f2))
+ (portRef BCIN_7_ (instanceRef blk000000f2))
+ (portRef BCIN_6_ (instanceRef blk000000f2))
+ (portRef BCIN_5_ (instanceRef blk000000f2))
+ (portRef BCIN_4_ (instanceRef blk000000f2))
+ (portRef BCIN_3_ (instanceRef blk000000f2))
+ (portRef BCIN_2_ (instanceRef blk000000f2))
+ (portRef BCIN_1_ (instanceRef blk000000f2))
+ (portRef BCIN_0_ (instanceRef blk000000f2))
+ (portRef ACIN_29_ (instanceRef blk000000f2))
+ (portRef ACIN_28_ (instanceRef blk000000f2))
+ (portRef ACIN_27_ (instanceRef blk000000f2))
+ (portRef ACIN_26_ (instanceRef blk000000f2))
+ (portRef ACIN_25_ (instanceRef blk000000f2))
+ (portRef ACIN_24_ (instanceRef blk000000f2))
+ (portRef ACIN_23_ (instanceRef blk000000f2))
+ (portRef ACIN_22_ (instanceRef blk000000f2))
+ (portRef ACIN_21_ (instanceRef blk000000f2))
+ (portRef ACIN_20_ (instanceRef blk000000f2))
+ (portRef ACIN_19_ (instanceRef blk000000f2))
+ (portRef ACIN_18_ (instanceRef blk000000f2))
+ (portRef ACIN_17_ (instanceRef blk000000f2))
+ (portRef ACIN_16_ (instanceRef blk000000f2))
+ (portRef ACIN_15_ (instanceRef blk000000f2))
+ (portRef ACIN_14_ (instanceRef blk000000f2))
+ (portRef ACIN_13_ (instanceRef blk000000f2))
+ (portRef ACIN_12_ (instanceRef blk000000f2))
+ (portRef ACIN_11_ (instanceRef blk000000f2))
+ (portRef ACIN_10_ (instanceRef blk000000f2))
+ (portRef ACIN_9_ (instanceRef blk000000f2))
+ (portRef ACIN_8_ (instanceRef blk000000f2))
+ (portRef ACIN_7_ (instanceRef blk000000f2))
+ (portRef ACIN_6_ (instanceRef blk000000f2))
+ (portRef ACIN_5_ (instanceRef blk000000f2))
+ (portRef ACIN_4_ (instanceRef blk000000f2))
+ (portRef ACIN_3_ (instanceRef blk000000f2))
+ (portRef ACIN_2_ (instanceRef blk000000f2))
+ (portRef ACIN_1_ (instanceRef blk000000f2))
+ (portRef ACIN_0_ (instanceRef blk000000f2))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000f2))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000f2))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000f2))
+ (portRef R (instanceRef blk000000f3))
+ (portRef R (instanceRef blk000000f4))
+ (portRef R (instanceRef blk000000f5))
+ (portRef R (instanceRef blk000000f6))
+ (portRef R (instanceRef blk000000f7))
+ (portRef R (instanceRef blk000000f8))
+ (portRef R (instanceRef blk000000f9))
+ (portRef R (instanceRef blk000000fa))
+ (portRef R (instanceRef blk000000fb))
+ (portRef R (instanceRef blk000000fc))
+ (portRef R (instanceRef blk000000fd))
+ (portRef R (instanceRef blk000000fe))
+ (portRef R (instanceRef blk000000ff))
+ (portRef R (instanceRef blk00000100))
+ (portRef R (instanceRef blk00000101))
+ (portRef CI (instanceRef blk00000783))
+ (portRef CI (instanceRef blk0000078e))
+ (portRef CI (instanceRef blk000007f9))
+ (portRef A2 (instanceRef blk000008dc))
+ (portRef A3 (instanceRef blk000008dc))
+ (portRef A0 (instanceRef blk000008de))
+ (portRef A1 (instanceRef blk000008de))
+ (portRef A3 (instanceRef blk000008de))
+ (portRef A1 (instanceRef blk000008e0))
+ (portRef A2 (instanceRef blk000008e0))
+ (portRef A3 (instanceRef blk000008e0))
+ (portRef A0 (instanceRef blk000008e2))
+ (portRef A1 (instanceRef blk000008e2))
+ (portRef A2 (instanceRef blk000008e2))
+ (portRef A3 (instanceRef blk000008e2))
+ (portRef A0 (instanceRef blk000008e4))
+ (portRef A1 (instanceRef blk000008e4))
+ (portRef A2 (instanceRef blk000008e4))
+ (portRef A3 (instanceRef blk000008e4))
+ (portRef A0 (instanceRef blk000008e6))
+ (portRef A1 (instanceRef blk000008e6))
+ (portRef A2 (instanceRef blk000008e6))
+ (portRef A3 (instanceRef blk000008e6))
+ (portRef A0 (instanceRef blk000008e8))
+ (portRef A1 (instanceRef blk000008e8))
+ (portRef A2 (instanceRef blk000008e8))
+ (portRef A3 (instanceRef blk000008e8))
+ (portRef A0 (instanceRef blk000008ea))
+ (portRef A1 (instanceRef blk000008ea))
+ (portRef A2 (instanceRef blk000008ea))
+ (portRef A3 (instanceRef blk000008ea))
+ (portRef A0 (instanceRef blk000008ec))
+ (portRef A1 (instanceRef blk000008ec))
+ (portRef A2 (instanceRef blk000008ec))
+ (portRef A3 (instanceRef blk000008ec))
+ (portRef A0 (instanceRef blk000008ee))
+ (portRef A1 (instanceRef blk000008ee))
+ (portRef A2 (instanceRef blk000008ee))
+ (portRef A3 (instanceRef blk000008ee))
+ (portRef A0 (instanceRef blk000008f0))
+ (portRef A1 (instanceRef blk000008f0))
+ (portRef A2 (instanceRef blk000008f0))
+ (portRef A3 (instanceRef blk000008f0))
+ (portRef A0 (instanceRef blk000008f2))
+ (portRef A1 (instanceRef blk000008f2))
+ (portRef A2 (instanceRef blk000008f2))
+ (portRef A3 (instanceRef blk000008f2))
+ (portRef A0 (instanceRef blk000008f4))
+ (portRef A1 (instanceRef blk000008f4))
+ (portRef A2 (instanceRef blk000008f4))
+ (portRef A3 (instanceRef blk000008f4))
+ (portRef A0 (instanceRef blk000008f6))
+ (portRef A1 (instanceRef blk000008f6))
+ (portRef A2 (instanceRef blk000008f6))
+ (portRef A3 (instanceRef blk000008f6))
+ (portRef A0 (instanceRef blk000008f8))
+ (portRef A1 (instanceRef blk000008f8))
+ (portRef A2 (instanceRef blk000008f8))
+ (portRef A3 (instanceRef blk000008f8))
+ (portRef A0 (instanceRef blk000008fa))
+ (portRef A1 (instanceRef blk000008fa))
+ (portRef A2 (instanceRef blk000008fa))
+ (portRef A3 (instanceRef blk000008fa))
+ (portRef A0 (instanceRef blk000008fc))
+ (portRef A1 (instanceRef blk000008fc))
+ (portRef A2 (instanceRef blk000008fc))
+ (portRef A3 (instanceRef blk000008fc))
+ (portRef A0 (instanceRef blk000008fe))
+ (portRef A1 (instanceRef blk000008fe))
+ (portRef A2 (instanceRef blk000008fe))
+ (portRef A3 (instanceRef blk000008fe))
+ (portRef A0 (instanceRef blk00000900))
+ (portRef A1 (instanceRef blk00000900))
+ (portRef A2 (instanceRef blk00000900))
+ (portRef A3 (instanceRef blk00000900))
+ (portRef A0 (instanceRef blk00000902))
+ (portRef A2 (instanceRef blk00000902))
+ (portRef A3 (instanceRef blk00000902))
+ (portRef A0 (instanceRef blk00000904))
+ (portRef A1 (instanceRef blk00000904))
+ (portRef A2 (instanceRef blk00000904))
+ (portRef A3 (instanceRef blk00000904))
+ (portRef A0 (instanceRef blk00000906))
+ (portRef A1 (instanceRef blk00000906))
+ (portRef A2 (instanceRef blk00000906))
+ (portRef A3 (instanceRef blk00000906))
+ (portRef A1 (instanceRef blk00000908))
+ (portRef A2 (instanceRef blk00000908))
+ (portRef A3 (instanceRef blk00000908))
+ (portRef A0 (instanceRef blk0000090a))
+ (portRef A2 (instanceRef blk0000090a))
+ (portRef A3 (instanceRef blk0000090a))
+ (portRef A2 (instanceRef blk0000090c))
+ (portRef A3 (instanceRef blk0000090c))
+ (portRef A1 (instanceRef blk0000090e))
+ (portRef A2 (instanceRef blk0000090e))
+ (portRef A3 (instanceRef blk0000090e))
+ (portRef A1 (instanceRef blk00000910))
+ (portRef A2 (instanceRef blk00000910))
+ (portRef A3 (instanceRef blk00000910))
+ (portRef A1 (instanceRef blk00000912))
+ (portRef A2 (instanceRef blk00000912))
+ (portRef A3 (instanceRef blk00000912))
+ (portRef A1 (instanceRef blk00000914))
+ (portRef A2 (instanceRef blk00000914))
+ (portRef A3 (instanceRef blk00000914))
+ (portRef A1 (instanceRef blk00000916))
+ (portRef A2 (instanceRef blk00000916))
+ (portRef A3 (instanceRef blk00000916))
+ (portRef A1 (instanceRef blk00000918))
+ (portRef A2 (instanceRef blk00000918))
+ (portRef A3 (instanceRef blk00000918))
+ (portRef A1 (instanceRef blk0000091a))
+ (portRef A2 (instanceRef blk0000091a))
+ (portRef A3 (instanceRef blk0000091a))
+ (portRef A1 (instanceRef blk0000091c))
+ (portRef A2 (instanceRef blk0000091c))
+ (portRef A3 (instanceRef blk0000091c))
+ (portRef A1 (instanceRef blk0000091e))
+ (portRef A2 (instanceRef blk0000091e))
+ (portRef A3 (instanceRef blk0000091e))
+ (portRef A1 (instanceRef blk00000920))
+ (portRef A2 (instanceRef blk00000920))
+ (portRef A3 (instanceRef blk00000920))
+ (portRef A1 (instanceRef blk00000922))
+ (portRef A2 (instanceRef blk00000922))
+ (portRef A3 (instanceRef blk00000922))
+ (portRef A1 (instanceRef blk00000924))
+ (portRef A2 (instanceRef blk00000924))
+ (portRef A3 (instanceRef blk00000924))
+ (portRef A1 (instanceRef blk00000926))
+ (portRef A2 (instanceRef blk00000926))
+ (portRef A3 (instanceRef blk00000926))
+ (portRef A1 (instanceRef blk00000928))
+ (portRef A2 (instanceRef blk00000928))
+ (portRef A3 (instanceRef blk00000928))
+ (portRef A1 (instanceRef blk0000092a))
+ (portRef A2 (instanceRef blk0000092a))
+ (portRef A3 (instanceRef blk0000092a))
+ (portRef A1 (instanceRef blk0000092c))
+ (portRef A2 (instanceRef blk0000092c))
+ (portRef A3 (instanceRef blk0000092c))
+ (portRef A1 (instanceRef blk0000092e))
+ (portRef A2 (instanceRef blk0000092e))
+ (portRef A3 (instanceRef blk0000092e))
+ (portRef A1 (instanceRef blk00000930))
+ (portRef A2 (instanceRef blk00000930))
+ (portRef A3 (instanceRef blk00000930))
+ (portRef A1 (instanceRef blk00000932))
+ (portRef A2 (instanceRef blk00000932))
+ (portRef A3 (instanceRef blk00000932))
+ (portRef A1 (instanceRef blk00000934))
+ (portRef A2 (instanceRef blk00000934))
+ (portRef A3 (instanceRef blk00000934))
+ (portRef A1 (instanceRef blk00000936))
+ (portRef A2 (instanceRef blk00000936))
+ (portRef A3 (instanceRef blk00000936))
+ (portRef A1 (instanceRef blk00000938))
+ (portRef A2 (instanceRef blk00000938))
+ (portRef A3 (instanceRef blk00000938))
+ (portRef A1 (instanceRef blk0000093a))
+ (portRef A2 (instanceRef blk0000093a))
+ (portRef A3 (instanceRef blk0000093a))
+ (portRef A1 (instanceRef blk0000093c))
+ (portRef A2 (instanceRef blk0000093c))
+ (portRef A3 (instanceRef blk0000093c))
+ (portRef A1 (instanceRef blk0000093e))
+ (portRef A2 (instanceRef blk0000093e))
+ (portRef A3 (instanceRef blk0000093e))
+ (portRef A1 (instanceRef blk00000940))
+ (portRef A2 (instanceRef blk00000940))
+ (portRef A3 (instanceRef blk00000940))
+ (portRef A1 (instanceRef blk00000942))
+ (portRef A2 (instanceRef blk00000942))
+ (portRef A3 (instanceRef blk00000942))
+ (portRef A1 (instanceRef blk00000944))
+ (portRef A2 (instanceRef blk00000944))
+ (portRef A3 (instanceRef blk00000944))
+ (portRef A1 (instanceRef blk00000946))
+ (portRef A2 (instanceRef blk00000946))
+ (portRef A3 (instanceRef blk00000946))
+ (portRef A1 (instanceRef blk00000948))
+ (portRef A2 (instanceRef blk00000948))
+ (portRef A3 (instanceRef blk00000948))
+ (portRef A1 (instanceRef blk0000094a))
+ (portRef A2 (instanceRef blk0000094a))
+ (portRef A3 (instanceRef blk0000094a))
+ (portRef A1 (instanceRef blk0000094c))
+ (portRef A2 (instanceRef blk0000094c))
+ (portRef A3 (instanceRef blk0000094c))
+ (portRef A1 (instanceRef blk0000094e))
+ (portRef A2 (instanceRef blk0000094e))
+ (portRef A3 (instanceRef blk0000094e))
+ (portRef A1 (instanceRef blk00000950))
+ (portRef A2 (instanceRef blk00000950))
+ (portRef A3 (instanceRef blk00000950))
+ (portRef A1 (instanceRef blk00000952))
+ (portRef A2 (instanceRef blk00000952))
+ (portRef A3 (instanceRef blk00000952))
+ (portRef A1 (instanceRef blk00000954))
+ (portRef A2 (instanceRef blk00000954))
+ (portRef A3 (instanceRef blk00000954))
+ (portRef A1 (instanceRef blk00000956))
+ (portRef A2 (instanceRef blk00000956))
+ (portRef A3 (instanceRef blk00000956))
+ (portRef A1 (instanceRef blk00000958))
+ (portRef A2 (instanceRef blk00000958))
+ (portRef A3 (instanceRef blk00000958))
+ (portRef A1 (instanceRef blk0000095a))
+ (portRef A2 (instanceRef blk0000095a))
+ (portRef A3 (instanceRef blk0000095a))
+ (portRef A1 (instanceRef blk0000095c))
+ (portRef A2 (instanceRef blk0000095c))
+ (portRef A3 (instanceRef blk0000095c))
+ (portRef A1 (instanceRef blk0000095e))
+ (portRef A2 (instanceRef blk0000095e))
+ (portRef A3 (instanceRef blk0000095e))
+ (portRef A1 (instanceRef blk00000960))
+ (portRef A2 (instanceRef blk00000960))
+ (portRef A3 (instanceRef blk00000960))
+ (portRef A1 (instanceRef blk00000962))
+ (portRef A2 (instanceRef blk00000962))
+ (portRef A3 (instanceRef blk00000962))
+ (portRef A1 (instanceRef blk00000964))
+ (portRef A2 (instanceRef blk00000964))
+ (portRef A3 (instanceRef blk00000964))
+ (portRef A1 (instanceRef blk00000966))
+ (portRef A2 (instanceRef blk00000966))
+ (portRef A3 (instanceRef blk00000966))
+ (portRef A1 (instanceRef blk00000968))
+ (portRef A2 (instanceRef blk00000968))
+ (portRef A3 (instanceRef blk00000968))
+ (portRef A0 (instanceRef blk0000096a))
+ (portRef A1 (instanceRef blk0000096a))
+ (portRef A2 (instanceRef blk0000096a))
+ (portRef A3 (instanceRef blk0000096a))
+ (portRef A1 (instanceRef blk0000096c))
+ (portRef A2 (instanceRef blk0000096c))
+ (portRef A3 (instanceRef blk0000096c))
+ (portRef A0 (instanceRef blk0000096e))
+ (portRef A1 (instanceRef blk0000096e))
+ (portRef A2 (instanceRef blk0000096e))
+ (portRef A3 (instanceRef blk0000096e))
+ (portRef A0 (instanceRef blk00000970))
+ (portRef A1 (instanceRef blk00000970))
+ (portRef A2 (instanceRef blk00000970))
+ (portRef A3 (instanceRef blk00000970))
+ (portRef A0 (instanceRef blk00000972))
+ (portRef A1 (instanceRef blk00000972))
+ (portRef A2 (instanceRef blk00000972))
+ (portRef A3 (instanceRef blk00000972))
+ (portRef A0 (instanceRef blk00000974))
+ (portRef A1 (instanceRef blk00000974))
+ (portRef A2 (instanceRef blk00000974))
+ (portRef A3 (instanceRef blk00000974))
+ (portRef A0 (instanceRef blk00000976))
+ (portRef A1 (instanceRef blk00000976))
+ (portRef A2 (instanceRef blk00000976))
+ (portRef A3 (instanceRef blk00000976))
+ (portRef A0 (instanceRef blk00000978))
+ (portRef A1 (instanceRef blk00000978))
+ (portRef A2 (instanceRef blk00000978))
+ (portRef A3 (instanceRef blk00000978))
+ (portRef A0 (instanceRef blk0000097a))
+ (portRef A1 (instanceRef blk0000097a))
+ (portRef A2 (instanceRef blk0000097a))
+ (portRef A3 (instanceRef blk0000097a))
+ (portRef A0 (instanceRef blk0000097c))
+ (portRef A1 (instanceRef blk0000097c))
+ (portRef A2 (instanceRef blk0000097c))
+ (portRef A3 (instanceRef blk0000097c))
+ (portRef A0 (instanceRef blk0000097e))
+ (portRef A1 (instanceRef blk0000097e))
+ (portRef A2 (instanceRef blk0000097e))
+ (portRef A3 (instanceRef blk0000097e))
+ (portRef A0 (instanceRef blk00000980))
+ (portRef A1 (instanceRef blk00000980))
+ (portRef A2 (instanceRef blk00000980))
+ (portRef A3 (instanceRef blk00000980))
+ (portRef A0 (instanceRef blk00000982))
+ (portRef A1 (instanceRef blk00000982))
+ (portRef A2 (instanceRef blk00000982))
+ (portRef A3 (instanceRef blk00000982))
+ (portRef A0 (instanceRef blk00000984))
+ (portRef A1 (instanceRef blk00000984))
+ (portRef A2 (instanceRef blk00000984))
+ (portRef A3 (instanceRef blk00000984))
+ (portRef A0 (instanceRef blk00000986))
+ (portRef A1 (instanceRef blk00000986))
+ (portRef A2 (instanceRef blk00000986))
+ (portRef A3 (instanceRef blk00000986))
+ (portRef A0 (instanceRef blk00000988))
+ (portRef A1 (instanceRef blk00000988))
+ (portRef A2 (instanceRef blk00000988))
+ (portRef A3 (instanceRef blk00000988))
+ (portRef A0 (instanceRef blk0000098a))
+ (portRef A1 (instanceRef blk0000098a))
+ (portRef A2 (instanceRef blk0000098a))
+ (portRef A3 (instanceRef blk0000098a))
+ (portRef A0 (instanceRef blk0000098c))
+ (portRef A1 (instanceRef blk0000098c))
+ (portRef A2 (instanceRef blk0000098c))
+ (portRef A3 (instanceRef blk0000098c))
+ (portRef A0 (instanceRef blk0000098e))
+ (portRef A1 (instanceRef blk0000098e))
+ (portRef A2 (instanceRef blk0000098e))
+ (portRef A3 (instanceRef blk0000098e))
+ (portRef A0 (instanceRef blk00000990))
+ (portRef A1 (instanceRef blk00000990))
+ (portRef A2 (instanceRef blk00000990))
+ (portRef A3 (instanceRef blk00000990))
+ (portRef A0 (instanceRef blk00000992))
+ (portRef A1 (instanceRef blk00000992))
+ (portRef A2 (instanceRef blk00000992))
+ (portRef A3 (instanceRef blk00000992))
+ (portRef A0 (instanceRef blk00000994))
+ (portRef A1 (instanceRef blk00000994))
+ (portRef A2 (instanceRef blk00000994))
+ (portRef A3 (instanceRef blk00000994))
+ (portRef A0 (instanceRef blk00000996))
+ (portRef A1 (instanceRef blk00000996))
+ (portRef A2 (instanceRef blk00000996))
+ (portRef A3 (instanceRef blk00000996))
+ (portRef A0 (instanceRef blk00000998))
+ (portRef A1 (instanceRef blk00000998))
+ (portRef A2 (instanceRef blk00000998))
+ (portRef A3 (instanceRef blk00000998))
+ (portRef A0 (instanceRef blk0000099a))
+ (portRef A1 (instanceRef blk0000099a))
+ (portRef A2 (instanceRef blk0000099a))
+ (portRef A3 (instanceRef blk0000099a))
+ (portRef A0 (instanceRef blk0000099c))
+ (portRef A1 (instanceRef blk0000099c))
+ (portRef A2 (instanceRef blk0000099c))
+ (portRef A3 (instanceRef blk0000099c))
+ (portRef A0 (instanceRef blk0000099e))
+ (portRef A1 (instanceRef blk0000099e))
+ (portRef A2 (instanceRef blk0000099e))
+ (portRef A3 (instanceRef blk0000099e))
+ (portRef A0 (instanceRef blk000009a0))
+ (portRef A1 (instanceRef blk000009a0))
+ (portRef A2 (instanceRef blk000009a0))
+ (portRef A3 (instanceRef blk000009a0))
+ (portRef A0 (instanceRef blk000009a2))
+ (portRef A1 (instanceRef blk000009a2))
+ (portRef A2 (instanceRef blk000009a2))
+ (portRef A3 (instanceRef blk000009a2))
+ (portRef A0 (instanceRef blk000009a4))
+ (portRef A1 (instanceRef blk000009a4))
+ (portRef A2 (instanceRef blk000009a4))
+ (portRef A3 (instanceRef blk000009a4))
+ (portRef A0 (instanceRef blk000009a6))
+ (portRef A1 (instanceRef blk000009a6))
+ (portRef A2 (instanceRef blk000009a6))
+ (portRef A3 (instanceRef blk000009a6))
+ (portRef A0 (instanceRef blk000009a8))
+ (portRef A1 (instanceRef blk000009a8))
+ (portRef A2 (instanceRef blk000009a8))
+ (portRef A3 (instanceRef blk000009a8))
+ (portRef A0 (instanceRef blk000009aa))
+ (portRef A1 (instanceRef blk000009aa))
+ (portRef A2 (instanceRef blk000009aa))
+ (portRef A3 (instanceRef blk000009aa))
+ (portRef A0 (instanceRef blk000009ac))
+ (portRef A1 (instanceRef blk000009ac))
+ (portRef A2 (instanceRef blk000009ac))
+ (portRef A3 (instanceRef blk000009ac))
+ (portRef A0 (instanceRef blk000009ae))
+ (portRef A1 (instanceRef blk000009ae))
+ (portRef A2 (instanceRef blk000009ae))
+ (portRef A3 (instanceRef blk000009ae))
+ (portRef A0 (instanceRef blk000009b0))
+ (portRef A1 (instanceRef blk000009b0))
+ (portRef A2 (instanceRef blk000009b0))
+ (portRef A3 (instanceRef blk000009b0))
+ (portRef A0 (instanceRef blk000009b2))
+ (portRef A1 (instanceRef blk000009b2))
+ (portRef A2 (instanceRef blk000009b2))
+ (portRef A3 (instanceRef blk000009b2))
+ (portRef A0 (instanceRef blk000009b4))
+ (portRef A1 (instanceRef blk000009b4))
+ (portRef A2 (instanceRef blk000009b4))
+ (portRef A3 (instanceRef blk000009b4))
+ (portRef A0 (instanceRef blk000009b6))
+ (portRef A1 (instanceRef blk000009b6))
+ (portRef A2 (instanceRef blk000009b6))
+ (portRef A3 (instanceRef blk000009b6))
+ (portRef A0 (instanceRef blk000009b8))
+ (portRef A1 (instanceRef blk000009b8))
+ (portRef A2 (instanceRef blk000009b8))
+ (portRef A3 (instanceRef blk000009b8))
+ (portRef A0 (instanceRef blk000009ba))
+ (portRef A1 (instanceRef blk000009ba))
+ (portRef A2 (instanceRef blk000009ba))
+ (portRef A3 (instanceRef blk000009ba))
+ (portRef A0 (instanceRef blk000009bc))
+ (portRef A1 (instanceRef blk000009bc))
+ (portRef A2 (instanceRef blk000009bc))
+ (portRef A3 (instanceRef blk000009bc))
+ (portRef A0 (instanceRef blk000009be))
+ (portRef A1 (instanceRef blk000009be))
+ (portRef A2 (instanceRef blk000009be))
+ (portRef A3 (instanceRef blk000009be))
+ (portRef A0 (instanceRef blk000009c0))
+ (portRef A1 (instanceRef blk000009c0))
+ (portRef A2 (instanceRef blk000009c0))
+ (portRef A3 (instanceRef blk000009c0))
+ (portRef A0 (instanceRef blk000009c2))
+ (portRef A1 (instanceRef blk000009c2))
+ (portRef A2 (instanceRef blk000009c2))
+ (portRef A3 (instanceRef blk000009c2))
+ (portRef A0 (instanceRef blk000009c4))
+ (portRef A1 (instanceRef blk000009c4))
+ (portRef A2 (instanceRef blk000009c4))
+ (portRef A3 (instanceRef blk000009c4))
+ (portRef A0 (instanceRef blk000009c6))
+ (portRef A1 (instanceRef blk000009c6))
+ (portRef A2 (instanceRef blk000009c6))
+ (portRef A3 (instanceRef blk000009c6))
+ (portRef A0 (instanceRef blk000009c8))
+ (portRef A1 (instanceRef blk000009c8))
+ (portRef A2 (instanceRef blk000009c8))
+ (portRef A3 (instanceRef blk000009c8))
+ (portRef A0 (instanceRef blk000009ca))
+ (portRef A1 (instanceRef blk000009ca))
+ (portRef A2 (instanceRef blk000009ca))
+ (portRef A3 (instanceRef blk000009ca))
+ (portRef A0 (instanceRef blk000009cc))
+ (portRef A2 (instanceRef blk000009cc))
+ (portRef A0 (instanceRef blk000009ce))
+ (portRef A2 (instanceRef blk000009ce))
+ (portRef A0 (instanceRef blk000009d0))
+ (portRef A2 (instanceRef blk000009d0))
+ (portRef A0 (instanceRef blk000009d2))
+ (portRef A2 (instanceRef blk000009d2))
+ (portRef A0 (instanceRef blk000009d4))
+ (portRef A2 (instanceRef blk000009d4))
+ (portRef A0 (instanceRef blk000009d6))
+ (portRef A2 (instanceRef blk000009d6))
+ (portRef A0 (instanceRef blk000009d8))
+ (portRef A2 (instanceRef blk000009d8))
+ (portRef A0 (instanceRef blk000009da))
+ (portRef A2 (instanceRef blk000009da))
+ (portRef A0 (instanceRef blk000009dc))
+ (portRef A2 (instanceRef blk000009dc))
+ (portRef A0 (instanceRef blk000009de))
+ (portRef A2 (instanceRef blk000009de))
+ (portRef A0 (instanceRef blk000009e0))
+ (portRef A2 (instanceRef blk000009e0))
+ (portRef A0 (instanceRef blk000009e2))
+ (portRef A2 (instanceRef blk000009e2))
+ (portRef A0 (instanceRef blk000009e4))
+ (portRef A2 (instanceRef blk000009e4))
+ (portRef A0 (instanceRef blk000009e6))
+ (portRef A2 (instanceRef blk000009e6))
+ (portRef A0 (instanceRef blk000009e8))
+ (portRef A2 (instanceRef blk000009e8))
+ (portRef A0 (instanceRef blk000009ea))
+ (portRef A2 (instanceRef blk000009ea))
+ (portRef A0 (instanceRef blk000009ec))
+ (portRef A2 (instanceRef blk000009ec))
+ (portRef A0 (instanceRef blk000009ee))
+ (portRef A2 (instanceRef blk000009ee))
+ (portRef A0 (instanceRef blk000009f0))
+ (portRef A2 (instanceRef blk000009f0))
+ (portRef A0 (instanceRef blk000009f2))
+ (portRef A2 (instanceRef blk000009f2))
+ (portRef A0 (instanceRef blk000009f4))
+ (portRef A2 (instanceRef blk000009f4))
+ (portRef A0 (instanceRef blk000009f6))
+ (portRef A2 (instanceRef blk000009f6))
+ (portRef A0 (instanceRef blk000009f8))
+ (portRef A2 (instanceRef blk000009f8))
+ (portRef A0 (instanceRef blk000009fa))
+ (portRef A2 (instanceRef blk000009fa))
+ (portRef A0 (instanceRef blk000009fc))
+ (portRef A2 (instanceRef blk000009fc))
+ (portRef A0 (instanceRef blk000009fe))
+ (portRef A2 (instanceRef blk000009fe))
+ (portRef A0 (instanceRef blk00000a00))
+ (portRef A2 (instanceRef blk00000a00))
+ (portRef A0 (instanceRef blk00000a02))
+ (portRef A2 (instanceRef blk00000a02))
+ (portRef A0 (instanceRef blk00000a04))
+ (portRef A2 (instanceRef blk00000a04))
+ (portRef A0 (instanceRef blk00000a06))
+ (portRef A2 (instanceRef blk00000a06))
+ (portRef A0 (instanceRef blk00000a08))
+ (portRef A2 (instanceRef blk00000a08))
+ (portRef A0 (instanceRef blk00000a0a))
+ (portRef A2 (instanceRef blk00000a0a))
+ (portRef A0 (instanceRef blk00000a0c))
+ (portRef A2 (instanceRef blk00000a0c))
+ (portRef A0 (instanceRef blk00000a0e))
+ (portRef A2 (instanceRef blk00000a0e))
+ (portRef A0 (instanceRef blk00000a10))
+ (portRef A2 (instanceRef blk00000a10))
+ (portRef A0 (instanceRef blk00000a12))
+ (portRef A2 (instanceRef blk00000a12))
+ (portRef A0 (instanceRef blk00000a14))
+ (portRef A2 (instanceRef blk00000a14))
+ (portRef A0 (instanceRef blk00000a16))
+ (portRef A2 (instanceRef blk00000a16))
+ (portRef A0 (instanceRef blk00000a18))
+ (portRef A2 (instanceRef blk00000a18))
+ (portRef A0 (instanceRef blk00000a1a))
+ (portRef A2 (instanceRef blk00000a1a))
+ (portRef A0 (instanceRef blk00000a1c))
+ (portRef A2 (instanceRef blk00000a1c))
+ (portRef A0 (instanceRef blk00000a1e))
+ (portRef A2 (instanceRef blk00000a1e))
+ (portRef A0 (instanceRef blk00000a20))
+ (portRef A2 (instanceRef blk00000a20))
+ (portRef A0 (instanceRef blk00000a22))
+ (portRef A2 (instanceRef blk00000a22))
+ (portRef A0 (instanceRef blk00000a24))
+ (portRef A2 (instanceRef blk00000a24))
+ (portRef A0 (instanceRef blk00000a26))
+ (portRef A2 (instanceRef blk00000a26))
+ (portRef A0 (instanceRef blk00000a28))
+ (portRef A2 (instanceRef blk00000a28))
+ (portRef A0 (instanceRef blk00000a2a))
+ (portRef A2 (instanceRef blk00000a2a))
+ (portRef A0 (instanceRef blk00000a2c))
+ (portRef A1 (instanceRef blk00000a2c))
+ (portRef A0 (instanceRef blk00000a2e))
+ (portRef A1 (instanceRef blk00000a2e))
+ (portRef A2 (instanceRef blk00000a2e))
+ (portRef A3 (instanceRef blk00000a2e))
+ (portRef A0 (instanceRef blk00000a30))
+ (portRef A1 (instanceRef blk00000a30))
+ (portRef A2 (instanceRef blk00000a30))
+ (portRef A3 (instanceRef blk00000a30))
+ (portRef A0 (instanceRef blk00000a32))
+ (portRef A1 (instanceRef blk00000a32))
+ (portRef A2 (instanceRef blk00000a32))
+ (portRef A3 (instanceRef blk00000a32))
+ (portRef A0 (instanceRef blk00000a34))
+ (portRef A1 (instanceRef blk00000a34))
+ (portRef A2 (instanceRef blk00000a34))
+ (portRef A3 (instanceRef blk00000a34))
+ (portRef A0 (instanceRef blk00000a36))
+ (portRef A1 (instanceRef blk00000a36))
+ (portRef A2 (instanceRef blk00000a36))
+ (portRef A3 (instanceRef blk00000a36))
+ (portRef A0 (instanceRef blk00000a38))
+ (portRef A1 (instanceRef blk00000a38))
+ (portRef A2 (instanceRef blk00000a38))
+ (portRef A3 (instanceRef blk00000a38))
+ (portRef A0 (instanceRef blk00000a3a))
+ (portRef A1 (instanceRef blk00000a3a))
+ (portRef A2 (instanceRef blk00000a3a))
+ (portRef A3 (instanceRef blk00000a3a))
+ (portRef A0 (instanceRef blk00000a3c))
+ (portRef A1 (instanceRef blk00000a3c))
+ (portRef A2 (instanceRef blk00000a3c))
+ (portRef A3 (instanceRef blk00000a3c))
+ (portRef A0 (instanceRef blk00000a3e))
+ (portRef A1 (instanceRef blk00000a3e))
+ (portRef A2 (instanceRef blk00000a3e))
+ (portRef A3 (instanceRef blk00000a3e))
+ (portRef A0 (instanceRef blk00000a40))
+ (portRef A1 (instanceRef blk00000a40))
+ (portRef A2 (instanceRef blk00000a40))
+ (portRef A3 (instanceRef blk00000a40))
+ (portRef A0 (instanceRef blk00000a42))
+ (portRef A1 (instanceRef blk00000a42))
+ (portRef A2 (instanceRef blk00000a42))
+ (portRef A3 (instanceRef blk00000a42))
+ (portRef A0 (instanceRef blk00000a44))
+ (portRef A1 (instanceRef blk00000a44))
+ (portRef A2 (instanceRef blk00000a44))
+ (portRef A3 (instanceRef blk00000a44))
+ (portRef A0 (instanceRef blk00000a46))
+ (portRef A1 (instanceRef blk00000a46))
+ (portRef A2 (instanceRef blk00000a46))
+ (portRef A3 (instanceRef blk00000a46))
+ (portRef A0 (instanceRef blk00000a48))
+ (portRef A1 (instanceRef blk00000a48))
+ (portRef A2 (instanceRef blk00000a48))
+ (portRef A3 (instanceRef blk00000a48))
+ (portRef A0 (instanceRef blk00000a4a))
+ (portRef A1 (instanceRef blk00000a4a))
+ (portRef A2 (instanceRef blk00000a4a))
+ (portRef A3 (instanceRef blk00000a4a))
+ (portRef A0 (instanceRef blk00000a4c))
+ (portRef A1 (instanceRef blk00000a4c))
+ (portRef A2 (instanceRef blk00000a4c))
+ (portRef A3 (instanceRef blk00000a4c))
+ (portRef A0 (instanceRef blk00000a4e))
+ (portRef A1 (instanceRef blk00000a4e))
+ (portRef A2 (instanceRef blk00000a4e))
+ (portRef A3 (instanceRef blk00000a4e))
+ (portRef A0 (instanceRef blk00000a50))
+ (portRef A1 (instanceRef blk00000a50))
+ (portRef A2 (instanceRef blk00000a50))
+ (portRef A3 (instanceRef blk00000a50))
+ (portRef A0 (instanceRef blk00000a52))
+ (portRef A1 (instanceRef blk00000a52))
+ (portRef A2 (instanceRef blk00000a52))
+ (portRef A3 (instanceRef blk00000a52))
+ (portRef A0 (instanceRef blk00000a54))
+ (portRef A1 (instanceRef blk00000a54))
+ (portRef A2 (instanceRef blk00000a54))
+ (portRef A3 (instanceRef blk00000a54))
+ (portRef A0 (instanceRef blk00000a56))
+ (portRef A1 (instanceRef blk00000a56))
+ (portRef A2 (instanceRef blk00000a56))
+ (portRef A3 (instanceRef blk00000a56))
+ (portRef A0 (instanceRef blk00000a58))
+ (portRef A1 (instanceRef blk00000a58))
+ (portRef A2 (instanceRef blk00000a58))
+ (portRef A3 (instanceRef blk00000a58))
+ (portRef A0 (instanceRef blk00000a5a))
+ (portRef A1 (instanceRef blk00000a5a))
+ (portRef A2 (instanceRef blk00000a5a))
+ (portRef A3 (instanceRef blk00000a5a))
+ (portRef A0 (instanceRef blk00000a5c))
+ (portRef A1 (instanceRef blk00000a5c))
+ (portRef A2 (instanceRef blk00000a5c))
+ (portRef A3 (instanceRef blk00000a5c))
+ (portRef A0 (instanceRef blk00000a5e))
+ (portRef A1 (instanceRef blk00000a5e))
+ (portRef A2 (instanceRef blk00000a5e))
+ (portRef A3 (instanceRef blk00000a5e))
+ (portRef A0 (instanceRef blk00000a60))
+ (portRef A1 (instanceRef blk00000a60))
+ (portRef A2 (instanceRef blk00000a60))
+ (portRef A3 (instanceRef blk00000a60))
+ (portRef A0 (instanceRef blk00000a62))
+ (portRef A1 (instanceRef blk00000a62))
+ (portRef A2 (instanceRef blk00000a62))
+ (portRef A3 (instanceRef blk00000a62))
+ (portRef A0 (instanceRef blk00000a64))
+ (portRef A1 (instanceRef blk00000a64))
+ (portRef A2 (instanceRef blk00000a64))
+ (portRef A3 (instanceRef blk00000a64))
+ (portRef A0 (instanceRef blk00000a66))
+ (portRef A1 (instanceRef blk00000a66))
+ (portRef A2 (instanceRef blk00000a66))
+ (portRef A3 (instanceRef blk00000a66))
+ (portRef A0 (instanceRef blk00000a68))
+ (portRef A1 (instanceRef blk00000a68))
+ (portRef A2 (instanceRef blk00000a68))
+ (portRef A3 (instanceRef blk00000a68))
+ (portRef A0 (instanceRef blk00000a6a))
+ (portRef A1 (instanceRef blk00000a6a))
+ (portRef A2 (instanceRef blk00000a6a))
+ (portRef A3 (instanceRef blk00000a6a))
+ (portRef A0 (instanceRef blk00000a6c))
+ (portRef A1 (instanceRef blk00000a6c))
+ (portRef A2 (instanceRef blk00000a6c))
+ (portRef A3 (instanceRef blk00000a6c))
+ (portRef A0 (instanceRef blk00000a6e))
+ (portRef A1 (instanceRef blk00000a6e))
+ (portRef A2 (instanceRef blk00000a6e))
+ (portRef A3 (instanceRef blk00000a6e))
+ (portRef A0 (instanceRef blk00000a70))
+ (portRef A1 (instanceRef blk00000a70))
+ (portRef A2 (instanceRef blk00000a70))
+ (portRef A3 (instanceRef blk00000a70))
+ (portRef A0 (instanceRef blk00000a72))
+ (portRef A1 (instanceRef blk00000a72))
+ (portRef A2 (instanceRef blk00000a72))
+ (portRef A3 (instanceRef blk00000a72))
+ (portRef A0 (instanceRef blk00000a74))
+ (portRef A1 (instanceRef blk00000a74))
+ (portRef A2 (instanceRef blk00000a74))
+ (portRef A3 (instanceRef blk00000a74))
+ (portRef A0 (instanceRef blk00000a76))
+ (portRef A1 (instanceRef blk00000a76))
+ (portRef A2 (instanceRef blk00000a76))
+ (portRef A3 (instanceRef blk00000a76))
+ (portRef A0 (instanceRef blk00000a78))
+ (portRef A1 (instanceRef blk00000a78))
+ (portRef A2 (instanceRef blk00000a78))
+ (portRef A3 (instanceRef blk00000a78))
+ (portRef A0 (instanceRef blk00000a7a))
+ (portRef A1 (instanceRef blk00000a7a))
+ (portRef A2 (instanceRef blk00000a7a))
+ (portRef A3 (instanceRef blk00000a7a))
+ (portRef A0 (instanceRef blk00000a7c))
+ (portRef A1 (instanceRef blk00000a7c))
+ (portRef A2 (instanceRef blk00000a7c))
+ (portRef A3 (instanceRef blk00000a7c))
+ (portRef A0 (instanceRef blk00000a7e))
+ (portRef A1 (instanceRef blk00000a7e))
+ (portRef A2 (instanceRef blk00000a7e))
+ (portRef A3 (instanceRef blk00000a7e))
+ (portRef A0 (instanceRef blk00000a80))
+ (portRef A1 (instanceRef blk00000a80))
+ (portRef A2 (instanceRef blk00000a80))
+ (portRef A3 (instanceRef blk00000a80))
+ (portRef A0 (instanceRef blk00000a82))
+ (portRef A1 (instanceRef blk00000a82))
+ (portRef A2 (instanceRef blk00000a82))
+ (portRef A3 (instanceRef blk00000a82))
+ (portRef A0 (instanceRef blk00000a84))
+ (portRef A1 (instanceRef blk00000a84))
+ (portRef A2 (instanceRef blk00000a84))
+ (portRef A3 (instanceRef blk00000a84))
+ (portRef A0 (instanceRef blk00000a86))
+ (portRef A1 (instanceRef blk00000a86))
+ (portRef A2 (instanceRef blk00000a86))
+ (portRef A3 (instanceRef blk00000a86))
+ (portRef A0 (instanceRef blk00000a88))
+ (portRef A1 (instanceRef blk00000a88))
+ (portRef A2 (instanceRef blk00000a88))
+ (portRef A3 (instanceRef blk00000a88))
+ (portRef A0 (instanceRef blk00000a8a))
+ (portRef A1 (instanceRef blk00000a8a))
+ (portRef A2 (instanceRef blk00000a8a))
+ (portRef A3 (instanceRef blk00000a8a))
+ (portRef A0 (instanceRef blk00000a8c))
+ (portRef A1 (instanceRef blk00000a8c))
+ (portRef A2 (instanceRef blk00000a8c))
+ (portRef A3 (instanceRef blk00000a8c))
+ (portRef A0 (instanceRef blk00000a8e))
+ (portRef A1 (instanceRef blk00000a8e))
+ (portRef A2 (instanceRef blk00000a8e))
+ (portRef A3 (instanceRef blk00000a8e))
+ (portRef A3 (instanceRef blk00000a90))
+ (portRef A3 (instanceRef blk00000a92))
+ (portRef A3 (instanceRef blk00000a94))
+ (portRef A3 (instanceRef blk00000a96))
+ (portRef A3 (instanceRef blk00000a98))
+ (portRef A3 (instanceRef blk00000a9a))
+ (portRef A3 (instanceRef blk00000a9c))
+ (portRef A3 (instanceRef blk00000a9e))
+ (portRef A3 (instanceRef blk00000aa0))
+ (portRef A3 (instanceRef blk00000aa2))
+ (portRef A3 (instanceRef blk00000aa4))
+ (portRef A3 (instanceRef blk00000aa6))
+ (portRef A3 (instanceRef blk00000aa8))
+ (portRef A3 (instanceRef blk00000aaa))
+ (portRef A3 (instanceRef blk00000aac))
+ (portRef A3 (instanceRef blk00000aae))
+ (portRef A3 (instanceRef blk00000ab0))
+ (portRef A3 (instanceRef blk00000ab2))
+ (portRef A3 (instanceRef blk00000ab4))
+ (portRef A3 (instanceRef blk00000ab6))
+ (portRef A3 (instanceRef blk00000ab8))
+ (portRef A3 (instanceRef blk00000aba))
+ (portRef A3 (instanceRef blk00000abc))
+ (portRef A3 (instanceRef blk00000abe))
+ (portRef A3 (instanceRef blk00000ac0))
+ (portRef A3 (instanceRef blk00000ac2))
+ (portRef A3 (instanceRef blk00000ac4))
+ (portRef A3 (instanceRef blk00000ac6))
+ (portRef A3 (instanceRef blk00000ac8))
+ (portRef A3 (instanceRef blk00000aca))
+ (portRef A3 (instanceRef blk00000acc))
+ (portRef A3 (instanceRef blk00000ace))
+ (portRef A3 (instanceRef blk00000ad0))
+ (portRef A3 (instanceRef blk00000ad2))
+ (portRef A3 (instanceRef blk00000ad4))
+ (portRef A3 (instanceRef blk00000ad6))
+ (portRef A3 (instanceRef blk00000ad8))
+ (portRef A3 (instanceRef blk00000ada))
+ (portRef A3 (instanceRef blk00000adc))
+ (portRef A3 (instanceRef blk00000ade))
+ (portRef A3 (instanceRef blk00000ae0))
+ (portRef A3 (instanceRef blk00000ae2))
+ (portRef A3 (instanceRef blk00000ae4))
+ (portRef A3 (instanceRef blk00000ae6))
+ (portRef A3 (instanceRef blk00000ae8))
+ (portRef A3 (instanceRef blk00000aea))
+ (portRef A3 (instanceRef blk00000aec))
+ (portRef A3 (instanceRef blk00000aee))
+ (portRef A0 (instanceRef blk00000af0))
+ (portRef A1 (instanceRef blk00000af0))
+ (portRef A2 (instanceRef blk00000af0))
+ (portRef A0 (instanceRef blk00000af2))
+ (portRef A1 (instanceRef blk00000af2))
+ (portRef A2 (instanceRef blk00000af2))
+ (portRef A3 (instanceRef blk00000af2))
+ (portRef A0 (instanceRef blk00000af4))
+ (portRef A1 (instanceRef blk00000af4))
+ (portRef A2 (instanceRef blk00000af4))
+ (portRef A3 (instanceRef blk00000af4))
+ (portRef A0 (instanceRef blk00000af6))
+ (portRef A1 (instanceRef blk00000af6))
+ (portRef A2 (instanceRef blk00000af6))
+ (portRef A3 (instanceRef blk00000af6))
+ (portRef A0 (instanceRef blk00000af8))
+ (portRef A1 (instanceRef blk00000af8))
+ (portRef A2 (instanceRef blk00000af8))
+ (portRef A3 (instanceRef blk00000af8))
+ (portRef A0 (instanceRef blk00000afa))
+ (portRef A1 (instanceRef blk00000afa))
+ (portRef A2 (instanceRef blk00000afa))
+ (portRef A3 (instanceRef blk00000afa))
+ (portRef A0 (instanceRef blk00000afc))
+ (portRef A1 (instanceRef blk00000afc))
+ (portRef A2 (instanceRef blk00000afc))
+ (portRef A3 (instanceRef blk00000afc))
+ (portRef A0 (instanceRef blk00000afe))
+ (portRef A1 (instanceRef blk00000afe))
+ (portRef A2 (instanceRef blk00000afe))
+ (portRef A3 (instanceRef blk00000afe))
+ (portRef A0 (instanceRef blk00000b00))
+ (portRef A1 (instanceRef blk00000b00))
+ (portRef A2 (instanceRef blk00000b00))
+ (portRef A3 (instanceRef blk00000b00))
+ (portRef A0 (instanceRef blk00000b02))
+ (portRef A1 (instanceRef blk00000b02))
+ (portRef A2 (instanceRef blk00000b02))
+ (portRef A3 (instanceRef blk00000b02))
+ (portRef A0 (instanceRef blk00000b04))
+ (portRef A1 (instanceRef blk00000b04))
+ (portRef A2 (instanceRef blk00000b04))
+ (portRef A3 (instanceRef blk00000b04))
+ (portRef A0 (instanceRef blk00000b06))
+ (portRef A1 (instanceRef blk00000b06))
+ (portRef A2 (instanceRef blk00000b06))
+ (portRef A3 (instanceRef blk00000b06))
+ (portRef A0 (instanceRef blk00000b08))
+ (portRef A1 (instanceRef blk00000b08))
+ (portRef A2 (instanceRef blk00000b08))
+ (portRef A3 (instanceRef blk00000b08))
+ (portRef A0 (instanceRef blk00000b0a))
+ (portRef A1 (instanceRef blk00000b0a))
+ (portRef A2 (instanceRef blk00000b0a))
+ (portRef A3 (instanceRef blk00000b0a))
+ (portRef A0 (instanceRef blk00000b0c))
+ (portRef A1 (instanceRef blk00000b0c))
+ (portRef A2 (instanceRef blk00000b0c))
+ (portRef A3 (instanceRef blk00000b0c))
+ (portRef A0 (instanceRef blk00000b0e))
+ (portRef A1 (instanceRef blk00000b0e))
+ (portRef A2 (instanceRef blk00000b0e))
+ (portRef A3 (instanceRef blk00000b0e))
+ (portRef A0 (instanceRef blk00000b10))
+ (portRef A1 (instanceRef blk00000b10))
+ (portRef A2 (instanceRef blk00000b10))
+ (portRef A3 (instanceRef blk00000b10))
+ (portRef A0 (instanceRef blk00000b12))
+ (portRef A1 (instanceRef blk00000b12))
+ (portRef A2 (instanceRef blk00000b12))
+ (portRef A3 (instanceRef blk00000b12))
+ (portRef A0 (instanceRef blk00000b14))
+ (portRef A1 (instanceRef blk00000b14))
+ (portRef A2 (instanceRef blk00000b14))
+ (portRef A3 (instanceRef blk00000b14))
+ (portRef A0 (instanceRef blk00000b16))
+ (portRef A1 (instanceRef blk00000b16))
+ (portRef A2 (instanceRef blk00000b16))
+ (portRef A3 (instanceRef blk00000b16))
+ (portRef A0 (instanceRef blk00000b18))
+ (portRef A1 (instanceRef blk00000b18))
+ (portRef A2 (instanceRef blk00000b18))
+ (portRef A3 (instanceRef blk00000b18))
+ (portRef A0 (instanceRef blk00000b1a))
+ (portRef A1 (instanceRef blk00000b1a))
+ (portRef A2 (instanceRef blk00000b1a))
+ (portRef A3 (instanceRef blk00000b1a))
+ (portRef A0 (instanceRef blk00000b1c))
+ (portRef A1 (instanceRef blk00000b1c))
+ (portRef A2 (instanceRef blk00000b1c))
+ (portRef A3 (instanceRef blk00000b1c))
+ (portRef A0 (instanceRef blk00000b1e))
+ (portRef A1 (instanceRef blk00000b1e))
+ (portRef A2 (instanceRef blk00000b1e))
+ (portRef A3 (instanceRef blk00000b1e))
+ (portRef A0 (instanceRef blk00000b20))
+ (portRef A1 (instanceRef blk00000b20))
+ (portRef A2 (instanceRef blk00000b20))
+ (portRef A3 (instanceRef blk00000b20))
+ (portRef A0 (instanceRef blk00000b22))
+ (portRef A1 (instanceRef blk00000b22))
+ (portRef A2 (instanceRef blk00000b22))
+ (portRef A3 (instanceRef blk00000b22))
+ (portRef A0 (instanceRef blk00000b24))
+ (portRef A1 (instanceRef blk00000b24))
+ (portRef A2 (instanceRef blk00000b24))
+ (portRef A3 (instanceRef blk00000b24))
+ (portRef A0 (instanceRef blk00000b26))
+ (portRef A1 (instanceRef blk00000b26))
+ (portRef A2 (instanceRef blk00000b26))
+ (portRef A3 (instanceRef blk00000b26))
+ (portRef A0 (instanceRef blk00000b28))
+ (portRef A1 (instanceRef blk00000b28))
+ (portRef A2 (instanceRef blk00000b28))
+ (portRef A3 (instanceRef blk00000b28))
+ (portRef A0 (instanceRef blk00000b2a))
+ (portRef A1 (instanceRef blk00000b2a))
+ (portRef A2 (instanceRef blk00000b2a))
+ (portRef A3 (instanceRef blk00000b2a))
+ (portRef A0 (instanceRef blk00000b2c))
+ (portRef A1 (instanceRef blk00000b2c))
+ (portRef A2 (instanceRef blk00000b2c))
+ (portRef A3 (instanceRef blk00000b2c))
+ (portRef A0 (instanceRef blk00000b2e))
+ (portRef A1 (instanceRef blk00000b2e))
+ (portRef A2 (instanceRef blk00000b2e))
+ (portRef A3 (instanceRef blk00000b2e))
+ (portRef A0 (instanceRef blk00000b30))
+ (portRef A1 (instanceRef blk00000b30))
+ (portRef A2 (instanceRef blk00000b30))
+ (portRef A3 (instanceRef blk00000b30))
+ (portRef A0 (instanceRef blk00000b32))
+ (portRef A1 (instanceRef blk00000b32))
+ (portRef A2 (instanceRef blk00000b32))
+ (portRef A3 (instanceRef blk00000b32))
+ (portRef A0 (instanceRef blk00000b34))
+ (portRef A1 (instanceRef blk00000b34))
+ (portRef A2 (instanceRef blk00000b34))
+ (portRef A3 (instanceRef blk00000b34))
+ (portRef A0 (instanceRef blk00000b36))
+ (portRef A1 (instanceRef blk00000b36))
+ (portRef A2 (instanceRef blk00000b36))
+ (portRef A3 (instanceRef blk00000b36))
+ (portRef A0 (instanceRef blk00000b38))
+ (portRef A1 (instanceRef blk00000b38))
+ (portRef A2 (instanceRef blk00000b38))
+ (portRef A3 (instanceRef blk00000b38))
+ (portRef A0 (instanceRef blk00000b3a))
+ (portRef A1 (instanceRef blk00000b3a))
+ (portRef A2 (instanceRef blk00000b3a))
+ (portRef A3 (instanceRef blk00000b3a))
+ (portRef A0 (instanceRef blk00000b3c))
+ (portRef A1 (instanceRef blk00000b3c))
+ (portRef A2 (instanceRef blk00000b3c))
+ (portRef A3 (instanceRef blk00000b3c))
+ (portRef A0 (instanceRef blk00000b3e))
+ (portRef A1 (instanceRef blk00000b3e))
+ (portRef A2 (instanceRef blk00000b3e))
+ (portRef A3 (instanceRef blk00000b3e))
+ (portRef A0 (instanceRef blk00000b40))
+ (portRef A1 (instanceRef blk00000b40))
+ (portRef A2 (instanceRef blk00000b40))
+ (portRef A3 (instanceRef blk00000b40))
+ (portRef A0 (instanceRef blk00000b42))
+ (portRef A1 (instanceRef blk00000b42))
+ (portRef A2 (instanceRef blk00000b42))
+ (portRef A3 (instanceRef blk00000b42))
+ (portRef A0 (instanceRef blk00000b44))
+ (portRef A1 (instanceRef blk00000b44))
+ (portRef A2 (instanceRef blk00000b44))
+ (portRef A3 (instanceRef blk00000b44))
+ (portRef A0 (instanceRef blk00000b46))
+ (portRef A1 (instanceRef blk00000b46))
+ (portRef A2 (instanceRef blk00000b46))
+ (portRef A3 (instanceRef blk00000b46))
+ (portRef A0 (instanceRef blk00000b48))
+ (portRef A1 (instanceRef blk00000b48))
+ (portRef A2 (instanceRef blk00000b48))
+ (portRef A3 (instanceRef blk00000b48))
+ (portRef A0 (instanceRef blk00000b4a))
+ (portRef A1 (instanceRef blk00000b4a))
+ (portRef A2 (instanceRef blk00000b4a))
+ (portRef A3 (instanceRef blk00000b4a))
+ (portRef A0 (instanceRef blk00000b4c))
+ (portRef A1 (instanceRef blk00000b4c))
+ (portRef A2 (instanceRef blk00000b4c))
+ (portRef A3 (instanceRef blk00000b4c))
+ (portRef A0 (instanceRef blk00000b4e))
+ (portRef A1 (instanceRef blk00000b4e))
+ (portRef A2 (instanceRef blk00000b4e))
+ (portRef A3 (instanceRef blk00000b4e))
+ (portRef A0 (instanceRef blk00000b50))
+ (portRef A1 (instanceRef blk00000b50))
+ (portRef A2 (instanceRef blk00000b50))
+ (portRef A3 (instanceRef blk00000b50))
+ (portRef A3 (instanceRef blk00000b52))
+ (portRef A0 (instanceRef blk00000b54))
+ (portRef A1 (instanceRef blk00000b54))
+ (portRef A2 (instanceRef blk00000b54))
+ (portRef A3 (instanceRef blk00000b54))
+ (portRef A0 (instanceRef blk00000b56))
+ (portRef A1 (instanceRef blk00000b56))
+ (portRef A2 (instanceRef blk00000b56))
+ (portRef A3 (instanceRef blk00000b56))
+ (portRef A0 (instanceRef blk00000b58))
+ (portRef A1 (instanceRef blk00000b58))
+ (portRef A2 (instanceRef blk00000b58))
+ (portRef A3 (instanceRef blk00000b58))
+ (portRef A0 (instanceRef blk00000b5a))
+ (portRef A1 (instanceRef blk00000b5a))
+ (portRef A2 (instanceRef blk00000b5a))
+ (portRef A3 (instanceRef blk00000b5a))
+ (portRef A0 (instanceRef blk00000b5c))
+ (portRef A1 (instanceRef blk00000b5c))
+ (portRef A2 (instanceRef blk00000b5c))
+ (portRef A3 (instanceRef blk00000b5c))
+ (portRef A0 (instanceRef blk00000b5e))
+ (portRef A1 (instanceRef blk00000b5e))
+ (portRef A2 (instanceRef blk00000b5e))
+ (portRef A3 (instanceRef blk00000b5e))
+ (portRef A0 (instanceRef blk00000b60))
+ (portRef A1 (instanceRef blk00000b60))
+ (portRef A2 (instanceRef blk00000b60))
+ (portRef A3 (instanceRef blk00000b60))
+ (portRef A0 (instanceRef blk00000b62))
+ (portRef A1 (instanceRef blk00000b62))
+ (portRef A2 (instanceRef blk00000b62))
+ (portRef A3 (instanceRef blk00000b62))
+ (portRef A0 (instanceRef blk00000b64))
+ (portRef A1 (instanceRef blk00000b64))
+ (portRef A2 (instanceRef blk00000b64))
+ (portRef A3 (instanceRef blk00000b64))
+ (portRef A0 (instanceRef blk00000b66))
+ (portRef A1 (instanceRef blk00000b66))
+ (portRef A2 (instanceRef blk00000b66))
+ (portRef A3 (instanceRef blk00000b66))
+ (portRef A0 (instanceRef blk00000b68))
+ (portRef A1 (instanceRef blk00000b68))
+ (portRef A2 (instanceRef blk00000b68))
+ (portRef A3 (instanceRef blk00000b68))
+ (portRef A0 (instanceRef blk00000b6a))
+ (portRef A1 (instanceRef blk00000b6a))
+ (portRef A2 (instanceRef blk00000b6a))
+ (portRef A3 (instanceRef blk00000b6a))
+ (portRef A0 (instanceRef blk00000b6c))
+ (portRef A1 (instanceRef blk00000b6c))
+ (portRef A2 (instanceRef blk00000b6c))
+ (portRef A3 (instanceRef blk00000b6c))
+ (portRef A0 (instanceRef blk00000b6e))
+ (portRef A1 (instanceRef blk00000b6e))
+ (portRef A2 (instanceRef blk00000b6e))
+ (portRef A3 (instanceRef blk00000b6e))
+ (portRef A0 (instanceRef blk00000b70))
+ (portRef A1 (instanceRef blk00000b70))
+ (portRef A2 (instanceRef blk00000b70))
+ (portRef A3 (instanceRef blk00000b70))
+ (portRef A0 (instanceRef blk00000b72))
+ (portRef A1 (instanceRef blk00000b72))
+ (portRef A2 (instanceRef blk00000b72))
+ (portRef A3 (instanceRef blk00000b72))
+ (portRef A0 (instanceRef blk00000b74))
+ (portRef A1 (instanceRef blk00000b74))
+ (portRef A2 (instanceRef blk00000b74))
+ (portRef A3 (instanceRef blk00000b74))
+ (portRef A0 (instanceRef blk00000b76))
+ (portRef A1 (instanceRef blk00000b76))
+ (portRef A2 (instanceRef blk00000b76))
+ (portRef A3 (instanceRef blk00000b76))
+ (portRef A0 (instanceRef blk00000b78))
+ (portRef A1 (instanceRef blk00000b78))
+ (portRef A2 (instanceRef blk00000b78))
+ (portRef A3 (instanceRef blk00000b78))
+ (portRef A0 (instanceRef blk00000b7a))
+ (portRef A1 (instanceRef blk00000b7a))
+ (portRef A2 (instanceRef blk00000b7a))
+ (portRef A3 (instanceRef blk00000b7a))
+ (portRef A0 (instanceRef blk00000b7c))
+ (portRef A1 (instanceRef blk00000b7c))
+ (portRef A2 (instanceRef blk00000b7c))
+ (portRef A3 (instanceRef blk00000b7c))
+ (portRef A0 (instanceRef blk00000b7e))
+ (portRef A1 (instanceRef blk00000b7e))
+ (portRef A2 (instanceRef blk00000b7e))
+ (portRef A3 (instanceRef blk00000b7e))
+ (portRef A0 (instanceRef blk00000b80))
+ (portRef A1 (instanceRef blk00000b80))
+ (portRef A2 (instanceRef blk00000b80))
+ (portRef A3 (instanceRef blk00000b80))
+ (portRef A0 (instanceRef blk00000b82))
+ (portRef A1 (instanceRef blk00000b82))
+ (portRef A2 (instanceRef blk00000b82))
+ (portRef A3 (instanceRef blk00000b82))
+ (portRef A0 (instanceRef blk00000b84))
+ (portRef A1 (instanceRef blk00000b84))
+ (portRef A2 (instanceRef blk00000b84))
+ (portRef A3 (instanceRef blk00000b84))
+ (portRef A0 (instanceRef blk00000b86))
+ (portRef A1 (instanceRef blk00000b86))
+ (portRef A2 (instanceRef blk00000b86))
+ (portRef A3 (instanceRef blk00000b86))
+ (portRef A0 (instanceRef blk00000b88))
+ (portRef A1 (instanceRef blk00000b88))
+ (portRef A2 (instanceRef blk00000b88))
+ (portRef A3 (instanceRef blk00000b88))
+ (portRef A0 (instanceRef blk00000b8a))
+ (portRef A1 (instanceRef blk00000b8a))
+ (portRef A2 (instanceRef blk00000b8a))
+ (portRef A3 (instanceRef blk00000b8a))
+ (portRef A0 (instanceRef blk00000b8c))
+ (portRef A1 (instanceRef blk00000b8c))
+ (portRef A2 (instanceRef blk00000b8c))
+ (portRef A3 (instanceRef blk00000b8c))
+ (portRef A0 (instanceRef blk00000b8e))
+ (portRef A1 (instanceRef blk00000b8e))
+ (portRef A2 (instanceRef blk00000b8e))
+ (portRef A3 (instanceRef blk00000b8e))
+ (portRef A0 (instanceRef blk00000b90))
+ (portRef A1 (instanceRef blk00000b90))
+ (portRef A2 (instanceRef blk00000b90))
+ (portRef A3 (instanceRef blk00000b90))
+ (portRef A0 (instanceRef blk00000b92))
+ (portRef A1 (instanceRef blk00000b92))
+ (portRef A2 (instanceRef blk00000b92))
+ (portRef A3 (instanceRef blk00000b92))
+ (portRef A0 (instanceRef blk00000b94))
+ (portRef A1 (instanceRef blk00000b94))
+ (portRef A2 (instanceRef blk00000b94))
+ (portRef A3 (instanceRef blk00000b94))
+ (portRef A0 (instanceRef blk00000b96))
+ (portRef A1 (instanceRef blk00000b96))
+ (portRef A2 (instanceRef blk00000b96))
+ (portRef A3 (instanceRef blk00000b96))
+ (portRef A0 (instanceRef blk00000b98))
+ (portRef A1 (instanceRef blk00000b98))
+ (portRef A2 (instanceRef blk00000b98))
+ (portRef A3 (instanceRef blk00000b98))
+ (portRef A0 (instanceRef blk00000b9a))
+ (portRef A1 (instanceRef blk00000b9a))
+ (portRef A2 (instanceRef blk00000b9a))
+ (portRef A3 (instanceRef blk00000b9a))
+ (portRef A0 (instanceRef blk00000b9c))
+ (portRef A1 (instanceRef blk00000b9c))
+ (portRef A2 (instanceRef blk00000b9c))
+ (portRef A3 (instanceRef blk00000b9c))
+ (portRef A0 (instanceRef blk00000b9e))
+ (portRef A1 (instanceRef blk00000b9e))
+ (portRef A2 (instanceRef blk00000b9e))
+ (portRef A3 (instanceRef blk00000b9e))
+ (portRef A0 (instanceRef blk00000ba0))
+ (portRef A1 (instanceRef blk00000ba0))
+ (portRef A2 (instanceRef blk00000ba0))
+ (portRef A3 (instanceRef blk00000ba0))
+ (portRef A0 (instanceRef blk00000ba2))
+ (portRef A1 (instanceRef blk00000ba2))
+ (portRef A2 (instanceRef blk00000ba2))
+ (portRef A3 (instanceRef blk00000ba2))
+ (portRef A0 (instanceRef blk00000ba4))
+ (portRef A1 (instanceRef blk00000ba4))
+ (portRef A2 (instanceRef blk00000ba4))
+ (portRef A3 (instanceRef blk00000ba4))
+ (portRef A0 (instanceRef blk00000ba6))
+ (portRef A1 (instanceRef blk00000ba6))
+ (portRef A2 (instanceRef blk00000ba6))
+ (portRef A3 (instanceRef blk00000ba6))
+ (portRef A0 (instanceRef blk00000ba8))
+ (portRef A1 (instanceRef blk00000ba8))
+ (portRef A2 (instanceRef blk00000ba8))
+ (portRef A3 (instanceRef blk00000ba8))
+ (portRef A0 (instanceRef blk00000baa))
+ (portRef A1 (instanceRef blk00000baa))
+ (portRef A2 (instanceRef blk00000baa))
+ (portRef A3 (instanceRef blk00000baa))
+ (portRef A0 (instanceRef blk00000bac))
+ (portRef A1 (instanceRef blk00000bac))
+ (portRef A2 (instanceRef blk00000bac))
+ (portRef A3 (instanceRef blk00000bac))
+ (portRef A0 (instanceRef blk00000bae))
+ (portRef A1 (instanceRef blk00000bae))
+ (portRef A2 (instanceRef blk00000bae))
+ (portRef A3 (instanceRef blk00000bae))
+ (portRef A0 (instanceRef blk00000bb0))
+ (portRef A1 (instanceRef blk00000bb0))
+ (portRef A2 (instanceRef blk00000bb0))
+ (portRef A3 (instanceRef blk00000bb0))
+ (portRef A0 (instanceRef blk00000bb2))
+ (portRef A1 (instanceRef blk00000bb2))
+ (portRef A2 (instanceRef blk00000bb2))
+ (portRef A3 (instanceRef blk00000bb2))
+ (portRef A0 (instanceRef blk00000bb4))
+ (portRef A1 (instanceRef blk00000bb4))
+ (portRef A2 (instanceRef blk00000bb4))
+ (portRef A3 (instanceRef blk00000bb4))
+ (portRef A0 (instanceRef blk00000bb6))
+ (portRef A1 (instanceRef blk00000bb6))
+ (portRef A2 (instanceRef blk00000bb6))
+ (portRef A3 (instanceRef blk00000bb6))
+ (portRef A0 (instanceRef blk00000bb8))
+ (portRef A1 (instanceRef blk00000bb8))
+ (portRef A2 (instanceRef blk00000bb8))
+ (portRef A3 (instanceRef blk00000bb8))
+ (portRef A0 (instanceRef blk00000bba))
+ (portRef A1 (instanceRef blk00000bba))
+ (portRef A2 (instanceRef blk00000bba))
+ (portRef A3 (instanceRef blk00000bba))
+ (portRef A0 (instanceRef blk00000bbc))
+ (portRef A1 (instanceRef blk00000bbc))
+ (portRef A2 (instanceRef blk00000bbc))
+ (portRef A3 (instanceRef blk00000bbc))
+ (portRef A0 (instanceRef blk00000bbe))
+ (portRef A1 (instanceRef blk00000bbe))
+ (portRef A2 (instanceRef blk00000bbe))
+ (portRef A3 (instanceRef blk00000bbe))
+ (portRef A0 (instanceRef blk00000bc0))
+ (portRef A1 (instanceRef blk00000bc0))
+ (portRef A2 (instanceRef blk00000bc0))
+ (portRef A3 (instanceRef blk00000bc0))
+ (portRef A0 (instanceRef blk00000bc2))
+ (portRef A1 (instanceRef blk00000bc2))
+ (portRef A2 (instanceRef blk00000bc2))
+ (portRef A3 (instanceRef blk00000bc2))
+ (portRef A0 (instanceRef blk00000bc4))
+ (portRef A1 (instanceRef blk00000bc4))
+ (portRef A2 (instanceRef blk00000bc4))
+ (portRef A3 (instanceRef blk00000bc4))
+ (portRef A0 (instanceRef blk00000bc6))
+ (portRef A1 (instanceRef blk00000bc6))
+ (portRef A2 (instanceRef blk00000bc6))
+ (portRef A3 (instanceRef blk00000bc6))
+ (portRef A0 (instanceRef blk00000bc8))
+ (portRef A1 (instanceRef blk00000bc8))
+ (portRef A2 (instanceRef blk00000bc8))
+ (portRef A3 (instanceRef blk00000bc8))
+ (portRef A0 (instanceRef blk00000bca))
+ (portRef A1 (instanceRef blk00000bca))
+ (portRef A2 (instanceRef blk00000bca))
+ (portRef A3 (instanceRef blk00000bca))
+ (portRef A0 (instanceRef blk00000bcc))
+ (portRef A1 (instanceRef blk00000bcc))
+ (portRef A2 (instanceRef blk00000bcc))
+ (portRef A3 (instanceRef blk00000bcc))
+ (portRef A0 (instanceRef blk00000bce))
+ (portRef A1 (instanceRef blk00000bce))
+ (portRef A2 (instanceRef blk00000bce))
+ (portRef A3 (instanceRef blk00000bce))
+ (portRef A0 (instanceRef blk00000bd0))
+ (portRef A1 (instanceRef blk00000bd0))
+ (portRef A2 (instanceRef blk00000bd0))
+ (portRef A3 (instanceRef blk00000bd0))
+ (portRef A0 (instanceRef blk00000bd2))
+ (portRef A1 (instanceRef blk00000bd2))
+ (portRef A2 (instanceRef blk00000bd2))
+ (portRef A3 (instanceRef blk00000bd2))
+ (portRef A0 (instanceRef blk00000bd4))
+ (portRef A1 (instanceRef blk00000bd4))
+ (portRef A2 (instanceRef blk00000bd4))
+ (portRef A3 (instanceRef blk00000bd4))
+ (portRef A0 (instanceRef blk00000bd6))
+ (portRef A1 (instanceRef blk00000bd6))
+ (portRef A2 (instanceRef blk00000bd6))
+ (portRef A3 (instanceRef blk00000bd6))
+ (portRef A0 (instanceRef blk00000bd8))
+ (portRef A1 (instanceRef blk00000bd8))
+ (portRef A2 (instanceRef blk00000bd8))
+ (portRef A3 (instanceRef blk00000bd8))
+ (portRef A0 (instanceRef blk00000bda))
+ (portRef A1 (instanceRef blk00000bda))
+ (portRef A2 (instanceRef blk00000bda))
+ (portRef A3 (instanceRef blk00000bda))
+ (portRef A0 (instanceRef blk00000bdc))
+ (portRef A1 (instanceRef blk00000bdc))
+ (portRef A2 (instanceRef blk00000bdc))
+ (portRef A3 (instanceRef blk00000bdc))
+ (portRef A0 (instanceRef blk00000bde))
+ (portRef A1 (instanceRef blk00000bde))
+ (portRef A2 (instanceRef blk00000bde))
+ (portRef A3 (instanceRef blk00000bde))
+ (portRef A0 (instanceRef blk00000be0))
+ (portRef A1 (instanceRef blk00000be0))
+ (portRef A2 (instanceRef blk00000be0))
+ (portRef A3 (instanceRef blk00000be0))
+ (portRef A0 (instanceRef blk00000be2))
+ (portRef A1 (instanceRef blk00000be2))
+ (portRef A2 (instanceRef blk00000be2))
+ (portRef A3 (instanceRef blk00000be2))
+ (portRef A0 (instanceRef blk00000be4))
+ (portRef A1 (instanceRef blk00000be4))
+ (portRef A2 (instanceRef blk00000be4))
+ (portRef A3 (instanceRef blk00000be4))
+ (portRef A0 (instanceRef blk00000be6))
+ (portRef A1 (instanceRef blk00000be6))
+ (portRef A2 (instanceRef blk00000be6))
+ (portRef A3 (instanceRef blk00000be6))
+ (portRef A0 (instanceRef blk00000be8))
+ (portRef A1 (instanceRef blk00000be8))
+ (portRef A2 (instanceRef blk00000be8))
+ (portRef A3 (instanceRef blk00000be8))
+ (portRef A0 (instanceRef blk00000bea))
+ (portRef A1 (instanceRef blk00000bea))
+ (portRef A2 (instanceRef blk00000bea))
+ (portRef A3 (instanceRef blk00000bea))
+ (portRef A0 (instanceRef blk00000bec))
+ (portRef A1 (instanceRef blk00000bec))
+ (portRef A2 (instanceRef blk00000bec))
+ (portRef A3 (instanceRef blk00000bec))
+ (portRef A0 (instanceRef blk00000bee))
+ (portRef A1 (instanceRef blk00000bee))
+ (portRef A2 (instanceRef blk00000bee))
+ (portRef A3 (instanceRef blk00000bee))
+ (portRef A0 (instanceRef blk00000bf0))
+ (portRef A1 (instanceRef blk00000bf0))
+ (portRef A2 (instanceRef blk00000bf0))
+ (portRef A3 (instanceRef blk00000bf0))
+ (portRef A0 (instanceRef blk00000bf2))
+ (portRef A1 (instanceRef blk00000bf2))
+ (portRef A2 (instanceRef blk00000bf2))
+ (portRef A3 (instanceRef blk00000bf2))
+ (portRef A0 (instanceRef blk00000bf4))
+ (portRef A1 (instanceRef blk00000bf4))
+ (portRef A2 (instanceRef blk00000bf4))
+ (portRef A3 (instanceRef blk00000bf4))
+ (portRef A0 (instanceRef blk00000bf6))
+ (portRef A1 (instanceRef blk00000bf6))
+ (portRef A2 (instanceRef blk00000bf6))
+ (portRef A3 (instanceRef blk00000bf6))
+ (portRef A0 (instanceRef blk00000bf8))
+ (portRef A1 (instanceRef blk00000bf8))
+ (portRef A2 (instanceRef blk00000bf8))
+ (portRef A3 (instanceRef blk00000bf8))
+ (portRef A0 (instanceRef blk00000bfa))
+ (portRef A1 (instanceRef blk00000bfa))
+ (portRef A2 (instanceRef blk00000bfa))
+ (portRef A3 (instanceRef blk00000bfa))
+ (portRef A0 (instanceRef blk00000bfc))
+ (portRef A1 (instanceRef blk00000bfc))
+ (portRef A2 (instanceRef blk00000bfc))
+ (portRef A3 (instanceRef blk00000bfc))
+ (portRef A0 (instanceRef blk00000bfe))
+ (portRef A1 (instanceRef blk00000bfe))
+ (portRef A2 (instanceRef blk00000bfe))
+ (portRef A3 (instanceRef blk00000bfe))
+ (portRef A0 (instanceRef blk00000c00))
+ (portRef A1 (instanceRef blk00000c00))
+ (portRef A2 (instanceRef blk00000c00))
+ (portRef A3 (instanceRef blk00000c00))
+ (portRef A0 (instanceRef blk00000c02))
+ (portRef A1 (instanceRef blk00000c02))
+ (portRef A2 (instanceRef blk00000c02))
+ (portRef A3 (instanceRef blk00000c02))
+ (portRef A0 (instanceRef blk00000c04))
+ (portRef A1 (instanceRef blk00000c04))
+ (portRef A2 (instanceRef blk00000c04))
+ (portRef A3 (instanceRef blk00000c04))
+ (portRef A0 (instanceRef blk00000c06))
+ (portRef A1 (instanceRef blk00000c06))
+ (portRef A2 (instanceRef blk00000c06))
+ (portRef A3 (instanceRef blk00000c06))
+ (portRef A0 (instanceRef blk00000c08))
+ (portRef A1 (instanceRef blk00000c08))
+ (portRef A2 (instanceRef blk00000c08))
+ (portRef A3 (instanceRef blk00000c08))
+ (portRef A0 (instanceRef blk00000c0a))
+ (portRef A1 (instanceRef blk00000c0a))
+ (portRef A2 (instanceRef blk00000c0a))
+ (portRef A3 (instanceRef blk00000c0a))
+ (portRef A0 (instanceRef blk00000c0c))
+ (portRef A1 (instanceRef blk00000c0c))
+ (portRef A2 (instanceRef blk00000c0c))
+ (portRef A3 (instanceRef blk00000c0c))
+ (portRef A0 (instanceRef blk00000c0e))
+ (portRef A1 (instanceRef blk00000c0e))
+ (portRef A2 (instanceRef blk00000c0e))
+ (portRef A3 (instanceRef blk00000c0e))
+ (portRef A0 (instanceRef blk00000c10))
+ (portRef A1 (instanceRef blk00000c10))
+ (portRef A2 (instanceRef blk00000c10))
+ (portRef A3 (instanceRef blk00000c10))
+ (portRef A0 (instanceRef blk00000c12))
+ (portRef A1 (instanceRef blk00000c12))
+ (portRef A2 (instanceRef blk00000c12))
+ (portRef A3 (instanceRef blk00000c12))
+ )
+ )
+ (net sig0000004a
+ (joined
+ (portRef (member chan_out 0))
+ (portRef Q (instanceRef blk000007ee))
+ (portRef I1 (instanceRef blk0000088e))
+ )
+ )
+ (net sig0000004b
+ (joined
+ (portRef (member dout_1 0))
+ (portRef Q (instanceRef blk000007be))
+ (portRef I3 (instanceRef blk0000085f))
+ )
+ )
+ (net sig0000004c
+ (joined
+ (portRef (member dout_1 1))
+ (portRef Q (instanceRef blk000007bd))
+ (portRef I3 (instanceRef blk0000085d))
+ )
+ )
+ (net sig0000004d
+ (joined
+ (portRef (member dout_1 2))
+ (portRef Q (instanceRef blk000007bc))
+ (portRef I3 (instanceRef blk0000085b))
+ )
+ )
+ (net sig0000004e
+ (joined
+ (portRef (member dout_1 3))
+ (portRef Q (instanceRef blk000007bb))
+ (portRef I3 (instanceRef blk0000085c))
+ )
+ )
+ (net sig0000004f
+ (joined
+ (portRef (member dout_1 4))
+ (portRef Q (instanceRef blk000007ba))
+ (portRef I3 (instanceRef blk0000085a))
+ )
+ )
+ (net sig00000050
+ (joined
+ (portRef (member dout_1 5))
+ (portRef Q (instanceRef blk000007b9))
+ (portRef I3 (instanceRef blk00000858))
+ )
+ )
+ (net sig00000051
+ (joined
+ (portRef (member dout_1 6))
+ (portRef Q (instanceRef blk000007b8))
+ (portRef I3 (instanceRef blk00000859))
+ )
+ )
+ (net sig00000052
+ (joined
+ (portRef (member dout_1 7))
+ (portRef Q (instanceRef blk000007b7))
+ (portRef I3 (instanceRef blk00000857))
+ )
+ )
+ (net sig00000053
+ (joined
+ (portRef (member dout_1 8))
+ (portRef Q (instanceRef blk000007b6))
+ (portRef I3 (instanceRef blk00000855))
+ )
+ )
+ (net sig00000054
+ (joined
+ (portRef (member dout_1 9))
+ (portRef Q (instanceRef blk000007b5))
+ (portRef I3 (instanceRef blk00000856))
+ )
+ )
+ (net sig00000055
+ (joined
+ (portRef (member dout_1 10))
+ (portRef Q (instanceRef blk000007b4))
+ (portRef I3 (instanceRef blk00000854))
+ )
+ )
+ (net sig00000056
+ (joined
+ (portRef (member dout_1 11))
+ (portRef Q (instanceRef blk000007b3))
+ (portRef I3 (instanceRef blk00000852))
+ )
+ )
+ (net sig00000057
+ (joined
+ (portRef (member dout_1 12))
+ (portRef Q (instanceRef blk000007b2))
+ (portRef I3 (instanceRef blk00000853))
+ )
+ )
+ (net sig00000058
+ (joined
+ (portRef (member dout_1 13))
+ (portRef Q (instanceRef blk000007b1))
+ (portRef I3 (instanceRef blk00000851))
+ )
+ )
+ (net sig00000059
+ (joined
+ (portRef (member dout_1 14))
+ (portRef Q (instanceRef blk000007b0))
+ (portRef I3 (instanceRef blk0000084f))
+ )
+ )
+ (net sig0000005a
+ (joined
+ (portRef (member dout_1 15))
+ (portRef Q (instanceRef blk000007af))
+ (portRef I3 (instanceRef blk00000850))
+ )
+ )
+ (net sig0000005b
+ (joined
+ (portRef (member dout_1 16))
+ (portRef Q (instanceRef blk000007ae))
+ (portRef I3 (instanceRef blk0000084e))
+ )
+ )
+ (net sig0000005c
+ (joined
+ (portRef (member dout_1 17))
+ (portRef Q (instanceRef blk000007ad))
+ (portRef I3 (instanceRef blk0000084c))
+ )
+ )
+ (net sig0000005d
+ (joined
+ (portRef (member dout_1 18))
+ (portRef Q (instanceRef blk000007ac))
+ (portRef I3 (instanceRef blk0000084d))
+ )
+ )
+ (net sig0000005e
+ (joined
+ (portRef (member dout_1 19))
+ (portRef Q (instanceRef blk000007ab))
+ (portRef I3 (instanceRef blk0000084b))
+ )
+ )
+ (net sig0000005f
+ (joined
+ (portRef (member dout_1 20))
+ (portRef Q (instanceRef blk000007aa))
+ (portRef I3 (instanceRef blk00000849))
+ )
+ )
+ (net sig00000060
+ (joined
+ (portRef (member dout_1 21))
+ (portRef Q (instanceRef blk000007a9))
+ (portRef I3 (instanceRef blk0000084a))
+ )
+ )
+ (net sig00000061
+ (joined
+ (portRef (member dout_1 22))
+ (portRef Q (instanceRef blk000007a8))
+ (portRef I3 (instanceRef blk00000848))
+ )
+ )
+ (net sig00000062
+ (joined
+ (portRef (member dout_1 23))
+ (portRef Q (instanceRef blk000007a7))
+ (portRef I3 (instanceRef blk00000846))
+ )
+ )
+ (net sig00000063
+ (joined
+ (portRef (member dout_1 24))
+ (portRef Q (instanceRef blk000007a6))
+ (portRef I3 (instanceRef blk00000847))
+ )
+ )
+ (net sig00000064
+ (joined
+ (portRef (member dout_1 25))
+ (portRef Q (instanceRef blk000007a5))
+ (portRef I3 (instanceRef blk00000845))
+ )
+ )
+ (net sig00000065
+ (joined
+ (portRef (member dout_1 26))
+ (portRef Q (instanceRef blk000007a4))
+ (portRef I3 (instanceRef blk00000843))
+ )
+ )
+ (net sig00000066
+ (joined
+ (portRef (member dout_1 27))
+ (portRef Q (instanceRef blk000007a3))
+ (portRef I3 (instanceRef blk00000844))
+ )
+ )
+ (net sig00000067
+ (joined
+ (portRef (member dout_1 28))
+ (portRef Q (instanceRef blk000007a2))
+ (portRef I3 (instanceRef blk00000842))
+ )
+ )
+ (net sig00000068
+ (joined
+ (portRef (member dout_1 29))
+ (portRef Q (instanceRef blk000007a1))
+ (portRef I3 (instanceRef blk00000840))
+ )
+ )
+ (net sig00000069
+ (joined
+ (portRef (member dout_1 30))
+ (portRef Q (instanceRef blk000007a0))
+ (portRef I3 (instanceRef blk00000841))
+ )
+ )
+ (net sig0000006a
+ (joined
+ (portRef (member dout_1 31))
+ (portRef Q (instanceRef blk0000079f))
+ (portRef I3 (instanceRef blk0000083f))
+ )
+ )
+ (net sig0000006b
+ (joined
+ (portRef (member dout_1 32))
+ (portRef Q (instanceRef blk0000079e))
+ (portRef I3 (instanceRef blk0000083d))
+ )
+ )
+ (net sig0000006c
+ (joined
+ (portRef (member dout_1 33))
+ (portRef Q (instanceRef blk0000079d))
+ (portRef I3 (instanceRef blk0000083e))
+ )
+ )
+ (net sig0000006d
+ (joined
+ (portRef (member dout_1 34))
+ (portRef Q (instanceRef blk0000079c))
+ (portRef I3 (instanceRef blk0000083c))
+ )
+ )
+ (net sig0000006e
+ (joined
+ (portRef (member dout_1 35))
+ (portRef Q (instanceRef blk0000079b))
+ (portRef I3 (instanceRef blk0000083a))
+ )
+ )
+ (net sig0000006f
+ (joined
+ (portRef (member dout_1 36))
+ (portRef Q (instanceRef blk0000079a))
+ (portRef I3 (instanceRef blk0000083b))
+ )
+ )
+ (net sig00000070
+ (joined
+ (portRef (member dout_1 37))
+ (portRef Q (instanceRef blk00000799))
+ (portRef I3 (instanceRef blk00000839))
+ )
+ )
+ (net sig00000071
+ (joined
+ (portRef (member dout_1 38))
+ (portRef Q (instanceRef blk00000798))
+ (portRef I3 (instanceRef blk00000837))
+ )
+ )
+ (net sig00000072
+ (joined
+ (portRef (member dout_1 39))
+ (portRef Q (instanceRef blk00000797))
+ (portRef I3 (instanceRef blk00000838))
+ )
+ )
+ (net sig00000073
+ (joined
+ (portRef (member dout_1 40))
+ (portRef Q (instanceRef blk00000796))
+ (portRef I3 (instanceRef blk00000836))
+ )
+ )
+ (net sig00000074
+ (joined
+ (portRef (member dout_1 41))
+ (portRef Q (instanceRef blk00000795))
+ (portRef I3 (instanceRef blk00000834))
+ )
+ )
+ (net sig00000075
+ (joined
+ (portRef (member dout_1 42))
+ (portRef Q (instanceRef blk00000794))
+ (portRef I3 (instanceRef blk00000835))
+ )
+ )
+ (net sig00000076
+ (joined
+ (portRef (member dout_1 43))
+ (portRef Q (instanceRef blk00000793))
+ (portRef I3 (instanceRef blk00000833))
+ )
+ )
+ (net sig00000077
+ (joined
+ (portRef (member dout_1 44))
+ (portRef Q (instanceRef blk00000792))
+ (portRef I3 (instanceRef blk00000831))
+ )
+ )
+ (net sig00000078
+ (joined
+ (portRef (member dout_1 45))
+ (portRef Q (instanceRef blk00000791))
+ (portRef I3 (instanceRef blk00000832))
+ )
+ )
+ (net sig00000079
+ (joined
+ (portRef (member dout_1 46))
+ (portRef Q (instanceRef blk00000790))
+ (portRef I3 (instanceRef blk00000830))
+ )
+ )
+ (net sig0000007a
+ (joined
+ (portRef (member dout_2 0))
+ (portRef Q (instanceRef blk000007ed))
+ (portRef I3 (instanceRef blk0000088c))
+ )
+ )
+ (net sig0000007b
+ (joined
+ (portRef (member dout_2 1))
+ (portRef Q (instanceRef blk000007ec))
+ (portRef I3 (instanceRef blk0000088d))
+ )
+ )
+ (net sig0000007c
+ (joined
+ (portRef (member dout_2 2))
+ (portRef Q (instanceRef blk000007eb))
+ (portRef I3 (instanceRef blk0000088b))
+ )
+ )
+ (net sig0000007d
+ (joined
+ (portRef (member dout_2 3))
+ (portRef Q (instanceRef blk000007ea))
+ (portRef I3 (instanceRef blk00000889))
+ )
+ )
+ (net sig0000007e
+ (joined
+ (portRef (member dout_2 4))
+ (portRef Q (instanceRef blk000007e9))
+ (portRef I3 (instanceRef blk0000088a))
+ )
+ )
+ (net sig0000007f
+ (joined
+ (portRef (member dout_2 5))
+ (portRef Q (instanceRef blk000007e8))
+ (portRef I3 (instanceRef blk00000888))
+ )
+ )
+ (net sig00000080
+ (joined
+ (portRef (member dout_2 6))
+ (portRef Q (instanceRef blk000007e7))
+ (portRef I3 (instanceRef blk00000886))
+ )
+ )
+ (net sig00000081
+ (joined
+ (portRef (member dout_2 7))
+ (portRef Q (instanceRef blk000007e6))
+ (portRef I3 (instanceRef blk00000887))
+ )
+ )
+ (net sig00000082
+ (joined
+ (portRef (member dout_2 8))
+ (portRef Q (instanceRef blk000007e5))
+ (portRef I3 (instanceRef blk00000885))
+ )
+ )
+ (net sig00000083
+ (joined
+ (portRef (member dout_2 9))
+ (portRef Q (instanceRef blk000007e4))
+ (portRef I3 (instanceRef blk00000883))
+ )
+ )
+ (net sig00000084
+ (joined
+ (portRef (member dout_2 10))
+ (portRef Q (instanceRef blk000007e3))
+ (portRef I3 (instanceRef blk00000884))
+ )
+ )
+ (net sig00000085
+ (joined
+ (portRef (member dout_2 11))
+ (portRef Q (instanceRef blk000007e2))
+ (portRef I3 (instanceRef blk00000882))
+ )
+ )
+ (net sig00000086
+ (joined
+ (portRef (member dout_2 12))
+ (portRef Q (instanceRef blk000007e1))
+ (portRef I3 (instanceRef blk00000880))
+ )
+ )
+ (net sig00000087
+ (joined
+ (portRef (member dout_2 13))
+ (portRef Q (instanceRef blk000007e0))
+ (portRef I3 (instanceRef blk00000881))
+ )
+ )
+ (net sig00000088
+ (joined
+ (portRef (member dout_2 14))
+ (portRef Q (instanceRef blk000007df))
+ (portRef I3 (instanceRef blk0000087f))
+ )
+ )
+ (net sig00000089
+ (joined
+ (portRef (member dout_2 15))
+ (portRef Q (instanceRef blk000007de))
+ (portRef I3 (instanceRef blk0000087d))
+ )
+ )
+ (net sig0000008a
+ (joined
+ (portRef (member dout_2 16))
+ (portRef Q (instanceRef blk000007dd))
+ (portRef I3 (instanceRef blk0000087e))
+ )
+ )
+ (net sig0000008b
+ (joined
+ (portRef (member dout_2 17))
+ (portRef Q (instanceRef blk000007dc))
+ (portRef I3 (instanceRef blk0000087c))
+ )
+ )
+ (net sig0000008c
+ (joined
+ (portRef (member dout_2 18))
+ (portRef Q (instanceRef blk000007db))
+ (portRef I3 (instanceRef blk0000087a))
+ )
+ )
+ (net sig0000008d
+ (joined
+ (portRef (member dout_2 19))
+ (portRef Q (instanceRef blk000007da))
+ (portRef I3 (instanceRef blk0000087b))
+ )
+ )
+ (net sig0000008e
+ (joined
+ (portRef (member dout_2 20))
+ (portRef Q (instanceRef blk000007d9))
+ (portRef I3 (instanceRef blk00000879))
+ )
+ )
+ (net sig0000008f
+ (joined
+ (portRef (member dout_2 21))
+ (portRef Q (instanceRef blk000007d8))
+ (portRef I3 (instanceRef blk00000877))
+ )
+ )
+ (net sig00000090
+ (joined
+ (portRef (member dout_2 22))
+ (portRef Q (instanceRef blk000007d7))
+ (portRef I3 (instanceRef blk00000878))
+ )
+ )
+ (net sig00000091
+ (joined
+ (portRef (member dout_2 23))
+ (portRef Q (instanceRef blk000007d6))
+ (portRef I3 (instanceRef blk00000876))
+ )
+ )
+ (net sig00000092
+ (joined
+ (portRef (member dout_2 24))
+ (portRef Q (instanceRef blk000007d5))
+ (portRef I3 (instanceRef blk00000874))
+ )
+ )
+ (net sig00000093
+ (joined
+ (portRef (member dout_2 25))
+ (portRef Q (instanceRef blk000007d4))
+ (portRef I3 (instanceRef blk00000875))
+ )
+ )
+ (net sig00000094
+ (joined
+ (portRef (member dout_2 26))
+ (portRef Q (instanceRef blk000007d3))
+ (portRef I3 (instanceRef blk00000873))
+ )
+ )
+ (net sig00000095
+ (joined
+ (portRef (member dout_2 27))
+ (portRef Q (instanceRef blk000007d2))
+ (portRef I3 (instanceRef blk00000871))
+ )
+ )
+ (net sig00000096
+ (joined
+ (portRef (member dout_2 28))
+ (portRef Q (instanceRef blk000007d1))
+ (portRef I3 (instanceRef blk00000872))
+ )
+ )
+ (net sig00000097
+ (joined
+ (portRef (member dout_2 29))
+ (portRef Q (instanceRef blk000007d0))
+ (portRef I3 (instanceRef blk00000870))
+ )
+ )
+ (net sig00000098
+ (joined
+ (portRef (member dout_2 30))
+ (portRef Q (instanceRef blk000007cf))
+ (portRef I3 (instanceRef blk0000086e))
+ )
+ )
+ (net sig00000099
+ (joined
+ (portRef (member dout_2 31))
+ (portRef Q (instanceRef blk000007ce))
+ (portRef I3 (instanceRef blk0000086f))
+ )
+ )
+ (net sig0000009a
+ (joined
+ (portRef (member dout_2 32))
+ (portRef Q (instanceRef blk000007cd))
+ (portRef I3 (instanceRef blk0000086d))
+ )
+ )
+ (net sig0000009b
+ (joined
+ (portRef (member dout_2 33))
+ (portRef Q (instanceRef blk000007cc))
+ (portRef I3 (instanceRef blk0000086b))
+ )
+ )
+ (net sig0000009c
+ (joined
+ (portRef (member dout_2 34))
+ (portRef Q (instanceRef blk000007cb))
+ (portRef I3 (instanceRef blk0000086c))
+ )
+ )
+ (net sig0000009d
+ (joined
+ (portRef (member dout_2 35))
+ (portRef Q (instanceRef blk000007ca))
+ (portRef I3 (instanceRef blk0000086a))
+ )
+ )
+ (net sig0000009e
+ (joined
+ (portRef (member dout_2 36))
+ (portRef Q (instanceRef blk000007c9))
+ (portRef I3 (instanceRef blk00000868))
+ )
+ )
+ (net sig0000009f
+ (joined
+ (portRef (member dout_2 37))
+ (portRef Q (instanceRef blk000007c8))
+ (portRef I3 (instanceRef blk00000869))
+ )
+ )
+ (net sig000000a0
+ (joined
+ (portRef (member dout_2 38))
+ (portRef Q (instanceRef blk000007c7))
+ (portRef I3 (instanceRef blk00000867))
+ )
+ )
+ (net sig000000a1
+ (joined
+ (portRef (member dout_2 39))
+ (portRef Q (instanceRef blk000007c6))
+ (portRef I3 (instanceRef blk00000865))
+ )
+ )
+ (net sig000000a2
+ (joined
+ (portRef (member dout_2 40))
+ (portRef Q (instanceRef blk000007c5))
+ (portRef I3 (instanceRef blk00000866))
+ )
+ )
+ (net sig000000a3
+ (joined
+ (portRef (member dout_2 41))
+ (portRef Q (instanceRef blk000007c4))
+ (portRef I3 (instanceRef blk00000864))
+ )
+ )
+ (net sig000000a4
+ (joined
+ (portRef (member dout_2 42))
+ (portRef Q (instanceRef blk000007c3))
+ (portRef I3 (instanceRef blk00000862))
+ )
+ )
+ (net sig000000a5
+ (joined
+ (portRef (member dout_2 43))
+ (portRef Q (instanceRef blk000007c2))
+ (portRef I3 (instanceRef blk00000863))
+ )
+ )
+ (net sig000000a6
+ (joined
+ (portRef (member dout_2 44))
+ (portRef Q (instanceRef blk000007c1))
+ (portRef I3 (instanceRef blk00000861))
+ )
+ )
+ (net sig000000a7
+ (joined
+ (portRef (member dout_2 45))
+ (portRef Q (instanceRef blk000007c0))
+ (portRef I3 (instanceRef blk00000860))
+ )
+ )
+ (net sig000000a8
+ (joined
+ (portRef (member dout_2 46))
+ (portRef Q (instanceRef blk000007bf))
+ (portRef I3 (instanceRef blk0000085e))
+ )
+ )
+ (net sig000000a9
+ (joined
+ (portRef rfd)
+ (portRef P (instanceRef blk00000005))
+ (portRef CI (instanceRef blk00000008))
+ (portRef LI (instanceRef blk00000012))
+ (portRef CI (instanceRef blk00000014))
+ (portRef INMODE_2_ (instanceRef blk00000015))
+ (portRef INMODE_2_ (instanceRef blk00000016))
+ (portRef LI (instanceRef blk00000093))
+ (portRef CI (instanceRef blk00000097))
+ (portRef CI (instanceRef blk0000009a))
+ (portRef DI (instanceRef blk000000b7))
+ (portRef LI (instanceRef blk000000c0))
+ (portRef LI (instanceRef blk000000ca))
+ (portRef DI (instanceRef blk000000d5))
+ (portRef DI (instanceRef blk000000de))
+ (portRef OPMODE_5_ (instanceRef blk000000e7))
+ (portRef OPMODE_4_ (instanceRef blk000000e7))
+ (portRef OPMODE_2_ (instanceRef blk000000e7))
+ (portRef OPMODE_0_ (instanceRef blk000000e7))
+ (portRef INMODE_2_ (instanceRef blk000000e7))
+ (portRef OPMODE_5_ (instanceRef blk000000e8))
+ (portRef OPMODE_4_ (instanceRef blk000000e8))
+ (portRef OPMODE_2_ (instanceRef blk000000e8))
+ (portRef OPMODE_0_ (instanceRef blk000000e8))
+ (portRef INMODE_2_ (instanceRef blk000000e8))
+ (portRef OPMODE_4_ (instanceRef blk000000e9))
+ (portRef OPMODE_2_ (instanceRef blk000000e9))
+ (portRef OPMODE_0_ (instanceRef blk000000e9))
+ (portRef INMODE_2_ (instanceRef blk000000e9))
+ (portRef OPMODE_4_ (instanceRef blk000000ea))
+ (portRef OPMODE_2_ (instanceRef blk000000ea))
+ (portRef OPMODE_0_ (instanceRef blk000000ea))
+ (portRef INMODE_2_ (instanceRef blk000000ea))
+ (portRef OPMODE_4_ (instanceRef blk000000eb))
+ (portRef OPMODE_2_ (instanceRef blk000000eb))
+ (portRef OPMODE_0_ (instanceRef blk000000eb))
+ (portRef INMODE_2_ (instanceRef blk000000eb))
+ (portRef OPMODE_4_ (instanceRef blk000000ec))
+ (portRef OPMODE_2_ (instanceRef blk000000ec))
+ (portRef OPMODE_0_ (instanceRef blk000000ec))
+ (portRef INMODE_2_ (instanceRef blk000000ec))
+ (portRef OPMODE_4_ (instanceRef blk000000ed))
+ (portRef OPMODE_2_ (instanceRef blk000000ed))
+ (portRef OPMODE_0_ (instanceRef blk000000ed))
+ (portRef INMODE_2_ (instanceRef blk000000ed))
+ (portRef OPMODE_4_ (instanceRef blk000000ee))
+ (portRef OPMODE_2_ (instanceRef blk000000ee))
+ (portRef OPMODE_0_ (instanceRef blk000000ee))
+ (portRef INMODE_2_ (instanceRef blk000000ee))
+ (portRef OPMODE_4_ (instanceRef blk000000ef))
+ (portRef OPMODE_2_ (instanceRef blk000000ef))
+ (portRef OPMODE_0_ (instanceRef blk000000ef))
+ (portRef INMODE_2_ (instanceRef blk000000ef))
+ (portRef OPMODE_4_ (instanceRef blk000000f0))
+ (portRef OPMODE_2_ (instanceRef blk000000f0))
+ (portRef OPMODE_0_ (instanceRef blk000000f0))
+ (portRef INMODE_2_ (instanceRef blk000000f0))
+ (portRef OPMODE_4_ (instanceRef blk000000f1))
+ (portRef OPMODE_2_ (instanceRef blk000000f1))
+ (portRef OPMODE_0_ (instanceRef blk000000f1))
+ (portRef INMODE_2_ (instanceRef blk000000f1))
+ (portRef OPMODE_4_ (instanceRef blk000000f2))
+ (portRef OPMODE_2_ (instanceRef blk000000f2))
+ (portRef OPMODE_0_ (instanceRef blk000000f2))
+ (portRef INMODE_2_ (instanceRef blk000000f2))
+ (portRef DI (instanceRef blk000007f9))
+ (portRef A0 (instanceRef blk000008dc))
+ (portRef A1 (instanceRef blk000008dc))
+ (portRef A2 (instanceRef blk000008de))
+ (portRef A0 (instanceRef blk000008e0))
+ (portRef A1 (instanceRef blk00000902))
+ (portRef A0 (instanceRef blk00000908))
+ (portRef A1 (instanceRef blk0000090a))
+ (portRef A0 (instanceRef blk0000090c))
+ (portRef A1 (instanceRef blk0000090c))
+ (portRef A0 (instanceRef blk0000090e))
+ (portRef A0 (instanceRef blk00000910))
+ (portRef A0 (instanceRef blk00000912))
+ (portRef A0 (instanceRef blk00000914))
+ (portRef A0 (instanceRef blk00000916))
+ (portRef A0 (instanceRef blk00000918))
+ (portRef A0 (instanceRef blk0000091a))
+ (portRef A0 (instanceRef blk0000091c))
+ (portRef A0 (instanceRef blk0000091e))
+ (portRef A0 (instanceRef blk00000920))
+ (portRef A0 (instanceRef blk00000922))
+ (portRef A0 (instanceRef blk00000924))
+ (portRef A0 (instanceRef blk00000926))
+ (portRef A0 (instanceRef blk00000928))
+ (portRef A0 (instanceRef blk0000092a))
+ (portRef A0 (instanceRef blk0000092c))
+ (portRef A0 (instanceRef blk0000092e))
+ (portRef A0 (instanceRef blk00000930))
+ (portRef A0 (instanceRef blk00000932))
+ (portRef A0 (instanceRef blk00000934))
+ (portRef A0 (instanceRef blk00000936))
+ (portRef A0 (instanceRef blk00000938))
+ (portRef A0 (instanceRef blk0000093a))
+ (portRef A0 (instanceRef blk0000093c))
+ (portRef A0 (instanceRef blk0000093e))
+ (portRef A0 (instanceRef blk00000940))
+ (portRef A0 (instanceRef blk00000942))
+ (portRef A0 (instanceRef blk00000944))
+ (portRef A0 (instanceRef blk00000946))
+ (portRef A0 (instanceRef blk00000948))
+ (portRef A0 (instanceRef blk0000094a))
+ (portRef A0 (instanceRef blk0000094c))
+ (portRef A0 (instanceRef blk0000094e))
+ (portRef A0 (instanceRef blk00000950))
+ (portRef A0 (instanceRef blk00000952))
+ (portRef A0 (instanceRef blk00000954))
+ (portRef A0 (instanceRef blk00000956))
+ (portRef A0 (instanceRef blk00000958))
+ (portRef A0 (instanceRef blk0000095a))
+ (portRef A0 (instanceRef blk0000095c))
+ (portRef A0 (instanceRef blk0000095e))
+ (portRef A0 (instanceRef blk00000960))
+ (portRef A0 (instanceRef blk00000962))
+ (portRef A0 (instanceRef blk00000964))
+ (portRef A0 (instanceRef blk00000966))
+ (portRef A0 (instanceRef blk00000968))
+ (portRef A0 (instanceRef blk0000096c))
+ (portRef A1 (instanceRef blk000009cc))
+ (portRef A3 (instanceRef blk000009cc))
+ (portRef A1 (instanceRef blk000009ce))
+ (portRef A3 (instanceRef blk000009ce))
+ (portRef A1 (instanceRef blk000009d0))
+ (portRef A3 (instanceRef blk000009d0))
+ (portRef A1 (instanceRef blk000009d2))
+ (portRef A3 (instanceRef blk000009d2))
+ (portRef A1 (instanceRef blk000009d4))
+ (portRef A3 (instanceRef blk000009d4))
+ (portRef A1 (instanceRef blk000009d6))
+ (portRef A3 (instanceRef blk000009d6))
+ (portRef A1 (instanceRef blk000009d8))
+ (portRef A3 (instanceRef blk000009d8))
+ (portRef A1 (instanceRef blk000009da))
+ (portRef A3 (instanceRef blk000009da))
+ (portRef A1 (instanceRef blk000009dc))
+ (portRef A3 (instanceRef blk000009dc))
+ (portRef A1 (instanceRef blk000009de))
+ (portRef A3 (instanceRef blk000009de))
+ (portRef A1 (instanceRef blk000009e0))
+ (portRef A3 (instanceRef blk000009e0))
+ (portRef A1 (instanceRef blk000009e2))
+ (portRef A3 (instanceRef blk000009e2))
+ (portRef A1 (instanceRef blk000009e4))
+ (portRef A3 (instanceRef blk000009e4))
+ (portRef A1 (instanceRef blk000009e6))
+ (portRef A3 (instanceRef blk000009e6))
+ (portRef A1 (instanceRef blk000009e8))
+ (portRef A3 (instanceRef blk000009e8))
+ (portRef A1 (instanceRef blk000009ea))
+ (portRef A3 (instanceRef blk000009ea))
+ (portRef A1 (instanceRef blk000009ec))
+ (portRef A3 (instanceRef blk000009ec))
+ (portRef A1 (instanceRef blk000009ee))
+ (portRef A3 (instanceRef blk000009ee))
+ (portRef A1 (instanceRef blk000009f0))
+ (portRef A3 (instanceRef blk000009f0))
+ (portRef A1 (instanceRef blk000009f2))
+ (portRef A3 (instanceRef blk000009f2))
+ (portRef A1 (instanceRef blk000009f4))
+ (portRef A3 (instanceRef blk000009f4))
+ (portRef A1 (instanceRef blk000009f6))
+ (portRef A3 (instanceRef blk000009f6))
+ (portRef A1 (instanceRef blk000009f8))
+ (portRef A3 (instanceRef blk000009f8))
+ (portRef A1 (instanceRef blk000009fa))
+ (portRef A3 (instanceRef blk000009fa))
+ (portRef A1 (instanceRef blk000009fc))
+ (portRef A3 (instanceRef blk000009fc))
+ (portRef A1 (instanceRef blk000009fe))
+ (portRef A3 (instanceRef blk000009fe))
+ (portRef A1 (instanceRef blk00000a00))
+ (portRef A3 (instanceRef blk00000a00))
+ (portRef A1 (instanceRef blk00000a02))
+ (portRef A3 (instanceRef blk00000a02))
+ (portRef A1 (instanceRef blk00000a04))
+ (portRef A3 (instanceRef blk00000a04))
+ (portRef A1 (instanceRef blk00000a06))
+ (portRef A3 (instanceRef blk00000a06))
+ (portRef A1 (instanceRef blk00000a08))
+ (portRef A3 (instanceRef blk00000a08))
+ (portRef A1 (instanceRef blk00000a0a))
+ (portRef A3 (instanceRef blk00000a0a))
+ (portRef A1 (instanceRef blk00000a0c))
+ (portRef A3 (instanceRef blk00000a0c))
+ (portRef A1 (instanceRef blk00000a0e))
+ (portRef A3 (instanceRef blk00000a0e))
+ (portRef A1 (instanceRef blk00000a10))
+ (portRef A3 (instanceRef blk00000a10))
+ (portRef A1 (instanceRef blk00000a12))
+ (portRef A3 (instanceRef blk00000a12))
+ (portRef A1 (instanceRef blk00000a14))
+ (portRef A3 (instanceRef blk00000a14))
+ (portRef A1 (instanceRef blk00000a16))
+ (portRef A3 (instanceRef blk00000a16))
+ (portRef A1 (instanceRef blk00000a18))
+ (portRef A3 (instanceRef blk00000a18))
+ (portRef A1 (instanceRef blk00000a1a))
+ (portRef A3 (instanceRef blk00000a1a))
+ (portRef A1 (instanceRef blk00000a1c))
+ (portRef A3 (instanceRef blk00000a1c))
+ (portRef A1 (instanceRef blk00000a1e))
+ (portRef A3 (instanceRef blk00000a1e))
+ (portRef A1 (instanceRef blk00000a20))
+ (portRef A3 (instanceRef blk00000a20))
+ (portRef A1 (instanceRef blk00000a22))
+ (portRef A3 (instanceRef blk00000a22))
+ (portRef A1 (instanceRef blk00000a24))
+ (portRef A3 (instanceRef blk00000a24))
+ (portRef A1 (instanceRef blk00000a26))
+ (portRef A3 (instanceRef blk00000a26))
+ (portRef A1 (instanceRef blk00000a28))
+ (portRef A3 (instanceRef blk00000a28))
+ (portRef A1 (instanceRef blk00000a2a))
+ (portRef A3 (instanceRef blk00000a2a))
+ (portRef A2 (instanceRef blk00000a2c))
+ (portRef A3 (instanceRef blk00000a2c))
+ (portRef A0 (instanceRef blk00000a90))
+ (portRef A1 (instanceRef blk00000a90))
+ (portRef A2 (instanceRef blk00000a90))
+ (portRef A0 (instanceRef blk00000a92))
+ (portRef A1 (instanceRef blk00000a92))
+ (portRef A2 (instanceRef blk00000a92))
+ (portRef A0 (instanceRef blk00000a94))
+ (portRef A1 (instanceRef blk00000a94))
+ (portRef A2 (instanceRef blk00000a94))
+ (portRef A0 (instanceRef blk00000a96))
+ (portRef A1 (instanceRef blk00000a96))
+ (portRef A2 (instanceRef blk00000a96))
+ (portRef A0 (instanceRef blk00000a98))
+ (portRef A1 (instanceRef blk00000a98))
+ (portRef A2 (instanceRef blk00000a98))
+ (portRef A0 (instanceRef blk00000a9a))
+ (portRef A1 (instanceRef blk00000a9a))
+ (portRef A2 (instanceRef blk00000a9a))
+ (portRef A0 (instanceRef blk00000a9c))
+ (portRef A1 (instanceRef blk00000a9c))
+ (portRef A2 (instanceRef blk00000a9c))
+ (portRef A0 (instanceRef blk00000a9e))
+ (portRef A1 (instanceRef blk00000a9e))
+ (portRef A2 (instanceRef blk00000a9e))
+ (portRef A0 (instanceRef blk00000aa0))
+ (portRef A1 (instanceRef blk00000aa0))
+ (portRef A2 (instanceRef blk00000aa0))
+ (portRef A0 (instanceRef blk00000aa2))
+ (portRef A1 (instanceRef blk00000aa2))
+ (portRef A2 (instanceRef blk00000aa2))
+ (portRef A0 (instanceRef blk00000aa4))
+ (portRef A1 (instanceRef blk00000aa4))
+ (portRef A2 (instanceRef blk00000aa4))
+ (portRef A0 (instanceRef blk00000aa6))
+ (portRef A1 (instanceRef blk00000aa6))
+ (portRef A2 (instanceRef blk00000aa6))
+ (portRef A0 (instanceRef blk00000aa8))
+ (portRef A1 (instanceRef blk00000aa8))
+ (portRef A2 (instanceRef blk00000aa8))
+ (portRef A0 (instanceRef blk00000aaa))
+ (portRef A1 (instanceRef blk00000aaa))
+ (portRef A2 (instanceRef blk00000aaa))
+ (portRef A0 (instanceRef blk00000aac))
+ (portRef A1 (instanceRef blk00000aac))
+ (portRef A2 (instanceRef blk00000aac))
+ (portRef A0 (instanceRef blk00000aae))
+ (portRef A1 (instanceRef blk00000aae))
+ (portRef A2 (instanceRef blk00000aae))
+ (portRef A0 (instanceRef blk00000ab0))
+ (portRef A1 (instanceRef blk00000ab0))
+ (portRef A2 (instanceRef blk00000ab0))
+ (portRef A0 (instanceRef blk00000ab2))
+ (portRef A1 (instanceRef blk00000ab2))
+ (portRef A2 (instanceRef blk00000ab2))
+ (portRef A0 (instanceRef blk00000ab4))
+ (portRef A1 (instanceRef blk00000ab4))
+ (portRef A2 (instanceRef blk00000ab4))
+ (portRef A0 (instanceRef blk00000ab6))
+ (portRef A1 (instanceRef blk00000ab6))
+ (portRef A2 (instanceRef blk00000ab6))
+ (portRef A0 (instanceRef blk00000ab8))
+ (portRef A1 (instanceRef blk00000ab8))
+ (portRef A2 (instanceRef blk00000ab8))
+ (portRef A0 (instanceRef blk00000aba))
+ (portRef A1 (instanceRef blk00000aba))
+ (portRef A2 (instanceRef blk00000aba))
+ (portRef A0 (instanceRef blk00000abc))
+ (portRef A1 (instanceRef blk00000abc))
+ (portRef A2 (instanceRef blk00000abc))
+ (portRef A0 (instanceRef blk00000abe))
+ (portRef A1 (instanceRef blk00000abe))
+ (portRef A2 (instanceRef blk00000abe))
+ (portRef A0 (instanceRef blk00000ac0))
+ (portRef A1 (instanceRef blk00000ac0))
+ (portRef A2 (instanceRef blk00000ac0))
+ (portRef A0 (instanceRef blk00000ac2))
+ (portRef A1 (instanceRef blk00000ac2))
+ (portRef A2 (instanceRef blk00000ac2))
+ (portRef A0 (instanceRef blk00000ac4))
+ (portRef A1 (instanceRef blk00000ac4))
+ (portRef A2 (instanceRef blk00000ac4))
+ (portRef A0 (instanceRef blk00000ac6))
+ (portRef A1 (instanceRef blk00000ac6))
+ (portRef A2 (instanceRef blk00000ac6))
+ (portRef A0 (instanceRef blk00000ac8))
+ (portRef A1 (instanceRef blk00000ac8))
+ (portRef A2 (instanceRef blk00000ac8))
+ (portRef A0 (instanceRef blk00000aca))
+ (portRef A1 (instanceRef blk00000aca))
+ (portRef A2 (instanceRef blk00000aca))
+ (portRef A0 (instanceRef blk00000acc))
+ (portRef A1 (instanceRef blk00000acc))
+ (portRef A2 (instanceRef blk00000acc))
+ (portRef A0 (instanceRef blk00000ace))
+ (portRef A1 (instanceRef blk00000ace))
+ (portRef A2 (instanceRef blk00000ace))
+ (portRef A0 (instanceRef blk00000ad0))
+ (portRef A1 (instanceRef blk00000ad0))
+ (portRef A2 (instanceRef blk00000ad0))
+ (portRef A0 (instanceRef blk00000ad2))
+ (portRef A1 (instanceRef blk00000ad2))
+ (portRef A2 (instanceRef blk00000ad2))
+ (portRef A0 (instanceRef blk00000ad4))
+ (portRef A1 (instanceRef blk00000ad4))
+ (portRef A2 (instanceRef blk00000ad4))
+ (portRef A0 (instanceRef blk00000ad6))
+ (portRef A1 (instanceRef blk00000ad6))
+ (portRef A2 (instanceRef blk00000ad6))
+ (portRef A0 (instanceRef blk00000ad8))
+ (portRef A1 (instanceRef blk00000ad8))
+ (portRef A2 (instanceRef blk00000ad8))
+ (portRef A0 (instanceRef blk00000ada))
+ (portRef A1 (instanceRef blk00000ada))
+ (portRef A2 (instanceRef blk00000ada))
+ (portRef A0 (instanceRef blk00000adc))
+ (portRef A1 (instanceRef blk00000adc))
+ (portRef A2 (instanceRef blk00000adc))
+ (portRef A0 (instanceRef blk00000ade))
+ (portRef A1 (instanceRef blk00000ade))
+ (portRef A2 (instanceRef blk00000ade))
+ (portRef A0 (instanceRef blk00000ae0))
+ (portRef A1 (instanceRef blk00000ae0))
+ (portRef A2 (instanceRef blk00000ae0))
+ (portRef A0 (instanceRef blk00000ae2))
+ (portRef A1 (instanceRef blk00000ae2))
+ (portRef A2 (instanceRef blk00000ae2))
+ (portRef A0 (instanceRef blk00000ae4))
+ (portRef A1 (instanceRef blk00000ae4))
+ (portRef A2 (instanceRef blk00000ae4))
+ (portRef A0 (instanceRef blk00000ae6))
+ (portRef A1 (instanceRef blk00000ae6))
+ (portRef A2 (instanceRef blk00000ae6))
+ (portRef A0 (instanceRef blk00000ae8))
+ (portRef A1 (instanceRef blk00000ae8))
+ (portRef A2 (instanceRef blk00000ae8))
+ (portRef A0 (instanceRef blk00000aea))
+ (portRef A1 (instanceRef blk00000aea))
+ (portRef A2 (instanceRef blk00000aea))
+ (portRef A0 (instanceRef blk00000aec))
+ (portRef A1 (instanceRef blk00000aec))
+ (portRef A2 (instanceRef blk00000aec))
+ (portRef A0 (instanceRef blk00000aee))
+ (portRef A1 (instanceRef blk00000aee))
+ (portRef A2 (instanceRef blk00000aee))
+ (portRef A3 (instanceRef blk00000af0))
+ (portRef A0 (instanceRef blk00000b52))
+ (portRef A1 (instanceRef blk00000b52))
+ (portRef A2 (instanceRef blk00000b52))
+ )
+ )
+ (net sig000000aa
+ (joined
+ (portRef rdy)
+ (portRef Q (instanceRef blk00000024))
+ )
+ )
+ (net sig000000ab
+ (joined
+ (portRef data_valid)
+ (portRef Q (instanceRef blk00000028))
+ )
+ )
+ (net sig000000ac
+ (joined
+ (portRef D (instanceRef blk00000006))
+ (portRef LO (instanceRef blk00000007))
+ )
+ )
+ (net sig000000ad
+ (joined
+ (portRef Q (instanceRef blk00000006))
+ (portRef DI (instanceRef blk00000007))
+ (portRef I0 (instanceRef blk00000809))
+ (portRef I1 (instanceRef blk000008c0))
+ (portRef I2 (instanceRef blk000008c3))
+ )
+ )
+ (net sig000000ae
+ (joined
+ (portRef CI (instanceRef blk00000007))
+ (portRef O (instanceRef blk00000008))
+ )
+ )
+ (net sig000000af
+ (joined
+ (portRef O (instanceRef blk00000007))
+ (portRef CI (instanceRef blk0000000a))
+ )
+ )
+ (net sig000000b0
+ (joined
+ (portRef S (instanceRef blk00000008))
+ (portRef O (instanceRef blk000007fd))
+ )
+ )
+ (net sig000000b1
+ (joined
+ (portRef D (instanceRef blk00000009))
+ (portRef LO (instanceRef blk0000000a))
+ )
+ )
+ (net sig000000b2
+ (joined
+ (portRef Q (instanceRef blk00000009))
+ (portRef I1 (instanceRef blk00000821))
+ (portRef I2 (instanceRef blk00000822))
+ (portRef I1 (instanceRef blk00000823))
+ )
+ )
+ (net sig000000b3
+ (joined
+ (portRef S (instanceRef blk0000000a))
+ (portRef O (instanceRef blk000008ae))
+ )
+ )
+ (net sig000000b4
+ (joined
+ (portRef DI (instanceRef blk0000000b))
+ (portRef I0 (instanceRef blk00000800))
+ (portRef Q (instanceRef blk00000894))
+ (portRef I (instanceRef blk000008d0))
+ )
+ )
+ (net sig000000b5
+ (joined
+ (portRef S (instanceRef blk0000000b))
+ (portRef LI (instanceRef blk0000000c))
+ (portRef O (instanceRef blk00000800))
+ )
+ )
+ (net sig000000b6
+ (joined
+ (portRef O (instanceRef blk0000000c))
+ (portRef D (instanceRef blk00000894))
+ )
+ )
+ (net sig000000b7
+ (joined
+ (portRef D (instanceRef blk0000000d))
+ (portRef O (instanceRef blk000007fa))
+ )
+ )
+ (net sig000000b8
+ (joined
+ (portRef Q (instanceRef blk0000000d))
+ (portRef OPMODE_4_ (instanceRef blk00000015))
+ (portRef OPMODE_4_ (instanceRef blk00000016))
+ (portRef I3 (instanceRef blk000007fa))
+ )
+ )
+ (net sig000000b9
+ (joined
+ (portRef D (instanceRef blk0000000e))
+ (portRef O (instanceRef blk00000801))
+ )
+ )
+ (net sig000000ba
+ (joined
+ (portRef Q (instanceRef blk0000000e))
+ (portRef OPMODE_1_ (instanceRef blk00000015))
+ (portRef OPMODE_1_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000801))
+ )
+ )
+ (net sig000000bb
+ (joined
+ (portRef D (instanceRef blk0000000f))
+ (portRef O (instanceRef blk00000802))
+ )
+ )
+ (net sig000000bc
+ (joined
+ (portRef Q (instanceRef blk0000000f))
+ (portRef OPMODE_2_ (instanceRef blk00000015))
+ (portRef OPMODE_0_ (instanceRef blk00000015))
+ (portRef OPMODE_2_ (instanceRef blk00000016))
+ (portRef OPMODE_0_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000802))
+ )
+ )
+ (net sig000000bd
+ (joined
+ (portRef CI (instanceRef blk00000010))
+ (portRef O (instanceRef blk00000013))
+ )
+ )
+ (net sig000000be
+ (joined
+ (portRef DI (instanceRef blk00000010))
+ (portRef D (instanceRef blk0000002b))
+ (portRef Q (instanceRef blk0000002b))
+ (portRef I (instanceRef blk000008cf))
+ )
+ )
+ (net sig000000bf
+ (joined
+ (portRef S (instanceRef blk00000010))
+ (portRef O (instanceRef blk000008cf))
+ )
+ )
+ (net sig000000c0
+ (joined
+ (portRef O (instanceRef blk00000010))
+ (portRef CI (instanceRef blk00000011))
+ )
+ )
+ (net sig000000c1
+ (joined
+ (portRef DI (instanceRef blk00000011))
+ (portRef O (instanceRef blk000008d1))
+ )
+ )
+ (net sig000000c2
+ (joined
+ (portRef S (instanceRef blk00000011))
+ (portRef O (instanceRef blk000008af))
+ )
+ )
+ (net sig000000c3
+ (joined
+ (portRef LO (instanceRef blk00000011))
+ (portRef CI (instanceRef blk00000012))
+ (portRef D (instanceRef blk00000019))
+ )
+ )
+ (net sig000000c4
+ (joined
+ (portRef O (instanceRef blk00000012))
+ (portRef D (instanceRef blk00000018))
+ )
+ )
+ (net sig000000c5
+ (joined
+ (portRef CI (instanceRef blk00000013))
+ (portRef O (instanceRef blk00000014))
+ )
+ )
+ (net sig000000c6
+ (joined
+ (portRef DI (instanceRef blk00000013))
+ (portRef Q (instanceRef blk0000001a))
+ (portRef I0 (instanceRef blk00000807))
+ (portRef I0 (instanceRef blk00000808))
+ )
+ )
+ (net sig000000c7
+ (joined
+ (portRef S (instanceRef blk00000013))
+ (portRef O (instanceRef blk00000807))
+ )
+ )
+ (net sig000000c8
+ (joined
+ (portRef LO (instanceRef blk00000013))
+ (portRef D (instanceRef blk0000001a))
+ )
+ )
+ (net sig000000c9
+ (joined
+ (portRef S (instanceRef blk00000014))
+ (portRef O (instanceRef blk00000805))
+ )
+ )
+ (net sig000000ca
+ (joined
+ (portRef PCIN_47_ (instanceRef blk00000015))
+ (portRef PCOUT_47_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000cb
+ (joined
+ (portRef PCIN_46_ (instanceRef blk00000015))
+ (portRef PCOUT_46_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000cc
+ (joined
+ (portRef PCIN_45_ (instanceRef blk00000015))
+ (portRef PCOUT_45_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000cd
+ (joined
+ (portRef PCIN_44_ (instanceRef blk00000015))
+ (portRef PCOUT_44_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000ce
+ (joined
+ (portRef PCIN_43_ (instanceRef blk00000015))
+ (portRef PCOUT_43_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000cf
+ (joined
+ (portRef PCIN_42_ (instanceRef blk00000015))
+ (portRef PCOUT_42_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d0
+ (joined
+ (portRef PCIN_41_ (instanceRef blk00000015))
+ (portRef PCOUT_41_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d1
+ (joined
+ (portRef PCIN_40_ (instanceRef blk00000015))
+ (portRef PCOUT_40_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d2
+ (joined
+ (portRef PCIN_39_ (instanceRef blk00000015))
+ (portRef PCOUT_39_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d3
+ (joined
+ (portRef PCIN_38_ (instanceRef blk00000015))
+ (portRef PCOUT_38_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d4
+ (joined
+ (portRef PCIN_37_ (instanceRef blk00000015))
+ (portRef PCOUT_37_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d5
+ (joined
+ (portRef PCIN_36_ (instanceRef blk00000015))
+ (portRef PCOUT_36_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d6
+ (joined
+ (portRef PCIN_35_ (instanceRef blk00000015))
+ (portRef PCOUT_35_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d7
+ (joined
+ (portRef PCIN_34_ (instanceRef blk00000015))
+ (portRef PCOUT_34_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d8
+ (joined
+ (portRef PCIN_33_ (instanceRef blk00000015))
+ (portRef PCOUT_33_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000d9
+ (joined
+ (portRef PCIN_32_ (instanceRef blk00000015))
+ (portRef PCOUT_32_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000da
+ (joined
+ (portRef PCIN_31_ (instanceRef blk00000015))
+ (portRef PCOUT_31_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000db
+ (joined
+ (portRef PCIN_30_ (instanceRef blk00000015))
+ (portRef PCOUT_30_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000dc
+ (joined
+ (portRef PCIN_29_ (instanceRef blk00000015))
+ (portRef PCOUT_29_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000dd
+ (joined
+ (portRef PCIN_28_ (instanceRef blk00000015))
+ (portRef PCOUT_28_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000de
+ (joined
+ (portRef PCIN_27_ (instanceRef blk00000015))
+ (portRef PCOUT_27_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000df
+ (joined
+ (portRef PCIN_26_ (instanceRef blk00000015))
+ (portRef PCOUT_26_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e0
+ (joined
+ (portRef PCIN_25_ (instanceRef blk00000015))
+ (portRef PCOUT_25_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e1
+ (joined
+ (portRef PCIN_24_ (instanceRef blk00000015))
+ (portRef PCOUT_24_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e2
+ (joined
+ (portRef PCIN_23_ (instanceRef blk00000015))
+ (portRef PCOUT_23_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e3
+ (joined
+ (portRef PCIN_22_ (instanceRef blk00000015))
+ (portRef PCOUT_22_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e4
+ (joined
+ (portRef PCIN_21_ (instanceRef blk00000015))
+ (portRef PCOUT_21_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e5
+ (joined
+ (portRef PCIN_20_ (instanceRef blk00000015))
+ (portRef PCOUT_20_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e6
+ (joined
+ (portRef PCIN_19_ (instanceRef blk00000015))
+ (portRef PCOUT_19_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e7
+ (joined
+ (portRef PCIN_18_ (instanceRef blk00000015))
+ (portRef PCOUT_18_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e8
+ (joined
+ (portRef PCIN_17_ (instanceRef blk00000015))
+ (portRef PCOUT_17_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000e9
+ (joined
+ (portRef PCIN_16_ (instanceRef blk00000015))
+ (portRef PCOUT_16_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000ea
+ (joined
+ (portRef PCIN_15_ (instanceRef blk00000015))
+ (portRef PCOUT_15_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000eb
+ (joined
+ (portRef PCIN_14_ (instanceRef blk00000015))
+ (portRef PCOUT_14_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000ec
+ (joined
+ (portRef PCIN_13_ (instanceRef blk00000015))
+ (portRef PCOUT_13_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000ed
+ (joined
+ (portRef PCIN_12_ (instanceRef blk00000015))
+ (portRef PCOUT_12_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000ee
+ (joined
+ (portRef PCIN_11_ (instanceRef blk00000015))
+ (portRef PCOUT_11_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000ef
+ (joined
+ (portRef PCIN_10_ (instanceRef blk00000015))
+ (portRef PCOUT_10_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f0
+ (joined
+ (portRef PCIN_9_ (instanceRef blk00000015))
+ (portRef PCOUT_9_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f1
+ (joined
+ (portRef PCIN_8_ (instanceRef blk00000015))
+ (portRef PCOUT_8_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f2
+ (joined
+ (portRef PCIN_7_ (instanceRef blk00000015))
+ (portRef PCOUT_7_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f3
+ (joined
+ (portRef PCIN_6_ (instanceRef blk00000015))
+ (portRef PCOUT_6_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f4
+ (joined
+ (portRef PCIN_5_ (instanceRef blk00000015))
+ (portRef PCOUT_5_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f5
+ (joined
+ (portRef PCIN_4_ (instanceRef blk00000015))
+ (portRef PCOUT_4_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f6
+ (joined
+ (portRef PCIN_3_ (instanceRef blk00000015))
+ (portRef PCOUT_3_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f7
+ (joined
+ (portRef PCIN_2_ (instanceRef blk00000015))
+ (portRef PCOUT_2_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f8
+ (joined
+ (portRef PCIN_1_ (instanceRef blk00000015))
+ (portRef PCOUT_1_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000f9
+ (joined
+ (portRef PCIN_0_ (instanceRef blk00000015))
+ (portRef PCOUT_0_ (instanceRef blk000000ea))
+ )
+ )
+ (net sig000000fa
+ (joined
+ (portRef B_17_ (instanceRef blk00000015))
+ (portRef B_17_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 0) (instanceRef blk0000075c))
+ )
+ )
+ (net sig000000fb
+ (joined
+ (portRef B_16_ (instanceRef blk00000015))
+ (portRef B_16_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 1) (instanceRef blk0000075c))
+ )
+ )
+ (net sig000000fc
+ (joined
+ (portRef B_15_ (instanceRef blk00000015))
+ (portRef B_15_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 2) (instanceRef blk0000075c))
+ )
+ )
+ (net sig000000fd
+ (joined
+ (portRef B_14_ (instanceRef blk00000015))
+ (portRef B_14_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 3) (instanceRef blk0000075c))
+ )
+ )
+ (net sig000000fe
+ (joined
+ (portRef B_13_ (instanceRef blk00000015))
+ (portRef B_13_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 4) (instanceRef blk0000075c))
+ )
+ )
+ (net sig000000ff
+ (joined
+ (portRef B_12_ (instanceRef blk00000015))
+ (portRef B_12_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 5) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000100
+ (joined
+ (portRef B_11_ (instanceRef blk00000015))
+ (portRef B_11_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 6) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000101
+ (joined
+ (portRef B_10_ (instanceRef blk00000015))
+ (portRef B_10_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 7) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000102
+ (joined
+ (portRef B_9_ (instanceRef blk00000015))
+ (portRef B_9_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 8) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000103
+ (joined
+ (portRef B_8_ (instanceRef blk00000015))
+ (portRef B_8_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 9) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000104
+ (joined
+ (portRef B_7_ (instanceRef blk00000015))
+ (portRef B_7_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 10) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000105
+ (joined
+ (portRef B_6_ (instanceRef blk00000015))
+ (portRef B_6_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 11) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000106
+ (joined
+ (portRef B_5_ (instanceRef blk00000015))
+ (portRef B_5_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 12) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000107
+ (joined
+ (portRef B_4_ (instanceRef blk00000015))
+ (portRef B_4_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 13) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000108
+ (joined
+ (portRef B_3_ (instanceRef blk00000015))
+ (portRef B_3_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 14) (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000109
+ (joined
+ (portRef B_2_ (instanceRef blk00000015))
+ (portRef B_2_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 15) (instanceRef blk0000075c))
+ )
+ )
+ (net sig0000010a
+ (joined
+ (portRef B_1_ (instanceRef blk00000015))
+ (portRef B_1_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 16) (instanceRef blk0000075c))
+ )
+ )
+ (net sig0000010b
+ (joined
+ (portRef B_0_ (instanceRef blk00000015))
+ (portRef B_0_ (instanceRef blk00000016))
+ (portRef (member DB_OUT 17) (instanceRef blk0000075c))
+ )
+ )
+ (net sig0000010c
+ (joined
+ (portRef P_46_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000088c))
+ )
+ )
+ (net sig0000010d
+ (joined
+ (portRef P_45_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000088d))
+ )
+ )
+ (net sig0000010e
+ (joined
+ (portRef P_44_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000088b))
+ )
+ )
+ (net sig0000010f
+ (joined
+ (portRef P_43_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000889))
+ )
+ )
+ (net sig00000110
+ (joined
+ (portRef P_42_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000088a))
+ )
+ )
+ (net sig00000111
+ (joined
+ (portRef P_41_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000888))
+ )
+ )
+ (net sig00000112
+ (joined
+ (portRef P_40_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000886))
+ )
+ )
+ (net sig00000113
+ (joined
+ (portRef P_39_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000887))
+ )
+ )
+ (net sig00000114
+ (joined
+ (portRef P_38_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000885))
+ )
+ )
+ (net sig00000115
+ (joined
+ (portRef P_37_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000883))
+ )
+ )
+ (net sig00000116
+ (joined
+ (portRef P_36_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000884))
+ )
+ )
+ (net sig00000117
+ (joined
+ (portRef P_35_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000882))
+ )
+ )
+ (net sig00000118
+ (joined
+ (portRef P_34_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000880))
+ )
+ )
+ (net sig00000119
+ (joined
+ (portRef P_33_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000881))
+ )
+ )
+ (net sig0000011a
+ (joined
+ (portRef P_32_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000087f))
+ )
+ )
+ (net sig0000011b
+ (joined
+ (portRef P_31_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000087d))
+ )
+ )
+ (net sig0000011c
+ (joined
+ (portRef P_30_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000087e))
+ )
+ )
+ (net sig0000011d
+ (joined
+ (portRef P_29_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000087c))
+ )
+ )
+ (net sig0000011e
+ (joined
+ (portRef P_28_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000087a))
+ )
+ )
+ (net sig0000011f
+ (joined
+ (portRef P_27_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000087b))
+ )
+ )
+ (net sig00000120
+ (joined
+ (portRef P_26_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000879))
+ )
+ )
+ (net sig00000121
+ (joined
+ (portRef P_25_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000877))
+ )
+ )
+ (net sig00000122
+ (joined
+ (portRef P_24_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000878))
+ )
+ )
+ (net sig00000123
+ (joined
+ (portRef P_23_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000876))
+ )
+ )
+ (net sig00000124
+ (joined
+ (portRef P_22_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000874))
+ )
+ )
+ (net sig00000125
+ (joined
+ (portRef P_21_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000875))
+ )
+ )
+ (net sig00000126
+ (joined
+ (portRef P_20_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000873))
+ )
+ )
+ (net sig00000127
+ (joined
+ (portRef P_19_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000871))
+ )
+ )
+ (net sig00000128
+ (joined
+ (portRef P_18_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000872))
+ )
+ )
+ (net sig00000129
+ (joined
+ (portRef P_17_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000870))
+ )
+ )
+ (net sig0000012a
+ (joined
+ (portRef P_16_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000086e))
+ )
+ )
+ (net sig0000012b
+ (joined
+ (portRef P_15_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000086f))
+ )
+ )
+ (net sig0000012c
+ (joined
+ (portRef P_14_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000086d))
+ )
+ )
+ (net sig0000012d
+ (joined
+ (portRef P_13_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000086b))
+ )
+ )
+ (net sig0000012e
+ (joined
+ (portRef P_12_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000086c))
+ )
+ )
+ (net sig0000012f
+ (joined
+ (portRef P_11_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000086a))
+ )
+ )
+ (net sig00000130
+ (joined
+ (portRef P_10_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000868))
+ )
+ )
+ (net sig00000131
+ (joined
+ (portRef P_9_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000869))
+ )
+ )
+ (net sig00000132
+ (joined
+ (portRef P_8_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000867))
+ )
+ )
+ (net sig00000133
+ (joined
+ (portRef P_7_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000865))
+ )
+ )
+ (net sig00000134
+ (joined
+ (portRef P_6_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000866))
+ )
+ )
+ (net sig00000135
+ (joined
+ (portRef P_5_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000864))
+ )
+ )
+ (net sig00000136
+ (joined
+ (portRef P_4_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000862))
+ )
+ )
+ (net sig00000137
+ (joined
+ (portRef P_3_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000863))
+ )
+ )
+ (net sig00000138
+ (joined
+ (portRef P_2_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000861))
+ )
+ )
+ (net sig00000139
+ (joined
+ (portRef P_1_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk00000860))
+ )
+ )
+ (net sig0000013a
+ (joined
+ (portRef P_0_ (instanceRef blk00000015))
+ (portRef I2 (instanceRef blk0000085e))
+ )
+ )
+ (net sig0000013b
+ (joined
+ (portRef A_29_ (instanceRef blk00000015))
+ (portRef A_28_ (instanceRef blk00000015))
+ (portRef A_27_ (instanceRef blk00000015))
+ (portRef A_26_ (instanceRef blk00000015))
+ (portRef A_25_ (instanceRef blk00000015))
+ (portRef A_24_ (instanceRef blk00000015))
+ (portRef A_23_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a91))
+ )
+ )
+ (net sig0000013c
+ (joined
+ (portRef A_22_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a93))
+ )
+ )
+ (net sig0000013d
+ (joined
+ (portRef A_21_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a95))
+ )
+ )
+ (net sig0000013e
+ (joined
+ (portRef A_20_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a97))
+ )
+ )
+ (net sig0000013f
+ (joined
+ (portRef A_19_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a9b))
+ )
+ )
+ (net sig00000140
+ (joined
+ (portRef A_18_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a9d))
+ )
+ )
+ (net sig00000141
+ (joined
+ (portRef A_17_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a99))
+ )
+ )
+ (net sig00000142
+ (joined
+ (portRef A_16_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aa1))
+ )
+ )
+ (net sig00000143
+ (joined
+ (portRef A_15_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aa3))
+ )
+ )
+ (net sig00000144
+ (joined
+ (portRef A_14_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000a9f))
+ )
+ )
+ (net sig00000145
+ (joined
+ (portRef A_13_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aa7))
+ )
+ )
+ (net sig00000146
+ (joined
+ (portRef A_12_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aa9))
+ )
+ )
+ (net sig00000147
+ (joined
+ (portRef A_11_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aa5))
+ )
+ )
+ (net sig00000148
+ (joined
+ (portRef A_10_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aab))
+ )
+ )
+ (net sig00000149
+ (joined
+ (portRef A_9_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aad))
+ )
+ )
+ (net sig0000014a
+ (joined
+ (portRef A_8_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000aaf))
+ )
+ )
+ (net sig0000014b
+ (joined
+ (portRef A_7_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000ab1))
+ )
+ )
+ (net sig0000014c
+ (joined
+ (portRef A_6_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000ab5))
+ )
+ )
+ (net sig0000014d
+ (joined
+ (portRef A_5_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000ab7))
+ )
+ )
+ (net sig0000014e
+ (joined
+ (portRef A_4_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000ab3))
+ )
+ )
+ (net sig0000014f
+ (joined
+ (portRef A_3_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000abb))
+ )
+ )
+ (net sig00000150
+ (joined
+ (portRef A_2_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000abd))
+ )
+ )
+ (net sig00000151
+ (joined
+ (portRef A_1_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000ab9))
+ )
+ )
+ (net sig00000152
+ (joined
+ (portRef A_0_ (instanceRef blk00000015))
+ (portRef Q (instanceRef blk00000ac1))
+ )
+ )
+ (net sig00000153
+ (joined
+ (portRef PCIN_47_ (instanceRef blk00000016))
+ (portRef PCOUT_47_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000154
+ (joined
+ (portRef PCIN_46_ (instanceRef blk00000016))
+ (portRef PCOUT_46_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000155
+ (joined
+ (portRef PCIN_45_ (instanceRef blk00000016))
+ (portRef PCOUT_45_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000156
+ (joined
+ (portRef PCIN_44_ (instanceRef blk00000016))
+ (portRef PCOUT_44_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000157
+ (joined
+ (portRef PCIN_43_ (instanceRef blk00000016))
+ (portRef PCOUT_43_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000158
+ (joined
+ (portRef PCIN_42_ (instanceRef blk00000016))
+ (portRef PCOUT_42_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000159
+ (joined
+ (portRef PCIN_41_ (instanceRef blk00000016))
+ (portRef PCOUT_41_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000015a
+ (joined
+ (portRef PCIN_40_ (instanceRef blk00000016))
+ (portRef PCOUT_40_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000015b
+ (joined
+ (portRef PCIN_39_ (instanceRef blk00000016))
+ (portRef PCOUT_39_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000015c
+ (joined
+ (portRef PCIN_38_ (instanceRef blk00000016))
+ (portRef PCOUT_38_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000015d
+ (joined
+ (portRef PCIN_37_ (instanceRef blk00000016))
+ (portRef PCOUT_37_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000015e
+ (joined
+ (portRef PCIN_36_ (instanceRef blk00000016))
+ (portRef PCOUT_36_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000015f
+ (joined
+ (portRef PCIN_35_ (instanceRef blk00000016))
+ (portRef PCOUT_35_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000160
+ (joined
+ (portRef PCIN_34_ (instanceRef blk00000016))
+ (portRef PCOUT_34_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000161
+ (joined
+ (portRef PCIN_33_ (instanceRef blk00000016))
+ (portRef PCOUT_33_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000162
+ (joined
+ (portRef PCIN_32_ (instanceRef blk00000016))
+ (portRef PCOUT_32_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000163
+ (joined
+ (portRef PCIN_31_ (instanceRef blk00000016))
+ (portRef PCOUT_31_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000164
+ (joined
+ (portRef PCIN_30_ (instanceRef blk00000016))
+ (portRef PCOUT_30_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000165
+ (joined
+ (portRef PCIN_29_ (instanceRef blk00000016))
+ (portRef PCOUT_29_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000166
+ (joined
+ (portRef PCIN_28_ (instanceRef blk00000016))
+ (portRef PCOUT_28_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000167
+ (joined
+ (portRef PCIN_27_ (instanceRef blk00000016))
+ (portRef PCOUT_27_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000168
+ (joined
+ (portRef PCIN_26_ (instanceRef blk00000016))
+ (portRef PCOUT_26_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000169
+ (joined
+ (portRef PCIN_25_ (instanceRef blk00000016))
+ (portRef PCOUT_25_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000016a
+ (joined
+ (portRef PCIN_24_ (instanceRef blk00000016))
+ (portRef PCOUT_24_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000016b
+ (joined
+ (portRef PCIN_23_ (instanceRef blk00000016))
+ (portRef PCOUT_23_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000016c
+ (joined
+ (portRef PCIN_22_ (instanceRef blk00000016))
+ (portRef PCOUT_22_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000016d
+ (joined
+ (portRef PCIN_21_ (instanceRef blk00000016))
+ (portRef PCOUT_21_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000016e
+ (joined
+ (portRef PCIN_20_ (instanceRef blk00000016))
+ (portRef PCOUT_20_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000016f
+ (joined
+ (portRef PCIN_19_ (instanceRef blk00000016))
+ (portRef PCOUT_19_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000170
+ (joined
+ (portRef PCIN_18_ (instanceRef blk00000016))
+ (portRef PCOUT_18_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000171
+ (joined
+ (portRef PCIN_17_ (instanceRef blk00000016))
+ (portRef PCOUT_17_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000172
+ (joined
+ (portRef PCIN_16_ (instanceRef blk00000016))
+ (portRef PCOUT_16_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000173
+ (joined
+ (portRef PCIN_15_ (instanceRef blk00000016))
+ (portRef PCOUT_15_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000174
+ (joined
+ (portRef PCIN_14_ (instanceRef blk00000016))
+ (portRef PCOUT_14_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000175
+ (joined
+ (portRef PCIN_13_ (instanceRef blk00000016))
+ (portRef PCOUT_13_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000176
+ (joined
+ (portRef PCIN_12_ (instanceRef blk00000016))
+ (portRef PCOUT_12_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000177
+ (joined
+ (portRef PCIN_11_ (instanceRef blk00000016))
+ (portRef PCOUT_11_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000178
+ (joined
+ (portRef PCIN_10_ (instanceRef blk00000016))
+ (portRef PCOUT_10_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000179
+ (joined
+ (portRef PCIN_9_ (instanceRef blk00000016))
+ (portRef PCOUT_9_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000017a
+ (joined
+ (portRef PCIN_8_ (instanceRef blk00000016))
+ (portRef PCOUT_8_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000017b
+ (joined
+ (portRef PCIN_7_ (instanceRef blk00000016))
+ (portRef PCOUT_7_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000017c
+ (joined
+ (portRef PCIN_6_ (instanceRef blk00000016))
+ (portRef PCOUT_6_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000017d
+ (joined
+ (portRef PCIN_5_ (instanceRef blk00000016))
+ (portRef PCOUT_5_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000017e
+ (joined
+ (portRef PCIN_4_ (instanceRef blk00000016))
+ (portRef PCOUT_4_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig0000017f
+ (joined
+ (portRef PCIN_3_ (instanceRef blk00000016))
+ (portRef PCOUT_3_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000180
+ (joined
+ (portRef PCIN_2_ (instanceRef blk00000016))
+ (portRef PCOUT_2_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000181
+ (joined
+ (portRef PCIN_1_ (instanceRef blk00000016))
+ (portRef PCOUT_1_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000182
+ (joined
+ (portRef PCIN_0_ (instanceRef blk00000016))
+ (portRef PCOUT_0_ (instanceRef blk000000e9))
+ )
+ )
+ (net sig00000183
+ (joined
+ (portRef P_46_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000085f))
+ )
+ )
+ (net sig00000184
+ (joined
+ (portRef P_45_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000085d))
+ )
+ )
+ (net sig00000185
+ (joined
+ (portRef P_44_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000085b))
+ )
+ )
+ (net sig00000186
+ (joined
+ (portRef P_43_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000085c))
+ )
+ )
+ (net sig00000187
+ (joined
+ (portRef P_42_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000085a))
+ )
+ )
+ (net sig00000188
+ (joined
+ (portRef P_41_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000858))
+ )
+ )
+ (net sig00000189
+ (joined
+ (portRef P_40_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000859))
+ )
+ )
+ (net sig0000018a
+ (joined
+ (portRef P_39_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000857))
+ )
+ )
+ (net sig0000018b
+ (joined
+ (portRef P_38_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000855))
+ )
+ )
+ (net sig0000018c
+ (joined
+ (portRef P_37_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000856))
+ )
+ )
+ (net sig0000018d
+ (joined
+ (portRef P_36_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000854))
+ )
+ )
+ (net sig0000018e
+ (joined
+ (portRef P_35_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000852))
+ )
+ )
+ (net sig0000018f
+ (joined
+ (portRef P_34_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000853))
+ )
+ )
+ (net sig00000190
+ (joined
+ (portRef P_33_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000851))
+ )
+ )
+ (net sig00000191
+ (joined
+ (portRef P_32_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000084f))
+ )
+ )
+ (net sig00000192
+ (joined
+ (portRef P_31_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000850))
+ )
+ )
+ (net sig00000193
+ (joined
+ (portRef P_30_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000084e))
+ )
+ )
+ (net sig00000194
+ (joined
+ (portRef P_29_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000084c))
+ )
+ )
+ (net sig00000195
+ (joined
+ (portRef P_28_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000084d))
+ )
+ )
+ (net sig00000196
+ (joined
+ (portRef P_27_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000084b))
+ )
+ )
+ (net sig00000197
+ (joined
+ (portRef P_26_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000849))
+ )
+ )
+ (net sig00000198
+ (joined
+ (portRef P_25_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000084a))
+ )
+ )
+ (net sig00000199
+ (joined
+ (portRef P_24_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000848))
+ )
+ )
+ (net sig0000019a
+ (joined
+ (portRef P_23_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000846))
+ )
+ )
+ (net sig0000019b
+ (joined
+ (portRef P_22_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000847))
+ )
+ )
+ (net sig0000019c
+ (joined
+ (portRef P_21_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000845))
+ )
+ )
+ (net sig0000019d
+ (joined
+ (portRef P_20_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000843))
+ )
+ )
+ (net sig0000019e
+ (joined
+ (portRef P_19_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000844))
+ )
+ )
+ (net sig0000019f
+ (joined
+ (portRef P_18_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000842))
+ )
+ )
+ (net sig000001a0
+ (joined
+ (portRef P_17_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000840))
+ )
+ )
+ (net sig000001a1
+ (joined
+ (portRef P_16_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000841))
+ )
+ )
+ (net sig000001a2
+ (joined
+ (portRef P_15_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000083f))
+ )
+ )
+ (net sig000001a3
+ (joined
+ (portRef P_14_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000083d))
+ )
+ )
+ (net sig000001a4
+ (joined
+ (portRef P_13_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000083e))
+ )
+ )
+ (net sig000001a5
+ (joined
+ (portRef P_12_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000083c))
+ )
+ )
+ (net sig000001a6
+ (joined
+ (portRef P_11_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000083a))
+ )
+ )
+ (net sig000001a7
+ (joined
+ (portRef P_10_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk0000083b))
+ )
+ )
+ (net sig000001a8
+ (joined
+ (portRef P_9_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000839))
+ )
+ )
+ (net sig000001a9
+ (joined
+ (portRef P_8_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000837))
+ )
+ )
+ (net sig000001aa
+ (joined
+ (portRef P_7_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000838))
+ )
+ )
+ (net sig000001ab
+ (joined
+ (portRef P_6_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000836))
+ )
+ )
+ (net sig000001ac
+ (joined
+ (portRef P_5_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000834))
+ )
+ )
+ (net sig000001ad
+ (joined
+ (portRef P_4_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000835))
+ )
+ )
+ (net sig000001ae
+ (joined
+ (portRef P_3_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000833))
+ )
+ )
+ (net sig000001af
+ (joined
+ (portRef P_2_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000831))
+ )
+ )
+ (net sig000001b0
+ (joined
+ (portRef P_1_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000832))
+ )
+ )
+ (net sig000001b1
+ (joined
+ (portRef P_0_ (instanceRef blk00000016))
+ (portRef I2 (instanceRef blk00000830))
+ )
+ )
+ (net sig000001b2
+ (joined
+ (portRef A_29_ (instanceRef blk00000016))
+ (portRef A_28_ (instanceRef blk00000016))
+ (portRef A_27_ (instanceRef blk00000016))
+ (portRef A_26_ (instanceRef blk00000016))
+ (portRef A_25_ (instanceRef blk00000016))
+ (portRef A_24_ (instanceRef blk00000016))
+ (portRef A_23_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ac3))
+ )
+ )
+ (net sig000001b3
+ (joined
+ (portRef A_22_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000abf))
+ )
+ )
+ (net sig000001b4
+ (joined
+ (portRef A_21_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ac5))
+ )
+ )
+ (net sig000001b5
+ (joined
+ (portRef A_20_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ac7))
+ )
+ )
+ (net sig000001b6
+ (joined
+ (portRef A_19_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ac9))
+ )
+ )
+ (net sig000001b7
+ (joined
+ (portRef A_18_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000acb))
+ )
+ )
+ (net sig000001b8
+ (joined
+ (portRef A_17_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000acf))
+ )
+ )
+ (net sig000001b9
+ (joined
+ (portRef A_16_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ad1))
+ )
+ )
+ (net sig000001ba
+ (joined
+ (portRef A_15_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000acd))
+ )
+ )
+ (net sig000001bb
+ (joined
+ (portRef A_14_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ad5))
+ )
+ )
+ (net sig000001bc
+ (joined
+ (portRef A_13_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ad7))
+ )
+ )
+ (net sig000001bd
+ (joined
+ (portRef A_12_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ad3))
+ )
+ )
+ (net sig000001be
+ (joined
+ (portRef A_11_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000adb))
+ )
+ )
+ (net sig000001bf
+ (joined
+ (portRef A_10_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000add))
+ )
+ )
+ (net sig000001c0
+ (joined
+ (portRef A_9_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ad9))
+ )
+ )
+ (net sig000001c1
+ (joined
+ (portRef A_8_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ae1))
+ )
+ )
+ (net sig000001c2
+ (joined
+ (portRef A_7_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ae3))
+ )
+ )
+ (net sig000001c3
+ (joined
+ (portRef A_6_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000adf))
+ )
+ )
+ (net sig000001c4
+ (joined
+ (portRef A_5_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ae7))
+ )
+ )
+ (net sig000001c5
+ (joined
+ (portRef A_4_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ae9))
+ )
+ )
+ (net sig000001c6
+ (joined
+ (portRef A_3_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000ae5))
+ )
+ )
+ (net sig000001c7
+ (joined
+ (portRef A_2_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000aed))
+ )
+ )
+ (net sig000001c8
+ (joined
+ (portRef A_1_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000aef))
+ )
+ )
+ (net sig000001c9
+ (joined
+ (portRef A_0_ (instanceRef blk00000016))
+ (portRef Q (instanceRef blk00000aeb))
+ )
+ )
+ (net sig000001ca
+ (joined
+ (portRef CI (instanceRef blk00000017))
+ (portRef Q (instanceRef blk00000020))
+ (portRef I0 (instanceRef blk000007ff))
+ (portRef I1 (instanceRef blk00000800))
+ (portRef I1 (instanceRef blk00000804))
+ (portRef D (instanceRef blk00000a2c))
+ )
+ )
+ (net sig000001cb
+ (joined
+ (portRef S (instanceRef blk00000017))
+ (portRef O (instanceRef blk000008d0))
+ )
+ )
+ (net sig000001cc
+ (joined
+ (portRef LO (instanceRef blk00000017))
+ (portRef D (instanceRef blk00000021))
+ )
+ )
+ (net sig000001cd
+ (joined
+ (portRef Q (instanceRef blk00000018))
+ (portRef I0 (instanceRef blk00000830))
+ (portRef I0 (instanceRef blk00000831))
+ (portRef I0 (instanceRef blk00000832))
+ (portRef I0 (instanceRef blk00000833))
+ (portRef I0 (instanceRef blk00000834))
+ (portRef I0 (instanceRef blk00000835))
+ (portRef I0 (instanceRef blk00000836))
+ (portRef I0 (instanceRef blk00000837))
+ (portRef I0 (instanceRef blk00000838))
+ (portRef I0 (instanceRef blk00000839))
+ (portRef I0 (instanceRef blk0000083a))
+ (portRef I0 (instanceRef blk0000083b))
+ (portRef I0 (instanceRef blk0000083c))
+ (portRef I0 (instanceRef blk0000083d))
+ (portRef I0 (instanceRef blk0000083e))
+ (portRef I0 (instanceRef blk0000083f))
+ (portRef I0 (instanceRef blk00000840))
+ (portRef I0 (instanceRef blk00000841))
+ (portRef I0 (instanceRef blk00000842))
+ (portRef I0 (instanceRef blk00000843))
+ (portRef I0 (instanceRef blk00000844))
+ (portRef I0 (instanceRef blk00000845))
+ (portRef I0 (instanceRef blk00000846))
+ (portRef I0 (instanceRef blk00000847))
+ (portRef I0 (instanceRef blk00000848))
+ (portRef I0 (instanceRef blk00000849))
+ (portRef I0 (instanceRef blk0000084a))
+ (portRef I0 (instanceRef blk0000084b))
+ (portRef I0 (instanceRef blk0000084c))
+ (portRef I0 (instanceRef blk0000084d))
+ (portRef I0 (instanceRef blk0000084e))
+ (portRef I0 (instanceRef blk0000084f))
+ (portRef I0 (instanceRef blk00000850))
+ (portRef I0 (instanceRef blk00000851))
+ (portRef I0 (instanceRef blk00000852))
+ (portRef I0 (instanceRef blk00000853))
+ (portRef I0 (instanceRef blk00000854))
+ (portRef I0 (instanceRef blk00000855))
+ (portRef I0 (instanceRef blk00000856))
+ (portRef I0 (instanceRef blk00000857))
+ (portRef I0 (instanceRef blk00000858))
+ (portRef I0 (instanceRef blk00000859))
+ (portRef I0 (instanceRef blk0000085a))
+ (portRef I0 (instanceRef blk0000085b))
+ (portRef I0 (instanceRef blk0000085c))
+ (portRef I0 (instanceRef blk0000085d))
+ (portRef I0 (instanceRef blk0000085e))
+ (portRef I0 (instanceRef blk0000085f))
+ (portRef I0 (instanceRef blk00000860))
+ (portRef I0 (instanceRef blk00000861))
+ (portRef I0 (instanceRef blk00000862))
+ (portRef I0 (instanceRef blk00000863))
+ (portRef I0 (instanceRef blk00000864))
+ (portRef I0 (instanceRef blk00000865))
+ (portRef I0 (instanceRef blk00000866))
+ (portRef I0 (instanceRef blk00000867))
+ (portRef I0 (instanceRef blk00000868))
+ (portRef I0 (instanceRef blk00000869))
+ (portRef I0 (instanceRef blk0000086a))
+ (portRef I0 (instanceRef blk0000086b))
+ (portRef I0 (instanceRef blk0000086c))
+ (portRef I0 (instanceRef blk0000086d))
+ (portRef I0 (instanceRef blk0000086e))
+ (portRef I0 (instanceRef blk0000086f))
+ (portRef I0 (instanceRef blk00000870))
+ (portRef I0 (instanceRef blk00000871))
+ (portRef I0 (instanceRef blk00000872))
+ (portRef I0 (instanceRef blk00000873))
+ (portRef I0 (instanceRef blk00000874))
+ (portRef I0 (instanceRef blk00000875))
+ (portRef I0 (instanceRef blk00000876))
+ (portRef I0 (instanceRef blk00000877))
+ (portRef I0 (instanceRef blk00000878))
+ (portRef I0 (instanceRef blk00000879))
+ (portRef I0 (instanceRef blk0000087a))
+ (portRef I0 (instanceRef blk0000087b))
+ (portRef I0 (instanceRef blk0000087c))
+ (portRef I0 (instanceRef blk0000087d))
+ (portRef I0 (instanceRef blk0000087e))
+ (portRef I0 (instanceRef blk0000087f))
+ (portRef I0 (instanceRef blk00000880))
+ (portRef I0 (instanceRef blk00000881))
+ (portRef I0 (instanceRef blk00000882))
+ (portRef I0 (instanceRef blk00000883))
+ (portRef I0 (instanceRef blk00000884))
+ (portRef I0 (instanceRef blk00000885))
+ (portRef I0 (instanceRef blk00000886))
+ (portRef I0 (instanceRef blk00000887))
+ (portRef I0 (instanceRef blk00000888))
+ (portRef I0 (instanceRef blk00000889))
+ (portRef I0 (instanceRef blk0000088a))
+ (portRef I0 (instanceRef blk0000088b))
+ (portRef I0 (instanceRef blk0000088c))
+ (portRef I0 (instanceRef blk0000088d))
+ (portRef I0 (instanceRef blk000008af))
+ (portRef I (instanceRef blk000008d1))
+ )
+ )
+ (net sig000001ce
+ (joined
+ (portRef D (instanceRef blk0000001b))
+ (portRef DI (instanceRef blk000000c5))
+ (portRef CI (instanceRef blk000000c7))
+ (portRef Q (instanceRef blk000000c8))
+ (portRef D (instanceRef blk000000e6))
+ )
+ )
+ (net sig000001cf
+ (joined
+ (portRef Q (instanceRef blk0000001b))
+ (portRef DI (instanceRef blk000000c6))
+ (portRef I (instanceRef blk000008d8))
+ (portRef D (instanceRef blk000008dc))
+ )
+ )
+ (net sig000001d0
+ (joined
+ (portRef D (instanceRef blk0000001c))
+ (portRef D (instanceRef blk0000001d))
+ (portRef O (instanceRef blk000007fe))
+ )
+ )
+ (net sig000001d1
+ (joined
+ (portRef Q (instanceRef blk0000001c))
+ (portRef D (instanceRef blk00000902))
+ )
+ )
+ (net sig000001d2
+ (joined
+ (portRef D (instanceRef blk0000001e))
+ (portRef O (instanceRef blk000007fc))
+ )
+ )
+ (net sig000001d3
+ (joined
+ (portRef Q (instanceRef blk0000001e))
+ (portRef I3 (instanceRef blk000007fc))
+ (portRef I4 (instanceRef blk000007ff))
+ )
+ )
+ (net sig000001d4
+ (joined
+ (portRef D (instanceRef blk0000001f))
+ (portRef O (instanceRef blk000007ff))
+ )
+ )
+ (net sig000001d5
+ (joined
+ (portRef Q (instanceRef blk0000001f))
+ (portRef I1 (instanceRef blk000007fc))
+ (portRef I0 (instanceRef blk000007fe))
+ (portRef I2 (instanceRef blk000007ff))
+ (portRef I2 (instanceRef blk000008c5))
+ (portRef D (instanceRef blk0000090c))
+ )
+ )
+ (net sig000001d6
+ (joined
+ (portRef D (instanceRef blk00000020))
+ (portRef O (instanceRef blk00000804))
+ )
+ )
+ (net sig000001d7
+ (joined
+ (portRef Q (instanceRef blk00000021))
+ (portRef I2 (instanceRef blk000007fc))
+ (portRef I2 (instanceRef blk000007fe))
+ (portRef I3 (instanceRef blk000007ff))
+ (portRef I0 (instanceRef blk00000804))
+ (portRef I1 (instanceRef blk00000824))
+ (portRef I0 (instanceRef blk000008c1))
+ (portRef I1 (instanceRef blk000008c2))
+ (portRef I3 (instanceRef blk000008c5))
+ (portRef D (instanceRef blk000008de))
+ )
+ )
+ (net sig000001d8
+ (joined
+ (portRef D (instanceRef blk00000022))
+ (portRef O (instanceRef blk00000803))
+ )
+ )
+ (net sig000001d9
+ (joined
+ (portRef Q (instanceRef blk00000022))
+ (portRef (member ADDRB 2) (instanceRef blk0000002c))
+ )
+ )
+ (net sig000001da
+ (joined
+ (portRef D (instanceRef blk00000023))
+ (portRef O (instanceRef blk000007fb))
+ )
+ )
+ (net sig000001db
+ (joined
+ (portRef Q (instanceRef blk00000023))
+ (portRef (member ADDRB 1) (instanceRef blk0000002c))
+ )
+ )
+ (net sig000001dc
+ (joined
+ (portRef D (instanceRef blk00000024))
+ (portRef Q (instanceRef blk0000078f))
+ (portRef I1 (instanceRef blk00000808))
+ (portRef I1 (instanceRef blk00000830))
+ (portRef I1 (instanceRef blk00000831))
+ (portRef I1 (instanceRef blk00000832))
+ (portRef I1 (instanceRef blk00000833))
+ (portRef I1 (instanceRef blk00000834))
+ (portRef I1 (instanceRef blk00000835))
+ (portRef I1 (instanceRef blk00000836))
+ (portRef I1 (instanceRef blk00000837))
+ (portRef I1 (instanceRef blk00000838))
+ (portRef I1 (instanceRef blk00000839))
+ (portRef I1 (instanceRef blk0000083a))
+ (portRef I1 (instanceRef blk0000083b))
+ (portRef I1 (instanceRef blk0000083c))
+ (portRef I1 (instanceRef blk0000083d))
+ (portRef I1 (instanceRef blk0000083e))
+ (portRef I1 (instanceRef blk0000083f))
+ (portRef I1 (instanceRef blk00000840))
+ (portRef I1 (instanceRef blk00000841))
+ (portRef I1 (instanceRef blk00000842))
+ (portRef I1 (instanceRef blk00000843))
+ (portRef I1 (instanceRef blk00000844))
+ (portRef I1 (instanceRef blk00000845))
+ (portRef I1 (instanceRef blk00000846))
+ (portRef I1 (instanceRef blk00000847))
+ (portRef I1 (instanceRef blk00000848))
+ (portRef I1 (instanceRef blk00000849))
+ (portRef I1 (instanceRef blk0000084a))
+ (portRef I1 (instanceRef blk0000084b))
+ (portRef I1 (instanceRef blk0000084c))
+ (portRef I1 (instanceRef blk0000084d))
+ (portRef I1 (instanceRef blk0000084e))
+ (portRef I1 (instanceRef blk0000084f))
+ (portRef I1 (instanceRef blk00000850))
+ (portRef I1 (instanceRef blk00000851))
+ (portRef I1 (instanceRef blk00000852))
+ (portRef I1 (instanceRef blk00000853))
+ (portRef I1 (instanceRef blk00000854))
+ (portRef I1 (instanceRef blk00000855))
+ (portRef I1 (instanceRef blk00000856))
+ (portRef I1 (instanceRef blk00000857))
+ (portRef I1 (instanceRef blk00000858))
+ (portRef I1 (instanceRef blk00000859))
+ (portRef I1 (instanceRef blk0000085a))
+ (portRef I1 (instanceRef blk0000085b))
+ (portRef I1 (instanceRef blk0000085c))
+ (portRef I1 (instanceRef blk0000085d))
+ (portRef I1 (instanceRef blk0000085e))
+ (portRef I1 (instanceRef blk0000085f))
+ (portRef I1 (instanceRef blk00000860))
+ (portRef I1 (instanceRef blk00000861))
+ (portRef I1 (instanceRef blk00000862))
+ (portRef I1 (instanceRef blk00000863))
+ (portRef I1 (instanceRef blk00000864))
+ (portRef I1 (instanceRef blk00000865))
+ (portRef I1 (instanceRef blk00000866))
+ (portRef I1 (instanceRef blk00000867))
+ (portRef I1 (instanceRef blk00000868))
+ (portRef I1 (instanceRef blk00000869))
+ (portRef I1 (instanceRef blk0000086a))
+ (portRef I1 (instanceRef blk0000086b))
+ (portRef I1 (instanceRef blk0000086c))
+ (portRef I1 (instanceRef blk0000086d))
+ (portRef I1 (instanceRef blk0000086e))
+ (portRef I1 (instanceRef blk0000086f))
+ (portRef I1 (instanceRef blk00000870))
+ (portRef I1 (instanceRef blk00000871))
+ (portRef I1 (instanceRef blk00000872))
+ (portRef I1 (instanceRef blk00000873))
+ (portRef I1 (instanceRef blk00000874))
+ (portRef I1 (instanceRef blk00000875))
+ (portRef I1 (instanceRef blk00000876))
+ (portRef I1 (instanceRef blk00000877))
+ (portRef I1 (instanceRef blk00000878))
+ (portRef I1 (instanceRef blk00000879))
+ (portRef I1 (instanceRef blk0000087a))
+ (portRef I1 (instanceRef blk0000087b))
+ (portRef I1 (instanceRef blk0000087c))
+ (portRef I1 (instanceRef blk0000087d))
+ (portRef I1 (instanceRef blk0000087e))
+ (portRef I1 (instanceRef blk0000087f))
+ (portRef I1 (instanceRef blk00000880))
+ (portRef I1 (instanceRef blk00000881))
+ (portRef I1 (instanceRef blk00000882))
+ (portRef I1 (instanceRef blk00000883))
+ (portRef I1 (instanceRef blk00000884))
+ (portRef I1 (instanceRef blk00000885))
+ (portRef I1 (instanceRef blk00000886))
+ (portRef I1 (instanceRef blk00000887))
+ (portRef I1 (instanceRef blk00000888))
+ (portRef I1 (instanceRef blk00000889))
+ (portRef I1 (instanceRef blk0000088a))
+ (portRef I1 (instanceRef blk0000088b))
+ (portRef I1 (instanceRef blk0000088c))
+ (portRef I1 (instanceRef blk0000088d))
+ (portRef I0 (instanceRef blk0000088e))
+ (portRef I2 (instanceRef blk0000088f))
+ )
+ )
+ (net sig000001dd
+ (joined
+ (portRef R (instanceRef blk00000024))
+ (portRef R (instanceRef blk00000028))
+ (portRef O (instanceRef blk00000806))
+ )
+ )
+ (net sig000001de
+ (joined
+ (portRef D (instanceRef blk00000025))
+ (portRef I1 (instanceRef blk0000082e))
+ (portRef Q (instanceRef blk000008e1))
+ (portRef D (instanceRef blk00000a2e))
+ )
+ )
+ (net sig000001df
+ (joined
+ (portRef Q (instanceRef blk00000025))
+ (portRef D (instanceRef blk000000b1))
+ )
+ )
+ (net sig000001e0
+ (joined
+ (portRef D (instanceRef blk00000026))
+ (portRef Q (instanceRef blk00000029))
+ (portRef D (instanceRef blk000008e0))
+ )
+ )
+ (net sig000001e1
+ (joined
+ (portRef Q (instanceRef blk00000026))
+ (portRef I2 (instanceRef blk000007fb))
+ (portRef I1 (instanceRef blk00000803))
+ )
+ )
+ (net sig000001e2
+ (joined
+ (portRef D (instanceRef blk00000027))
+ (portRef Q (instanceRef blk000008bb))
+ (portRef I0 (instanceRef blk000008c2))
+ )
+ )
+ (net sig000001e3
+ (joined
+ (portRef Q (instanceRef blk00000027))
+ (portRef (member ADDRB 0) (instanceRef blk0000002c))
+ )
+ )
+ (net sig000001e4
+ (joined
+ (portRef D (instanceRef blk00000028))
+ (portRef O (instanceRef blk00000808))
+ )
+ )
+ (net sig000001e5
+ (joined
+ (portRef D (instanceRef blk00000029))
+ (portRef Q (instanceRef blk0000002a))
+ (portRef I0 (instanceRef blk000007fc))
+ (portRef I3 (instanceRef blk000007fe))
+ (portRef I1 (instanceRef blk000007ff))
+ (portRef I2 (instanceRef blk00000804))
+ )
+ )
+ (net sig000001e6
+ (joined
+ (portRef D (instanceRef blk0000002a))
+ (portRef O (instanceRef blk00000809))
+ )
+ )
+ (net sig000001e7
+ (joined
+ (portRef (member ADDRA 0) (instanceRef blk0000002c))
+ (portRef Q (instanceRef blk000008bc))
+ (portRef I0 (instanceRef blk000008c3))
+ )
+ )
+ (net sig000001e8
+ (joined
+ (portRef (member ADDRA 1) (instanceRef blk0000002c))
+ (portRef DI (instanceRef blk000000d6))
+ (portRef I1 (instanceRef blk000007fd))
+ (portRef I0 (instanceRef blk00000822))
+ (portRef Q (instanceRef blk0000089b))
+ )
+ )
+ (net sig000001e9
+ (joined
+ (portRef (member ADDRA 2) (instanceRef blk0000002c))
+ (portRef DI (instanceRef blk000000d7))
+ (portRef I2 (instanceRef blk000007fd))
+ (portRef I2 (instanceRef blk00000821))
+ (portRef Q (instanceRef blk0000089c))
+ )
+ )
+ (net sig000001ea
+ (joined
+ (portRef (member DB_OUT 0) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000908))
+ (portRef D (instanceRef blk000009ce))
+ )
+ )
+ (net sig000001eb
+ (joined
+ (portRef (member DB_OUT 1) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000090e))
+ (portRef D (instanceRef blk000009d0))
+ )
+ )
+ (net sig000001ec
+ (joined
+ (portRef (member DB_OUT 2) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000910))
+ (portRef D (instanceRef blk000009cc))
+ )
+ )
+ (net sig000001ed
+ (joined
+ (portRef (member DB_OUT 3) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000912))
+ (portRef D (instanceRef blk000009d4))
+ )
+ )
+ (net sig000001ee
+ (joined
+ (portRef (member DB_OUT 4) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000914))
+ (portRef D (instanceRef blk000009d6))
+ )
+ )
+ (net sig000001ef
+ (joined
+ (portRef (member DB_OUT 5) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000918))
+ (portRef D (instanceRef blk000009d2))
+ )
+ )
+ (net sig000001f0
+ (joined
+ (portRef (member DB_OUT 6) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000091a))
+ (portRef D (instanceRef blk000009da))
+ )
+ )
+ (net sig000001f1
+ (joined
+ (portRef (member DB_OUT 7) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000916))
+ (portRef D (instanceRef blk000009dc))
+ )
+ )
+ (net sig000001f2
+ (joined
+ (portRef (member DB_OUT 8) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000091e))
+ (portRef D (instanceRef blk000009d8))
+ )
+ )
+ (net sig000001f3
+ (joined
+ (portRef (member DB_OUT 9) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000920))
+ (portRef D (instanceRef blk000009de))
+ )
+ )
+ (net sig000001f4
+ (joined
+ (portRef (member DB_OUT 10) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000091c))
+ (portRef D (instanceRef blk000009e0))
+ )
+ )
+ (net sig000001f5
+ (joined
+ (portRef (member DB_OUT 11) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000924))
+ (portRef D (instanceRef blk000009e2))
+ )
+ )
+ (net sig000001f6
+ (joined
+ (portRef (member DB_OUT 12) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000926))
+ (portRef D (instanceRef blk000009e4))
+ )
+ )
+ (net sig000001f7
+ (joined
+ (portRef (member DB_OUT 13) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000922))
+ (portRef D (instanceRef blk000009e8))
+ )
+ )
+ (net sig000001f8
+ (joined
+ (portRef (member DB_OUT 14) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000928))
+ (portRef D (instanceRef blk000009ea))
+ )
+ )
+ (net sig000001f9
+ (joined
+ (portRef (member DB_OUT 15) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000092a))
+ (portRef D (instanceRef blk000009e6))
+ )
+ )
+ (net sig000001fa
+ (joined
+ (portRef (member DB_OUT 16) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000092c))
+ (portRef D (instanceRef blk000009ee))
+ )
+ )
+ (net sig000001fb
+ (joined
+ (portRef (member DB_OUT 17) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000092e))
+ (portRef D (instanceRef blk000009f0))
+ )
+ )
+ (net sig000001fc
+ (joined
+ (portRef (member DB_OUT 18) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000932))
+ (portRef D (instanceRef blk000009ec))
+ )
+ )
+ (net sig000001fd
+ (joined
+ (portRef (member DB_OUT 19) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000934))
+ (portRef D (instanceRef blk000009f4))
+ )
+ )
+ (net sig000001fe
+ (joined
+ (portRef (member DB_OUT 20) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000930))
+ (portRef D (instanceRef blk000009f6))
+ )
+ )
+ (net sig000001ff
+ (joined
+ (portRef (member DB_OUT 21) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000938))
+ (portRef D (instanceRef blk000009f2))
+ )
+ )
+ (net sig00000200
+ (joined
+ (portRef (member DB_OUT 22) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000093a))
+ (portRef D (instanceRef blk000009f8))
+ )
+ )
+ (net sig00000201
+ (joined
+ (portRef (member DB_OUT 23) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000936))
+ (portRef D (instanceRef blk000009fa))
+ )
+ )
+ (net sig00000202
+ (joined
+ (portRef (member DB_OUT 24) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000093e))
+ (portRef D (instanceRef blk000009fc))
+ )
+ )
+ (net sig00000203
+ (joined
+ (portRef (member DB_OUT 25) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000940))
+ (portRef D (instanceRef blk000009fe))
+ )
+ )
+ (net sig00000204
+ (joined
+ (portRef (member DB_OUT 26) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000093c))
+ (portRef D (instanceRef blk00000a02))
+ )
+ )
+ (net sig00000205
+ (joined
+ (portRef (member DB_OUT 27) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000942))
+ (portRef D (instanceRef blk00000a04))
+ )
+ )
+ (net sig00000206
+ (joined
+ (portRef (member DB_OUT 28) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000944))
+ (portRef D (instanceRef blk00000a00))
+ )
+ )
+ (net sig00000207
+ (joined
+ (portRef (member DB_OUT 29) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000946))
+ (portRef D (instanceRef blk00000a08))
+ )
+ )
+ (net sig00000208
+ (joined
+ (portRef (member DB_OUT 30) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000948))
+ (portRef D (instanceRef blk00000a0a))
+ )
+ )
+ (net sig00000209
+ (joined
+ (portRef (member DB_OUT 31) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000094c))
+ (portRef D (instanceRef blk00000a06))
+ )
+ )
+ (net sig0000020a
+ (joined
+ (portRef (member DB_OUT 32) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000094e))
+ (portRef D (instanceRef blk00000a0e))
+ )
+ )
+ (net sig0000020b
+ (joined
+ (portRef (member DB_OUT 33) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000094a))
+ (portRef D (instanceRef blk00000a10))
+ )
+ )
+ (net sig0000020c
+ (joined
+ (portRef (member DB_OUT 34) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000952))
+ (portRef D (instanceRef blk00000a0c))
+ )
+ )
+ (net sig0000020d
+ (joined
+ (portRef (member DB_OUT 35) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000954))
+ (portRef D (instanceRef blk00000a12))
+ )
+ )
+ (net sig0000020e
+ (joined
+ (portRef (member DB_OUT 36) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000950))
+ (portRef D (instanceRef blk00000a14))
+ )
+ )
+ (net sig0000020f
+ (joined
+ (portRef (member DB_OUT 37) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000958))
+ (portRef D (instanceRef blk00000a16))
+ )
+ )
+ (net sig00000210
+ (joined
+ (portRef (member DB_OUT 38) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000095a))
+ (portRef D (instanceRef blk00000a18))
+ )
+ )
+ (net sig00000211
+ (joined
+ (portRef (member DB_OUT 39) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000956))
+ (portRef D (instanceRef blk00000a1c))
+ )
+ )
+ (net sig00000212
+ (joined
+ (portRef (member DB_OUT 40) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000095c))
+ (portRef D (instanceRef blk00000a1e))
+ )
+ )
+ (net sig00000213
+ (joined
+ (portRef (member DB_OUT 41) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000095e))
+ (portRef D (instanceRef blk00000a1a))
+ )
+ )
+ (net sig00000214
+ (joined
+ (portRef (member DB_OUT 42) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000960))
+ (portRef D (instanceRef blk00000a22))
+ )
+ )
+ (net sig00000215
+ (joined
+ (portRef (member DB_OUT 43) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000962))
+ (portRef D (instanceRef blk00000a24))
+ )
+ )
+ (net sig00000216
+ (joined
+ (portRef (member DB_OUT 44) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000966))
+ (portRef D (instanceRef blk00000a20))
+ )
+ )
+ (net sig00000217
+ (joined
+ (portRef (member DB_OUT 45) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000968))
+ (portRef D (instanceRef blk00000a28))
+ )
+ )
+ (net sig00000218
+ (joined
+ (portRef (member DB_OUT 46) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk00000964))
+ (portRef D (instanceRef blk00000a2a))
+ )
+ )
+ (net sig00000219
+ (joined
+ (portRef (member DB_OUT 47) (instanceRef blk0000002c))
+ (portRef D (instanceRef blk0000096c))
+ (portRef D (instanceRef blk00000a26))
+ )
+ )
+ (net sig0000021a
+ (joined
+ (portRef D (instanceRef blk0000008f))
+ (portRef O (instanceRef blk00000093))
+ )
+ )
+ (net sig0000021b
+ (joined
+ (portRef Q (instanceRef blk0000008f))
+ (portRef DI (instanceRef blk000000cb))
+ (portRef I3 (instanceRef blk000008c7))
+ )
+ )
+ (net sig0000021c
+ (joined
+ (portRef D (instanceRef blk00000090))
+ (portRef LO (instanceRef blk00000092))
+ (portRef CI (instanceRef blk00000093))
+ )
+ )
+ (net sig0000021d
+ (joined
+ (portRef Q (instanceRef blk00000090))
+ (portRef CI (instanceRef blk00000091))
+ (portRef D (instanceRef blk000000a6))
+ (portRef I (instanceRef blk000008d3))
+ )
+ )
+ (net sig0000021e
+ (joined
+ (portRef DI (instanceRef blk00000091))
+ (portRef D (instanceRef blk000000a0))
+ (portRef D (instanceRef blk000000be))
+ (portRef CI (instanceRef blk000000d0))
+ (portRef Q (instanceRef blk000000d1))
+ )
+ )
+ (net sig0000021f
+ (joined
+ (portRef S (instanceRef blk00000091))
+ (portRef O (instanceRef blk0000080d))
+ )
+ )
+ (net sig00000220
+ (joined
+ (portRef O (instanceRef blk00000091))
+ (portRef CI (instanceRef blk00000092))
+ )
+ )
+ (net sig00000221
+ (joined
+ (portRef DI (instanceRef blk00000092))
+ (portRef DI (instanceRef blk000000c2))
+ (portRef DI (instanceRef blk000000c3))
+ (portRef Q (instanceRef blk000000d2))
+ )
+ )
+ (net sig00000222
+ (joined
+ (portRef S (instanceRef blk00000092))
+ (portRef O (instanceRef blk0000080e))
+ )
+ )
+ (net sig00000223
+ (joined
+ (portRef DI (instanceRef blk00000094))
+ (portRef I0 (instanceRef blk0000080c))
+ (portRef Q (instanceRef blk00000895))
+ (portRef I (instanceRef blk000008d2))
+ (portRef I (instanceRef blk000008da))
+ )
+ )
+ (net sig00000224
+ (joined
+ (portRef S (instanceRef blk00000094))
+ (portRef LI (instanceRef blk00000095))
+ (portRef O (instanceRef blk0000080c))
+ )
+ )
+ (net sig00000225
+ (joined
+ (portRef O (instanceRef blk00000095))
+ (portRef D (instanceRef blk00000895))
+ )
+ )
+ (net sig00000226
+ (joined
+ (portRef CI (instanceRef blk00000096))
+ (portRef O (instanceRef blk00000097))
+ )
+ )
+ (net sig00000227
+ (joined
+ (portRef DI (instanceRef blk00000096))
+ (portRef Q (instanceRef blk000000a1))
+ (portRef I3 (instanceRef blk0000080b))
+ (portRef I2 (instanceRef blk00000814))
+ (portRef I1 (instanceRef blk00000815))
+ (portRef I2 (instanceRef blk000008bf))
+ (portRef I1 (instanceRef blk000008ca))
+ )
+ )
+ (net sig00000228
+ (joined
+ (portRef S (instanceRef blk00000096))
+ (portRef O (instanceRef blk00000812))
+ )
+ )
+ (net sig00000229
+ (joined
+ (portRef LO (instanceRef blk00000096))
+ (portRef D (instanceRef blk000000a1))
+ )
+ )
+ (net sig0000022a
+ (joined
+ (portRef S (instanceRef blk00000097))
+ (portRef O (instanceRef blk0000080f))
+ )
+ )
+ (net sig0000022b
+ (joined
+ (portRef CI (instanceRef blk00000098))
+ (portRef O (instanceRef blk0000009b))
+ )
+ )
+ (net sig0000022c
+ (joined
+ (portRef S (instanceRef blk00000098))
+ (portRef O (instanceRef blk00000810))
+ )
+ )
+ (net sig0000022d
+ (joined
+ (portRef LO (instanceRef blk00000098))
+ (portRef D (instanceRef blk000000a2))
+ )
+ )
+ (net sig0000022e
+ (joined
+ (portRef CI (instanceRef blk00000099))
+ (portRef O (instanceRef blk0000009a))
+ )
+ )
+ (net sig0000022f
+ (joined
+ (portRef DI (instanceRef blk00000099))
+ (portRef Q (instanceRef blk000000a3))
+ (portRef I2 (instanceRef blk0000080b))
+ (portRef I1 (instanceRef blk00000812))
+ (portRef I3 (instanceRef blk00000814))
+ (portRef I2 (instanceRef blk00000815))
+ (portRef I3 (instanceRef blk000008bf))
+ (portRef I3 (instanceRef blk000008ca))
+ )
+ )
+ (net sig00000230
+ (joined
+ (portRef LO (instanceRef blk00000099))
+ (portRef D (instanceRef blk000000a3))
+ )
+ )
+ (net sig00000231
+ (joined
+ (portRef S (instanceRef blk0000009a))
+ (portRef O (instanceRef blk000008d2))
+ )
+ )
+ (net sig00000232
+ (joined
+ (portRef S (instanceRef blk0000009b))
+ (portRef O (instanceRef blk000008da))
+ )
+ )
+ (net sig00000233
+ (joined
+ (portRef LO (instanceRef blk0000009b))
+ (portRef D (instanceRef blk000000a4))
+ )
+ )
+ (net sig00000234
+ (joined
+ (portRef D (instanceRef blk0000009c))
+ (portRef Q (instanceRef blk000000ae))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000235
+ (joined
+ (portRef Q (instanceRef blk0000009c))
+ (portRef WEA (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000236
+ (joined
+ (portRef D (instanceRef blk0000009d))
+ (portRef Q (instanceRef blk000000b0))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000237
+ (joined
+ (portRef Q (instanceRef blk0000009d))
+ (portRef D (instanceRef blk000000fd))
+ (portRef WEA (instanceRef blk000005e8))
+ )
+ )
+ (net sig00000238
+ (joined
+ (portRef D (instanceRef blk0000009e))
+ (portRef O (instanceRef blk0000080a))
+ )
+ )
+ (net sig00000239
+ (joined
+ (portRef Q (instanceRef blk0000009e))
+ (portRef D (instanceRef blk000000a5))
+ (portRef I0 (instanceRef blk0000080a))
+ (portRef I2 (instanceRef blk0000080d))
+ (portRef I2 (instanceRef blk0000080e))
+ (portRef I0 (instanceRef blk000008c9))
+ (portRef I3 (instanceRef blk000008cb))
+ (portRef I4 (instanceRef blk000008cc))
+ (portRef I0 (instanceRef blk000008cd))
+ )
+ )
+ (net sig0000023a
+ (joined
+ (portRef D (instanceRef blk0000009f))
+ (portRef O (instanceRef blk0000080b))
+ )
+ )
+ (net sig0000023b
+ (joined
+ (portRef Q (instanceRef blk0000009f))
+ (portRef I1 (instanceRef blk0000080a))
+ (portRef I0 (instanceRef blk0000080b))
+ (portRef I1 (instanceRef blk0000080d))
+ (portRef I2 (instanceRef blk00000811))
+ (portRef I1 (instanceRef blk000008c9))
+ (portRef I1 (instanceRef blk000008cb))
+ )
+ )
+ (net sig0000023c
+ (joined
+ (portRef Q (instanceRef blk000000a0))
+ (portRef (member ADDRA 0) (instanceRef blk0000075c))
+ )
+ )
+ (net sig0000023d
+ (joined
+ (portRef Q (instanceRef blk000000a5))
+ (portRef I0 (instanceRef blk00000819))
+ )
+ )
+ (net sig0000023e
+ (joined
+ (portRef Q (instanceRef blk000000a6))
+ (portRef DI (instanceRef blk000000c1))
+ )
+ )
+ (net sig0000023f
+ (joined
+ (portRef D (instanceRef blk000000a7))
+ (portRef Q (instanceRef blk000000a9))
+ (portRef I0 (instanceRef blk0000081a))
+ (portRef I1 (instanceRef blk0000081f))
+ (portRef I2 (instanceRef blk000008c6))
+ )
+ )
+ (net sig00000240
+ (joined
+ (portRef Q (instanceRef blk000000a7))
+ (portRef I0 (instanceRef blk0000081b))
+ (portRef I0 (instanceRef blk00000820))
+ )
+ )
+ (net sig00000241
+ (joined
+ (portRef D (instanceRef blk000000a8))
+ (portRef Q (instanceRef blk000000ad))
+ (portRef I0 (instanceRef blk00000817))
+ (portRef I2 (instanceRef blk000008c7))
+ (portRef I1 (instanceRef blk000008cc))
+ )
+ )
+ (net sig00000242
+ (joined
+ (portRef Q (instanceRef blk000000a8))
+ (portRef I0 (instanceRef blk00000818))
+ )
+ )
+ (net sig00000243
+ (joined
+ (portRef D (instanceRef blk000000a9))
+ (portRef Q (instanceRef blk000000b1))
+ (portRef I1 (instanceRef blk00000817))
+ (portRef I1 (instanceRef blk00000818))
+ (portRef I2 (instanceRef blk00000819))
+ (portRef I1 (instanceRef blk0000081a))
+ (portRef I1 (instanceRef blk0000081b))
+ (portRef I1 (instanceRef blk0000081c))
+ (portRef I1 (instanceRef blk0000081e))
+ (portRef I (instanceRef blk000008d4))
+ (portRef I (instanceRef blk000008db))
+ )
+ )
+ (net sig00000244
+ (joined
+ (portRef D (instanceRef blk000000aa))
+ (portRef O (instanceRef blk000008cc))
+ )
+ )
+ (net sig00000245
+ (joined
+ (portRef Q (instanceRef blk000000aa))
+ (portRef I0 (instanceRef blk0000081c))
+ (portRef I0 (instanceRef blk0000081d))
+ )
+ )
+ (net sig00000246
+ (joined
+ (portRef D (instanceRef blk000000ab))
+ (portRef O (instanceRef blk00000813))
+ )
+ )
+ (net sig00000247
+ (joined
+ (portRef Q (instanceRef blk000000ab))
+ (portRef I1 (instanceRef blk00000819))
+ (portRef I2 (instanceRef blk0000081c))
+ (portRef I2 (instanceRef blk0000081d))
+ (portRef I0 (instanceRef blk0000081e))
+ (portRef I0 (instanceRef blk0000081f))
+ (portRef I1 (instanceRef blk00000820))
+ (portRef I (instanceRef blk000008d5))
+ )
+ )
+ (net sig00000248
+ (joined
+ (portRef D (instanceRef blk000000ac))
+ (portRef O (instanceRef blk000008d3))
+ )
+ )
+ (net sig00000249
+ (joined
+ (portRef Q (instanceRef blk000000ac))
+ (portRef DI (instanceRef blk000000cc))
+ )
+ )
+ (net sig0000024a
+ (joined
+ (portRef D (instanceRef blk000000ad))
+ (portRef O (instanceRef blk000008c9))
+ )
+ )
+ (net sig0000024b
+ (joined
+ (portRef D (instanceRef blk000000ae))
+ (portRef O (instanceRef blk000008cb))
+ )
+ )
+ (net sig0000024c
+ (joined
+ (portRef D (instanceRef blk000000af))
+ (portRef O (instanceRef blk000008cd))
+ )
+ )
+ (net sig0000024d
+ (joined
+ (portRef Q (instanceRef blk000000af))
+ (portRef I2 (instanceRef blk00000817))
+ (portRef I1 (instanceRef blk0000081d))
+ (portRef I0 (instanceRef blk000008cc))
+ )
+ )
+ (net sig0000024e
+ (joined
+ (portRef D (instanceRef blk000000b0))
+ (portRef O (instanceRef blk00000811))
+ )
+ )
+ (net sig0000024f
+ (joined
+ (portRef Q (instanceRef blk000000b2))
+ (portRef D (instanceRef blk000000bd))
+ )
+ )
+ (net sig00000250
+ (joined
+ (portRef Q (instanceRef blk000000b3))
+ (portRef I1 (instanceRef blk0000080e))
+ (portRef I1 (instanceRef blk00000813))
+ (portRef I0 (instanceRef blk00000816))
+ (portRef I1 (instanceRef blk000008c4))
+ (portRef I3 (instanceRef blk000008c9))
+ (portRef I2 (instanceRef blk000008cc))
+ (portRef I1 (instanceRef blk000008cd))
+ )
+ )
+ (net sig00000251
+ (joined
+ (portRef CI (instanceRef blk000000b4))
+ (portRef O (instanceRef blk000000b7))
+ (portRef CI (instanceRef blk000000ba))
+ )
+ )
+ (net sig00000252
+ (joined
+ (portRef LI (instanceRef blk000000b4))
+ (portRef S (instanceRef blk000000ba))
+ (portRef O (instanceRef blk00000814))
+ )
+ )
+ (net sig00000253
+ (joined
+ (portRef O (instanceRef blk000000b4))
+ (portRef D (instanceRef blk00000898))
+ )
+ )
+ (net sig00000254
+ (joined
+ (portRef CI (instanceRef blk000000b5))
+ (portRef CI (instanceRef blk000000b8))
+ (portRef LO (instanceRef blk000000b9))
+ )
+ )
+ (net sig00000255
+ (joined
+ (portRef LI (instanceRef blk000000b5))
+ (portRef S (instanceRef blk000000b8))
+ (portRef O (instanceRef blk000008ca))
+ )
+ )
+ (net sig00000256
+ (joined
+ (portRef O (instanceRef blk000000b5))
+ (portRef D (instanceRef blk00000896))
+ )
+ )
+ (net sig00000257
+ (joined
+ (portRef CI (instanceRef blk000000b6))
+ (portRef CI (instanceRef blk000000b9))
+ (portRef LO (instanceRef blk000000ba))
+ )
+ )
+ (net sig00000258
+ (joined
+ (portRef LI (instanceRef blk000000b6))
+ (portRef S (instanceRef blk000000b9))
+ (portRef O (instanceRef blk000008bf))
+ )
+ )
+ (net sig00000259
+ (joined
+ (portRef O (instanceRef blk000000b6))
+ (portRef D (instanceRef blk00000897))
+ )
+ )
+ (net sig0000025a
+ (joined
+ (portRef S (instanceRef blk000000b7))
+ (portRef O (instanceRef blk00000815))
+ )
+ )
+ (net sig0000025b
+ (joined
+ (portRef DI (instanceRef blk000000b8))
+ (portRef I1 (instanceRef blk0000080f))
+ (portRef I0 (instanceRef blk00000810))
+ (portRef Q (instanceRef blk00000896))
+ (portRef I0 (instanceRef blk000008ca))
+ )
+ )
+ (net sig0000025c
+ (joined
+ (portRef DI (instanceRef blk000000b9))
+ (portRef I0 (instanceRef blk0000080f))
+ (portRef I1 (instanceRef blk00000810))
+ (portRef Q (instanceRef blk00000897))
+ (portRef I1 (instanceRef blk000008bf))
+ )
+ )
+ (net sig0000025d
+ (joined
+ (portRef DI (instanceRef blk000000ba))
+ (portRef I2 (instanceRef blk0000080f))
+ (portRef I2 (instanceRef blk00000810))
+ (portRef I1 (instanceRef blk00000814))
+ (portRef Q (instanceRef blk00000898))
+ )
+ )
+ (net sig0000025e
+ (joined
+ (portRef CI (instanceRef blk000000bb))
+ (portRef CI (instanceRef blk000000bc))
+ (portRef Q (instanceRef blk000000bd))
+ )
+ )
+ (net sig0000025f
+ (joined
+ (portRef DI (instanceRef blk000000bb))
+ (portRef D (instanceRef blk000000f3))
+ (portRef (member ADDRA 1) (instanceRef blk000005e8))
+ (portRef Q (instanceRef blk00000899))
+ (portRef I0 (instanceRef blk000008b0))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000260
+ (joined
+ (portRef S (instanceRef blk000000bb))
+ (portRef LI (instanceRef blk000000bc))
+ (portRef O (instanceRef blk000008b0))
+ )
+ )
+ (net sig00000261
+ (joined
+ (portRef O (instanceRef blk000000bc))
+ (portRef D (instanceRef blk00000899))
+ )
+ )
+ (net sig00000262
+ (joined
+ (portRef Q (instanceRef blk000000be))
+ (portRef D (instanceRef blk000000f4))
+ (portRef (member ADDRA 0) (instanceRef blk000005e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000263
+ (joined
+ (portRef D (instanceRef blk000000bf))
+ (portRef O (instanceRef blk00000816))
+ )
+ )
+ (net sig00000264
+ (joined
+ (portRef Q (instanceRef blk000000bf))
+ (portRef R (instanceRef blk00000899))
+ )
+ )
+ (net sig00000265
+ (joined
+ (portRef CI (instanceRef blk000000c0))
+ (portRef LO (instanceRef blk000000c2))
+ (portRef D (instanceRef blk000000c8))
+ )
+ )
+ (net sig00000266
+ (joined
+ (portRef O (instanceRef blk000000c0))
+ (portRef D (instanceRef blk000000c9))
+ )
+ )
+ (net sig00000267
+ (joined
+ (portRef CI (instanceRef blk000000c1))
+ (portRef O (instanceRef blk000000c3))
+ )
+ )
+ (net sig00000268
+ (joined
+ (portRef S (instanceRef blk000000c1))
+ (portRef O (instanceRef blk00000818))
+ )
+ )
+ (net sig00000269
+ (joined
+ (portRef O (instanceRef blk000000c1))
+ (portRef CI (instanceRef blk000000c2))
+ )
+ )
+ (net sig0000026a
+ (joined
+ (portRef S (instanceRef blk000000c2))
+ (portRef O (instanceRef blk00000817))
+ )
+ )
+ (net sig0000026b
+ (joined
+ (portRef CI (instanceRef blk000000c3))
+ (portRef O (instanceRef blk000000c4))
+ )
+ )
+ (net sig0000026c
+ (joined
+ (portRef S (instanceRef blk000000c3))
+ (portRef O (instanceRef blk00000819))
+ )
+ )
+ (net sig0000026d
+ (joined
+ (portRef CI (instanceRef blk000000c4))
+ (portRef O (instanceRef blk000000c5))
+ )
+ )
+ (net sig0000026e
+ (joined
+ (portRef DI (instanceRef blk000000c4))
+ (portRef O (instanceRef blk000008d9))
+ )
+ )
+ (net sig0000026f
+ (joined
+ (portRef S (instanceRef blk000000c4))
+ (portRef O (instanceRef blk000008d4))
+ )
+ )
+ (net sig00000270
+ (joined
+ (portRef CI (instanceRef blk000000c5))
+ (portRef O (instanceRef blk000000c6))
+ )
+ )
+ (net sig00000271
+ (joined
+ (portRef S (instanceRef blk000000c5))
+ (portRef O (instanceRef blk0000081a))
+ )
+ )
+ (net sig00000272
+ (joined
+ (portRef CI (instanceRef blk000000c6))
+ (portRef O (instanceRef blk000000c7))
+ )
+ )
+ (net sig00000273
+ (joined
+ (portRef S (instanceRef blk000000c6))
+ (portRef O (instanceRef blk0000081b))
+ )
+ )
+ (net sig00000274
+ (joined
+ (portRef DI (instanceRef blk000000c7))
+ (portRef O (instanceRef blk000008d7))
+ )
+ )
+ (net sig00000275
+ (joined
+ (portRef S (instanceRef blk000000c7))
+ (portRef O (instanceRef blk000008db))
+ )
+ )
+ (net sig00000276
+ (joined
+ (portRef Q (instanceRef blk000000c9))
+ (portRef DI (instanceRef blk000000ce))
+ (portRef I3 (instanceRef blk000008c6))
+ )
+ )
+ (net sig00000277
+ (joined
+ (portRef CI (instanceRef blk000000ca))
+ (portRef LO (instanceRef blk000000cb))
+ (portRef D (instanceRef blk000000d1))
+ )
+ )
+ (net sig00000278
+ (joined
+ (portRef O (instanceRef blk000000ca))
+ (portRef D (instanceRef blk000000d2))
+ )
+ )
+ (net sig00000279
+ (joined
+ (portRef CI (instanceRef blk000000cb))
+ (portRef O (instanceRef blk000000cc))
+ )
+ )
+ (net sig0000027a
+ (joined
+ (portRef S (instanceRef blk000000cb))
+ (portRef O (instanceRef blk0000081d))
+ )
+ )
+ (net sig0000027b
+ (joined
+ (portRef CI (instanceRef blk000000cc))
+ (portRef O (instanceRef blk000000cd))
+ )
+ )
+ (net sig0000027c
+ (joined
+ (portRef S (instanceRef blk000000cc))
+ (portRef O (instanceRef blk0000081c))
+ )
+ )
+ (net sig0000027d
+ (joined
+ (portRef CI (instanceRef blk000000cd))
+ (portRef O (instanceRef blk000000ce))
+ )
+ )
+ (net sig0000027e
+ (joined
+ (portRef DI (instanceRef blk000000cd))
+ (portRef Q (instanceRef blk000008be))
+ (portRef I0 (instanceRef blk000008c7))
+ (portRef I (instanceRef blk000008d9))
+ )
+ )
+ (net sig0000027f
+ (joined
+ (portRef S (instanceRef blk000000cd))
+ (portRef O (instanceRef blk0000081e))
+ )
+ )
+ (net sig00000280
+ (joined
+ (portRef CI (instanceRef blk000000ce))
+ (portRef O (instanceRef blk000000cf))
+ )
+ )
+ (net sig00000281
+ (joined
+ (portRef S (instanceRef blk000000ce))
+ (portRef O (instanceRef blk0000081f))
+ )
+ )
+ (net sig00000282
+ (joined
+ (portRef CI (instanceRef blk000000cf))
+ (portRef O (instanceRef blk000000d0))
+ )
+ )
+ (net sig00000283
+ (joined
+ (portRef DI (instanceRef blk000000cf))
+ (portRef O (instanceRef blk000008d8))
+ )
+ )
+ (net sig00000284
+ (joined
+ (portRef S (instanceRef blk000000cf))
+ (portRef O (instanceRef blk00000820))
+ )
+ )
+ (net sig00000285
+ (joined
+ (portRef DI (instanceRef blk000000d0))
+ (portRef Q (instanceRef blk000008bd))
+ (portRef I0 (instanceRef blk000008c6))
+ (portRef I (instanceRef blk000008d7))
+ )
+ )
+ (net sig00000286
+ (joined
+ (portRef S (instanceRef blk000000d0))
+ (portRef O (instanceRef blk000008d5))
+ )
+ )
+ (net sig00000287
+ (joined
+ (portRef CI (instanceRef blk000000d3))
+ (portRef O (instanceRef blk000000d5))
+ (portRef CI (instanceRef blk000000d7))
+ )
+ )
+ (net sig00000288
+ (joined
+ (portRef LI (instanceRef blk000000d3))
+ (portRef S (instanceRef blk000000d7))
+ (portRef O (instanceRef blk00000821))
+ )
+ )
+ (net sig00000289
+ (joined
+ (portRef O (instanceRef blk000000d3))
+ (portRef D (instanceRef blk0000089c))
+ )
+ )
+ (net sig0000028a
+ (joined
+ (portRef CI (instanceRef blk000000d4))
+ (portRef CI (instanceRef blk000000d6))
+ (portRef LO (instanceRef blk000000d7))
+ )
+ )
+ (net sig0000028b
+ (joined
+ (portRef LI (instanceRef blk000000d4))
+ (portRef S (instanceRef blk000000d6))
+ (portRef O (instanceRef blk00000822))
+ )
+ )
+ (net sig0000028c
+ (joined
+ (portRef O (instanceRef blk000000d4))
+ (portRef D (instanceRef blk0000089b))
+ )
+ )
+ (net sig0000028d
+ (joined
+ (portRef S (instanceRef blk000000d5))
+ (portRef O (instanceRef blk00000823))
+ )
+ )
+ (net sig0000028e
+ (joined
+ (portRef LI (instanceRef blk000000d8))
+ (portRef S (instanceRef blk000000db))
+ (portRef O (instanceRef blk000008b1))
+ )
+ )
+ (net sig0000028f
+ (joined
+ (portRef O (instanceRef blk000000d8))
+ (portRef D (instanceRef blk0000089e))
+ )
+ )
+ (net sig00000290
+ (joined
+ (portRef CI (instanceRef blk000000d9))
+ (portRef CI (instanceRef blk000000da))
+ (portRef LO (instanceRef blk000000db))
+ )
+ )
+ (net sig00000291
+ (joined
+ (portRef LI (instanceRef blk000000d9))
+ (portRef S (instanceRef blk000000da))
+ (portRef O (instanceRef blk00000824))
+ )
+ )
+ (net sig00000292
+ (joined
+ (portRef O (instanceRef blk000000d9))
+ (portRef D (instanceRef blk0000089d))
+ )
+ )
+ (net sig00000293
+ (joined
+ (portRef DI (instanceRef blk000000da))
+ (portRef I0 (instanceRef blk000007fb))
+ (portRef I0 (instanceRef blk00000824))
+ (portRef Q (instanceRef blk0000089d))
+ )
+ )
+ (net sig00000294
+ (joined
+ (portRef DI (instanceRef blk000000db))
+ (portRef I1 (instanceRef blk000007fb))
+ (portRef I0 (instanceRef blk00000803))
+ (portRef Q (instanceRef blk0000089e))
+ (portRef I0 (instanceRef blk000008b1))
+ )
+ )
+ (net sig00000295
+ (joined
+ (portRef DI (instanceRef blk000000dc))
+ (portRef D (instanceRef blk0000011f))
+ (portRef (member ADDR 0) (instanceRef blk0000054f))
+ (portRef (member ADDR 0) (instanceRef blk000005b5))
+ (portRef I0 (instanceRef blk00000826))
+ (portRef Q (instanceRef blk0000089f))
+ )
+ )
+ (net sig00000296
+ (joined
+ (portRef S (instanceRef blk000000dc))
+ (portRef LI (instanceRef blk000000dd))
+ (portRef O (instanceRef blk00000826))
+ )
+ )
+ (net sig00000297
+ (joined
+ (portRef O (instanceRef blk000000dd))
+ (portRef D (instanceRef blk0000089f))
+ )
+ )
+ (net sig00000298
+ (joined
+ (portRef S (instanceRef blk000000de))
+ (portRef O (instanceRef blk00000827))
+ )
+ )
+ (net sig00000299
+ (joined
+ (portRef O (instanceRef blk000000de))
+ (portRef CI (instanceRef blk000000df))
+ (portRef CI (instanceRef blk000000e0))
+ )
+ )
+ (net sig0000029a
+ (joined
+ (portRef DI (instanceRef blk000000df))
+ (portRef D (instanceRef blk0000011a))
+ (portRef (member ADDR 0) (instanceRef blk0000051c))
+ (portRef (member ADDR 0) (instanceRef blk00000582))
+ (portRef I2 (instanceRef blk00000825))
+ (portRef Q (instanceRef blk000008a0))
+ )
+ )
+ (net sig0000029b
+ (joined
+ (portRef S (instanceRef blk000000df))
+ (portRef LI (instanceRef blk000000e0))
+ (portRef O (instanceRef blk00000825))
+ )
+ )
+ (net sig0000029c
+ (joined
+ (portRef O (instanceRef blk000000e0))
+ (portRef D (instanceRef blk000008a0))
+ )
+ )
+ (net sig0000029d
+ (joined
+ (portRef D (instanceRef blk000000e1))
+ (portRef O (instanceRef blk00000828))
+ )
+ )
+ (net sig0000029e
+ (joined
+ (portRef Q (instanceRef blk000000e1))
+ (portRef D (instanceRef blk0000090a))
+ )
+ )
+ (net sig0000029f
+ (joined
+ (portRef CI (instanceRef blk000000e2))
+ (portRef CI (instanceRef blk000000e3))
+ (portRef Q (instanceRef blk000000e4))
+ )
+ )
+ (net sig000002a0
+ (joined
+ (portRef DI (instanceRef blk000000e2))
+ (portRef D (instanceRef blk0000011e))
+ (portRef (member ADDRB 1) (instanceRef blk000005e8))
+ (portRef Q (instanceRef blk000008a2))
+ (portRef I0 (instanceRef blk000008b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002a1
+ (joined
+ (portRef S (instanceRef blk000000e2))
+ (portRef LI (instanceRef blk000000e3))
+ (portRef O (instanceRef blk000008b2))
+ )
+ )
+ (net sig000002a2
+ (joined
+ (portRef O (instanceRef blk000000e3))
+ (portRef D (instanceRef blk000008a2))
+ )
+ )
+ (net sig000002a3
+ (joined
+ (portRef D (instanceRef blk000000e4))
+ (portRef I0 (instanceRef blk00000825))
+ (portRef I1 (instanceRef blk00000826))
+ (portRef I0 (instanceRef blk00000827))
+ (portRef Q (instanceRef blk0000090d))
+ )
+ )
+ (net sig000002a4
+ (joined
+ (portRef D (instanceRef blk000000e5))
+ (portRef S (instanceRef blk0000089f))
+ (portRef R (instanceRef blk000008a0))
+ (portRef Q (instanceRef blk0000090b))
+ )
+ )
+ (net sig000002a5
+ (joined
+ (portRef Q (instanceRef blk000000e5))
+ (portRef R (instanceRef blk000008a2))
+ )
+ )
+ (net sig000002a6
+ (joined
+ (portRef Q (instanceRef blk000000e6))
+ (portRef D (instanceRef blk0000011d))
+ (portRef (member ADDRB 0) (instanceRef blk000005e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002a7
+ (joined
+ (portRef B_17_ (instanceRef blk000000e7))
+ (portRef B_17_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 0) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002a8
+ (joined
+ (portRef B_16_ (instanceRef blk000000e7))
+ (portRef B_16_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 1) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002a9
+ (joined
+ (portRef B_15_ (instanceRef blk000000e7))
+ (portRef B_15_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 2) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002aa
+ (joined
+ (portRef B_14_ (instanceRef blk000000e7))
+ (portRef B_14_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 3) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002ab
+ (joined
+ (portRef B_13_ (instanceRef blk000000e7))
+ (portRef B_13_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 4) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002ac
+ (joined
+ (portRef B_12_ (instanceRef blk000000e7))
+ (portRef B_12_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 5) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002ad
+ (joined
+ (portRef B_11_ (instanceRef blk000000e7))
+ (portRef B_11_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 6) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002ae
+ (joined
+ (portRef B_10_ (instanceRef blk000000e7))
+ (portRef B_10_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 7) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002af
+ (joined
+ (portRef B_9_ (instanceRef blk000000e7))
+ (portRef B_9_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 8) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b0
+ (joined
+ (portRef B_8_ (instanceRef blk000000e7))
+ (portRef B_8_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 9) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b1
+ (joined
+ (portRef B_7_ (instanceRef blk000000e7))
+ (portRef B_7_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 10) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b2
+ (joined
+ (portRef B_6_ (instanceRef blk000000e7))
+ (portRef B_6_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 11) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b3
+ (joined
+ (portRef B_5_ (instanceRef blk000000e7))
+ (portRef B_5_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 12) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b4
+ (joined
+ (portRef B_4_ (instanceRef blk000000e7))
+ (portRef B_4_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 13) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b5
+ (joined
+ (portRef B_3_ (instanceRef blk000000e7))
+ (portRef B_3_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 14) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b6
+ (joined
+ (portRef B_2_ (instanceRef blk000000e7))
+ (portRef B_2_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 15) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b7
+ (joined
+ (portRef B_1_ (instanceRef blk000000e7))
+ (portRef B_1_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 16) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b8
+ (joined
+ (portRef B_0_ (instanceRef blk000000e7))
+ (portRef B_0_ (instanceRef blk000000e8))
+ (portRef (member DB_OUT 17) (instanceRef blk000005e8))
+ )
+ )
+ (net sig000002b9
+ (joined
+ (portRef D_24_ (instanceRef blk000000e7))
+ (portRef D_23_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 0) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002ba
+ (joined
+ (portRef D_22_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 1) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002bb
+ (joined
+ (portRef D_21_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 2) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002bc
+ (joined
+ (portRef D_20_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 3) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002bd
+ (joined
+ (portRef D_19_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 4) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002be
+ (joined
+ (portRef D_18_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 5) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002bf
+ (joined
+ (portRef D_17_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 6) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c0
+ (joined
+ (portRef D_16_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 7) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c1
+ (joined
+ (portRef D_15_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 8) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c2
+ (joined
+ (portRef D_14_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 9) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c3
+ (joined
+ (portRef D_13_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 10) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c4
+ (joined
+ (portRef D_12_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 11) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c5
+ (joined
+ (portRef D_11_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 12) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c6
+ (joined
+ (portRef D_10_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 13) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c7
+ (joined
+ (portRef D_9_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 14) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c8
+ (joined
+ (portRef D_8_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 15) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002c9
+ (joined
+ (portRef D_7_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 16) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002ca
+ (joined
+ (portRef D_6_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 17) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002cb
+ (joined
+ (portRef D_5_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 18) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002cc
+ (joined
+ (portRef D_4_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 19) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002cd
+ (joined
+ (portRef D_3_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 20) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002ce
+ (joined
+ (portRef D_2_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 21) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002cf
+ (joined
+ (portRef D_1_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 22) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002d0
+ (joined
+ (portRef D_0_ (instanceRef blk000000e7))
+ (portRef (member DATA_IN 23) (instanceRef blk00000450))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000051c))
+ )
+ )
+ (net sig000002d1
+ (joined
+ (portRef A_29_ (instanceRef blk000000e7))
+ (portRef A_28_ (instanceRef blk000000e7))
+ (portRef A_27_ (instanceRef blk000000e7))
+ (portRef A_26_ (instanceRef blk000000e7))
+ (portRef A_25_ (instanceRef blk000000e7))
+ (portRef A_24_ (instanceRef blk000000e7))
+ (portRef A_23_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d2
+ (joined
+ (portRef A_22_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d3
+ (joined
+ (portRef A_21_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d4
+ (joined
+ (portRef A_20_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d5
+ (joined
+ (portRef A_19_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d6
+ (joined
+ (portRef A_18_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d7
+ (joined
+ (portRef A_17_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d8
+ (joined
+ (portRef A_16_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002d9
+ (joined
+ (portRef A_15_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002da
+ (joined
+ (portRef A_14_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002db
+ (joined
+ (portRef A_13_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002dc
+ (joined
+ (portRef A_12_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002dd
+ (joined
+ (portRef A_11_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002de
+ (joined
+ (portRef A_10_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002df
+ (joined
+ (portRef A_9_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e0
+ (joined
+ (portRef A_8_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e1
+ (joined
+ (portRef A_7_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e2
+ (joined
+ (portRef A_6_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e3
+ (joined
+ (portRef A_5_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e4
+ (joined
+ (portRef A_4_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e5
+ (joined
+ (portRef A_3_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e6
+ (joined
+ (portRef A_2_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e7
+ (joined
+ (portRef A_1_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e8
+ (joined
+ (portRef A_0_ (instanceRef blk000000e7))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000054f))
+ )
+ )
+ (net sig000002e9
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000e7))
+ (portRef PCIN_47_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ea
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000e7))
+ (portRef PCIN_46_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002eb
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000e7))
+ (portRef PCIN_45_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ec
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000e7))
+ (portRef PCIN_44_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ed
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000e7))
+ (portRef PCIN_43_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ee
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000e7))
+ (portRef PCIN_42_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ef
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000e7))
+ (portRef PCIN_41_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f0
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000e7))
+ (portRef PCIN_40_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f1
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000e7))
+ (portRef PCIN_39_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f2
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000e7))
+ (portRef PCIN_38_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f3
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000e7))
+ (portRef PCIN_37_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f4
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000e7))
+ (portRef PCIN_36_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f5
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000e7))
+ (portRef PCIN_35_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f6
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000e7))
+ (portRef PCIN_34_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f7
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000e7))
+ (portRef PCIN_33_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f8
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000e7))
+ (portRef PCIN_32_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002f9
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000e7))
+ (portRef PCIN_31_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002fa
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000e7))
+ (portRef PCIN_30_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002fb
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000e7))
+ (portRef PCIN_29_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002fc
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000e7))
+ (portRef PCIN_28_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002fd
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000e7))
+ (portRef PCIN_27_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002fe
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000e7))
+ (portRef PCIN_26_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ff
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000e7))
+ (portRef PCIN_25_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000300
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000e7))
+ (portRef PCIN_24_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000301
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000e7))
+ (portRef PCIN_23_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000302
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000e7))
+ (portRef PCIN_22_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000303
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000e7))
+ (portRef PCIN_21_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000304
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000e7))
+ (portRef PCIN_20_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000305
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000e7))
+ (portRef PCIN_19_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000306
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000e7))
+ (portRef PCIN_18_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000307
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000e7))
+ (portRef PCIN_17_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000308
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000e7))
+ (portRef PCIN_16_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000309
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000e7))
+ (portRef PCIN_15_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig0000030a
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000e7))
+ (portRef PCIN_14_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig0000030b
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000e7))
+ (portRef PCIN_13_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig0000030c
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000e7))
+ (portRef PCIN_12_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig0000030d
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000e7))
+ (portRef PCIN_11_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig0000030e
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000e7))
+ (portRef PCIN_10_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig0000030f
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000e7))
+ (portRef PCIN_9_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000310
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000e7))
+ (portRef PCIN_8_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000311
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000e7))
+ (portRef PCIN_7_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000312
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000e7))
+ (portRef PCIN_6_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000313
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000e7))
+ (portRef PCIN_5_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000314
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000e7))
+ (portRef PCIN_4_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000315
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000e7))
+ (portRef PCIN_3_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000316
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000e7))
+ (portRef PCIN_2_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000317
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000e7))
+ (portRef PCIN_1_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000318
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000e7))
+ (portRef PCIN_0_ (instanceRef blk000000eb))
+ )
+ )
+ (net sig00000319
+ (joined
+ (portRef D_24_ (instanceRef blk000000e8))
+ (portRef D_23_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 0) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000031a
+ (joined
+ (portRef D_22_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 1) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000031b
+ (joined
+ (portRef D_21_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 2) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000031c
+ (joined
+ (portRef D_20_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 3) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000031d
+ (joined
+ (portRef D_19_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 4) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000031e
+ (joined
+ (portRef D_18_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 5) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000031f
+ (joined
+ (portRef D_17_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 6) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000320
+ (joined
+ (portRef D_16_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 7) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000321
+ (joined
+ (portRef D_15_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 8) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000322
+ (joined
+ (portRef D_14_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 9) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000323
+ (joined
+ (portRef D_13_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 10) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000324
+ (joined
+ (portRef D_12_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 11) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000325
+ (joined
+ (portRef D_11_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 12) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000326
+ (joined
+ (portRef D_10_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 13) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000327
+ (joined
+ (portRef D_9_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 14) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000328
+ (joined
+ (portRef D_8_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 15) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000329
+ (joined
+ (portRef D_7_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 16) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000032a
+ (joined
+ (portRef D_6_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 17) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000032b
+ (joined
+ (portRef D_5_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 18) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000032c
+ (joined
+ (portRef D_4_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 19) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000032d
+ (joined
+ (portRef D_3_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 20) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000032e
+ (joined
+ (portRef D_2_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 21) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000582))
+ )
+ )
+ (net sig0000032f
+ (joined
+ (portRef D_1_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 22) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000330
+ (joined
+ (portRef D_0_ (instanceRef blk000000e8))
+ (portRef (member DATA_IN 23) (instanceRef blk000004b6))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000582))
+ )
+ )
+ (net sig00000331
+ (joined
+ (portRef A_29_ (instanceRef blk000000e8))
+ (portRef A_28_ (instanceRef blk000000e8))
+ (portRef A_27_ (instanceRef blk000000e8))
+ (portRef A_26_ (instanceRef blk000000e8))
+ (portRef A_25_ (instanceRef blk000000e8))
+ (portRef A_24_ (instanceRef blk000000e8))
+ (portRef A_23_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 0) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000332
+ (joined
+ (portRef A_22_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 1) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000333
+ (joined
+ (portRef A_21_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 2) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000334
+ (joined
+ (portRef A_20_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 3) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000335
+ (joined
+ (portRef A_19_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 4) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000336
+ (joined
+ (portRef A_18_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 5) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000337
+ (joined
+ (portRef A_17_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 6) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000338
+ (joined
+ (portRef A_16_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 7) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000339
+ (joined
+ (portRef A_15_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 8) (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000033a
+ (joined
+ (portRef A_14_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 9) (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000033b
+ (joined
+ (portRef A_13_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 10) (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000033c
+ (joined
+ (portRef A_12_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 11) (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000033d
+ (joined
+ (portRef A_11_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 12) (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000033e
+ (joined
+ (portRef A_10_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 13) (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000033f
+ (joined
+ (portRef A_9_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 14) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000340
+ (joined
+ (portRef A_8_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 15) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000341
+ (joined
+ (portRef A_7_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 16) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000342
+ (joined
+ (portRef A_6_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 17) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000343
+ (joined
+ (portRef A_5_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 18) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000344
+ (joined
+ (portRef A_4_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 19) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000345
+ (joined
+ (portRef A_3_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 20) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000346
+ (joined
+ (portRef A_2_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 21) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000347
+ (joined
+ (portRef A_1_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 22) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000348
+ (joined
+ (portRef A_0_ (instanceRef blk000000e8))
+ (portRef (member DATA_OUT 23) (instanceRef blk000005b5))
+ )
+ )
+ (net sig00000349
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000e8))
+ (portRef PCIN_47_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000034a
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000e8))
+ (portRef PCIN_46_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000034b
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000e8))
+ (portRef PCIN_45_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000034c
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000e8))
+ (portRef PCIN_44_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000034d
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000e8))
+ (portRef PCIN_43_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000034e
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000e8))
+ (portRef PCIN_42_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000034f
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000e8))
+ (portRef PCIN_41_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000350
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000e8))
+ (portRef PCIN_40_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000351
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000e8))
+ (portRef PCIN_39_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000352
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000e8))
+ (portRef PCIN_38_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000353
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000e8))
+ (portRef PCIN_37_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000354
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000e8))
+ (portRef PCIN_36_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000355
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000e8))
+ (portRef PCIN_35_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000356
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000e8))
+ (portRef PCIN_34_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000357
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000e8))
+ (portRef PCIN_33_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000358
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000e8))
+ (portRef PCIN_32_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000359
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000e8))
+ (portRef PCIN_31_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000035a
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000e8))
+ (portRef PCIN_30_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000035b
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000e8))
+ (portRef PCIN_29_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000035c
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000e8))
+ (portRef PCIN_28_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000035d
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000e8))
+ (portRef PCIN_27_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000035e
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000e8))
+ (portRef PCIN_26_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000035f
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000e8))
+ (portRef PCIN_25_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000360
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000e8))
+ (portRef PCIN_24_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000361
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000e8))
+ (portRef PCIN_23_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000362
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000e8))
+ (portRef PCIN_22_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000363
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000e8))
+ (portRef PCIN_21_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000364
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000e8))
+ (portRef PCIN_20_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000365
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000e8))
+ (portRef PCIN_19_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000366
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000e8))
+ (portRef PCIN_18_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000367
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000e8))
+ (portRef PCIN_17_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000368
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000e8))
+ (portRef PCIN_16_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000369
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000e8))
+ (portRef PCIN_15_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000036a
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000e8))
+ (portRef PCIN_14_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000036b
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000e8))
+ (portRef PCIN_13_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000036c
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000e8))
+ (portRef PCIN_12_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000036d
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000e8))
+ (portRef PCIN_11_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000036e
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000e8))
+ (portRef PCIN_10_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig0000036f
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000e8))
+ (portRef PCIN_9_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000370
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000e8))
+ (portRef PCIN_8_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000371
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000e8))
+ (portRef PCIN_7_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000372
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000e8))
+ (portRef PCIN_6_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000373
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000e8))
+ (portRef PCIN_5_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000374
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000e8))
+ (portRef PCIN_4_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000375
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000e8))
+ (portRef PCIN_3_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000376
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000e8))
+ (portRef PCIN_2_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000377
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000e8))
+ (portRef PCIN_1_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000378
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000e8))
+ (portRef PCIN_0_ (instanceRef blk000000ef))
+ )
+ )
+ (net sig00000379
+ (joined
+ (portRef PCIN_47_ (instanceRef blk000000e9))
+ (portRef PCOUT_47_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000037a
+ (joined
+ (portRef PCIN_46_ (instanceRef blk000000e9))
+ (portRef PCOUT_46_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000037b
+ (joined
+ (portRef PCIN_45_ (instanceRef blk000000e9))
+ (portRef PCOUT_45_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000037c
+ (joined
+ (portRef PCIN_44_ (instanceRef blk000000e9))
+ (portRef PCOUT_44_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000037d
+ (joined
+ (portRef PCIN_43_ (instanceRef blk000000e9))
+ (portRef PCOUT_43_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000037e
+ (joined
+ (portRef PCIN_42_ (instanceRef blk000000e9))
+ (portRef PCOUT_42_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000037f
+ (joined
+ (portRef PCIN_41_ (instanceRef blk000000e9))
+ (portRef PCOUT_41_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000380
+ (joined
+ (portRef PCIN_40_ (instanceRef blk000000e9))
+ (portRef PCOUT_40_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000381
+ (joined
+ (portRef PCIN_39_ (instanceRef blk000000e9))
+ (portRef PCOUT_39_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000382
+ (joined
+ (portRef PCIN_38_ (instanceRef blk000000e9))
+ (portRef PCOUT_38_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000383
+ (joined
+ (portRef PCIN_37_ (instanceRef blk000000e9))
+ (portRef PCOUT_37_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000384
+ (joined
+ (portRef PCIN_36_ (instanceRef blk000000e9))
+ (portRef PCOUT_36_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000385
+ (joined
+ (portRef PCIN_35_ (instanceRef blk000000e9))
+ (portRef PCOUT_35_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000386
+ (joined
+ (portRef PCIN_34_ (instanceRef blk000000e9))
+ (portRef PCOUT_34_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000387
+ (joined
+ (portRef PCIN_33_ (instanceRef blk000000e9))
+ (portRef PCOUT_33_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000388
+ (joined
+ (portRef PCIN_32_ (instanceRef blk000000e9))
+ (portRef PCOUT_32_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000389
+ (joined
+ (portRef PCIN_31_ (instanceRef blk000000e9))
+ (portRef PCOUT_31_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000038a
+ (joined
+ (portRef PCIN_30_ (instanceRef blk000000e9))
+ (portRef PCOUT_30_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000038b
+ (joined
+ (portRef PCIN_29_ (instanceRef blk000000e9))
+ (portRef PCOUT_29_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000038c
+ (joined
+ (portRef PCIN_28_ (instanceRef blk000000e9))
+ (portRef PCOUT_28_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000038d
+ (joined
+ (portRef PCIN_27_ (instanceRef blk000000e9))
+ (portRef PCOUT_27_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000038e
+ (joined
+ (portRef PCIN_26_ (instanceRef blk000000e9))
+ (portRef PCOUT_26_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000038f
+ (joined
+ (portRef PCIN_25_ (instanceRef blk000000e9))
+ (portRef PCOUT_25_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000390
+ (joined
+ (portRef PCIN_24_ (instanceRef blk000000e9))
+ (portRef PCOUT_24_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000391
+ (joined
+ (portRef PCIN_23_ (instanceRef blk000000e9))
+ (portRef PCOUT_23_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000392
+ (joined
+ (portRef PCIN_22_ (instanceRef blk000000e9))
+ (portRef PCOUT_22_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000393
+ (joined
+ (portRef PCIN_21_ (instanceRef blk000000e9))
+ (portRef PCOUT_21_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000394
+ (joined
+ (portRef PCIN_20_ (instanceRef blk000000e9))
+ (portRef PCOUT_20_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000395
+ (joined
+ (portRef PCIN_19_ (instanceRef blk000000e9))
+ (portRef PCOUT_19_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000396
+ (joined
+ (portRef PCIN_18_ (instanceRef blk000000e9))
+ (portRef PCOUT_18_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000397
+ (joined
+ (portRef PCIN_17_ (instanceRef blk000000e9))
+ (portRef PCOUT_17_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000398
+ (joined
+ (portRef PCIN_16_ (instanceRef blk000000e9))
+ (portRef PCOUT_16_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000399
+ (joined
+ (portRef PCIN_15_ (instanceRef blk000000e9))
+ (portRef PCOUT_15_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000039a
+ (joined
+ (portRef PCIN_14_ (instanceRef blk000000e9))
+ (portRef PCOUT_14_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000039b
+ (joined
+ (portRef PCIN_13_ (instanceRef blk000000e9))
+ (portRef PCOUT_13_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000039c
+ (joined
+ (portRef PCIN_12_ (instanceRef blk000000e9))
+ (portRef PCOUT_12_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000039d
+ (joined
+ (portRef PCIN_11_ (instanceRef blk000000e9))
+ (portRef PCOUT_11_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000039e
+ (joined
+ (portRef PCIN_10_ (instanceRef blk000000e9))
+ (portRef PCOUT_10_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000039f
+ (joined
+ (portRef PCIN_9_ (instanceRef blk000000e9))
+ (portRef PCOUT_9_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a0
+ (joined
+ (portRef PCIN_8_ (instanceRef blk000000e9))
+ (portRef PCOUT_8_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a1
+ (joined
+ (portRef PCIN_7_ (instanceRef blk000000e9))
+ (portRef PCOUT_7_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a2
+ (joined
+ (portRef PCIN_6_ (instanceRef blk000000e9))
+ (portRef PCOUT_6_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a3
+ (joined
+ (portRef PCIN_5_ (instanceRef blk000000e9))
+ (portRef PCOUT_5_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a4
+ (joined
+ (portRef PCIN_4_ (instanceRef blk000000e9))
+ (portRef PCOUT_4_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a5
+ (joined
+ (portRef PCIN_3_ (instanceRef blk000000e9))
+ (portRef PCOUT_3_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a6
+ (joined
+ (portRef PCIN_2_ (instanceRef blk000000e9))
+ (portRef PCOUT_2_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a7
+ (joined
+ (portRef PCIN_1_ (instanceRef blk000000e9))
+ (portRef PCOUT_1_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a8
+ (joined
+ (portRef PCIN_0_ (instanceRef blk000000e9))
+ (portRef PCOUT_0_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000003a9
+ (joined
+ (portRef B_17_ (instanceRef blk000000e9))
+ (portRef B_17_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 0) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003aa
+ (joined
+ (portRef B_16_ (instanceRef blk000000e9))
+ (portRef B_16_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 1) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003ab
+ (joined
+ (portRef B_15_ (instanceRef blk000000e9))
+ (portRef B_15_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 2) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003ac
+ (joined
+ (portRef B_14_ (instanceRef blk000000e9))
+ (portRef B_14_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 3) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003ad
+ (joined
+ (portRef B_13_ (instanceRef blk000000e9))
+ (portRef B_13_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 4) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003ae
+ (joined
+ (portRef B_12_ (instanceRef blk000000e9))
+ (portRef B_12_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 5) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003af
+ (joined
+ (portRef B_11_ (instanceRef blk000000e9))
+ (portRef B_11_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 6) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b0
+ (joined
+ (portRef B_10_ (instanceRef blk000000e9))
+ (portRef B_10_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 7) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b1
+ (joined
+ (portRef B_9_ (instanceRef blk000000e9))
+ (portRef B_9_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 8) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b2
+ (joined
+ (portRef B_8_ (instanceRef blk000000e9))
+ (portRef B_8_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 9) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b3
+ (joined
+ (portRef B_7_ (instanceRef blk000000e9))
+ (portRef B_7_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 10) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b4
+ (joined
+ (portRef B_6_ (instanceRef blk000000e9))
+ (portRef B_6_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 11) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b5
+ (joined
+ (portRef B_5_ (instanceRef blk000000e9))
+ (portRef B_5_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 12) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b6
+ (joined
+ (portRef B_4_ (instanceRef blk000000e9))
+ (portRef B_4_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 13) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b7
+ (joined
+ (portRef B_3_ (instanceRef blk000000e9))
+ (portRef B_3_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 14) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b8
+ (joined
+ (portRef B_2_ (instanceRef blk000000e9))
+ (portRef B_2_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 15) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003b9
+ (joined
+ (portRef B_1_ (instanceRef blk000000e9))
+ (portRef B_1_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 16) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003ba
+ (joined
+ (portRef B_0_ (instanceRef blk000000e9))
+ (portRef B_0_ (instanceRef blk000000ea))
+ (portRef (member DB_OUT 17) (instanceRef blk00000705))
+ )
+ )
+ (net sig000003bb
+ (joined
+ (portRef D_24_ (instanceRef blk000000e9))
+ (portRef D_23_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000744))
+ )
+ )
+ (net sig000003bc
+ (joined
+ (portRef D_22_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000745))
+ )
+ )
+ (net sig000003bd
+ (joined
+ (portRef D_21_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000746))
+ )
+ )
+ (net sig000003be
+ (joined
+ (portRef D_20_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000747))
+ )
+ )
+ (net sig000003bf
+ (joined
+ (portRef D_19_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000748))
+ )
+ )
+ (net sig000003c0
+ (joined
+ (portRef D_18_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000749))
+ )
+ )
+ (net sig000003c1
+ (joined
+ (portRef D_17_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000074a))
+ )
+ )
+ (net sig000003c2
+ (joined
+ (portRef D_16_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000074b))
+ )
+ )
+ (net sig000003c3
+ (joined
+ (portRef D_15_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000074c))
+ )
+ )
+ (net sig000003c4
+ (joined
+ (portRef D_14_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000074d))
+ )
+ )
+ (net sig000003c5
+ (joined
+ (portRef D_13_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000074e))
+ )
+ )
+ (net sig000003c6
+ (joined
+ (portRef D_12_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000074f))
+ )
+ )
+ (net sig000003c7
+ (joined
+ (portRef D_11_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000750))
+ )
+ )
+ (net sig000003c8
+ (joined
+ (portRef D_10_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000751))
+ )
+ )
+ (net sig000003c9
+ (joined
+ (portRef D_9_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000752))
+ )
+ )
+ (net sig000003ca
+ (joined
+ (portRef D_8_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000753))
+ )
+ )
+ (net sig000003cb
+ (joined
+ (portRef D_7_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000754))
+ )
+ )
+ (net sig000003cc
+ (joined
+ (portRef D_6_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000755))
+ )
+ )
+ (net sig000003cd
+ (joined
+ (portRef D_5_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000756))
+ )
+ )
+ (net sig000003ce
+ (joined
+ (portRef D_4_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000757))
+ )
+ )
+ (net sig000003cf
+ (joined
+ (portRef D_3_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000758))
+ )
+ )
+ (net sig000003d0
+ (joined
+ (portRef D_2_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000120))
+ (portRef D (instanceRef blk00000759))
+ )
+ )
+ (net sig000003d1
+ (joined
+ (portRef D_1_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000075a))
+ )
+ )
+ (net sig000003d2
+ (joined
+ (portRef D_0_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000120))
+ (portRef D (instanceRef blk0000075b))
+ )
+ )
+ (net sig000003d3
+ (joined
+ (portRef A_29_ (instanceRef blk000000e9))
+ (portRef A_28_ (instanceRef blk000000e9))
+ (portRef A_27_ (instanceRef blk000000e9))
+ (portRef A_26_ (instanceRef blk000000e9))
+ (portRef A_25_ (instanceRef blk000000e9))
+ (portRef A_24_ (instanceRef blk000000e9))
+ (portRef A_23_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003d4
+ (joined
+ (portRef A_22_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003d5
+ (joined
+ (portRef A_21_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003d6
+ (joined
+ (portRef A_20_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003d7
+ (joined
+ (portRef A_19_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003d8
+ (joined
+ (portRef A_18_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003d9
+ (joined
+ (portRef A_17_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003da
+ (joined
+ (portRef A_16_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003db
+ (joined
+ (portRef A_15_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003dc
+ (joined
+ (portRef A_14_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003dd
+ (joined
+ (portRef A_13_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003de
+ (joined
+ (portRef A_12_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003df
+ (joined
+ (portRef A_11_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e0
+ (joined
+ (portRef A_10_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e1
+ (joined
+ (portRef A_9_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e2
+ (joined
+ (portRef A_8_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e3
+ (joined
+ (portRef A_7_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e4
+ (joined
+ (portRef A_6_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e5
+ (joined
+ (portRef A_5_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e6
+ (joined
+ (portRef A_4_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e7
+ (joined
+ (portRef A_3_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e8
+ (joined
+ (portRef A_2_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003e9
+ (joined
+ (portRef A_1_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003ea
+ (joined
+ (portRef A_0_ (instanceRef blk000000e9))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000153))
+ )
+ )
+ (net sig000003eb
+ (joined
+ (portRef PCIN_47_ (instanceRef blk000000ea))
+ (portRef PCOUT_47_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003ec
+ (joined
+ (portRef PCIN_46_ (instanceRef blk000000ea))
+ (portRef PCOUT_46_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003ed
+ (joined
+ (portRef PCIN_45_ (instanceRef blk000000ea))
+ (portRef PCOUT_45_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003ee
+ (joined
+ (portRef PCIN_44_ (instanceRef blk000000ea))
+ (portRef PCOUT_44_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003ef
+ (joined
+ (portRef PCIN_43_ (instanceRef blk000000ea))
+ (portRef PCOUT_43_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f0
+ (joined
+ (portRef PCIN_42_ (instanceRef blk000000ea))
+ (portRef PCOUT_42_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f1
+ (joined
+ (portRef PCIN_41_ (instanceRef blk000000ea))
+ (portRef PCOUT_41_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f2
+ (joined
+ (portRef PCIN_40_ (instanceRef blk000000ea))
+ (portRef PCOUT_40_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f3
+ (joined
+ (portRef PCIN_39_ (instanceRef blk000000ea))
+ (portRef PCOUT_39_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f4
+ (joined
+ (portRef PCIN_38_ (instanceRef blk000000ea))
+ (portRef PCOUT_38_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f5
+ (joined
+ (portRef PCIN_37_ (instanceRef blk000000ea))
+ (portRef PCOUT_37_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f6
+ (joined
+ (portRef PCIN_36_ (instanceRef blk000000ea))
+ (portRef PCOUT_36_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f7
+ (joined
+ (portRef PCIN_35_ (instanceRef blk000000ea))
+ (portRef PCOUT_35_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f8
+ (joined
+ (portRef PCIN_34_ (instanceRef blk000000ea))
+ (portRef PCOUT_34_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003f9
+ (joined
+ (portRef PCIN_33_ (instanceRef blk000000ea))
+ (portRef PCOUT_33_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003fa
+ (joined
+ (portRef PCIN_32_ (instanceRef blk000000ea))
+ (portRef PCOUT_32_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003fb
+ (joined
+ (portRef PCIN_31_ (instanceRef blk000000ea))
+ (portRef PCOUT_31_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003fc
+ (joined
+ (portRef PCIN_30_ (instanceRef blk000000ea))
+ (portRef PCOUT_30_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003fd
+ (joined
+ (portRef PCIN_29_ (instanceRef blk000000ea))
+ (portRef PCOUT_29_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003fe
+ (joined
+ (portRef PCIN_28_ (instanceRef blk000000ea))
+ (portRef PCOUT_28_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000003ff
+ (joined
+ (portRef PCIN_27_ (instanceRef blk000000ea))
+ (portRef PCOUT_27_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000400
+ (joined
+ (portRef PCIN_26_ (instanceRef blk000000ea))
+ (portRef PCOUT_26_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000401
+ (joined
+ (portRef PCIN_25_ (instanceRef blk000000ea))
+ (portRef PCOUT_25_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000402
+ (joined
+ (portRef PCIN_24_ (instanceRef blk000000ea))
+ (portRef PCOUT_24_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000403
+ (joined
+ (portRef PCIN_23_ (instanceRef blk000000ea))
+ (portRef PCOUT_23_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000404
+ (joined
+ (portRef PCIN_22_ (instanceRef blk000000ea))
+ (portRef PCOUT_22_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000405
+ (joined
+ (portRef PCIN_21_ (instanceRef blk000000ea))
+ (portRef PCOUT_21_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000406
+ (joined
+ (portRef PCIN_20_ (instanceRef blk000000ea))
+ (portRef PCOUT_20_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000407
+ (joined
+ (portRef PCIN_19_ (instanceRef blk000000ea))
+ (portRef PCOUT_19_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000408
+ (joined
+ (portRef PCIN_18_ (instanceRef blk000000ea))
+ (portRef PCOUT_18_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000409
+ (joined
+ (portRef PCIN_17_ (instanceRef blk000000ea))
+ (portRef PCOUT_17_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000040a
+ (joined
+ (portRef PCIN_16_ (instanceRef blk000000ea))
+ (portRef PCOUT_16_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000040b
+ (joined
+ (portRef PCIN_15_ (instanceRef blk000000ea))
+ (portRef PCOUT_15_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000040c
+ (joined
+ (portRef PCIN_14_ (instanceRef blk000000ea))
+ (portRef PCOUT_14_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000040d
+ (joined
+ (portRef PCIN_13_ (instanceRef blk000000ea))
+ (portRef PCOUT_13_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000040e
+ (joined
+ (portRef PCIN_12_ (instanceRef blk000000ea))
+ (portRef PCOUT_12_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000040f
+ (joined
+ (portRef PCIN_11_ (instanceRef blk000000ea))
+ (portRef PCOUT_11_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000410
+ (joined
+ (portRef PCIN_10_ (instanceRef blk000000ea))
+ (portRef PCOUT_10_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000411
+ (joined
+ (portRef PCIN_9_ (instanceRef blk000000ea))
+ (portRef PCOUT_9_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000412
+ (joined
+ (portRef PCIN_8_ (instanceRef blk000000ea))
+ (portRef PCOUT_8_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000413
+ (joined
+ (portRef PCIN_7_ (instanceRef blk000000ea))
+ (portRef PCOUT_7_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000414
+ (joined
+ (portRef PCIN_6_ (instanceRef blk000000ea))
+ (portRef PCOUT_6_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000415
+ (joined
+ (portRef PCIN_5_ (instanceRef blk000000ea))
+ (portRef PCOUT_5_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000416
+ (joined
+ (portRef PCIN_4_ (instanceRef blk000000ea))
+ (portRef PCOUT_4_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000417
+ (joined
+ (portRef PCIN_3_ (instanceRef blk000000ea))
+ (portRef PCOUT_3_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000418
+ (joined
+ (portRef PCIN_2_ (instanceRef blk000000ea))
+ (portRef PCOUT_2_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000419
+ (joined
+ (portRef PCIN_1_ (instanceRef blk000000ea))
+ (portRef PCOUT_1_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000041a
+ (joined
+ (portRef PCIN_0_ (instanceRef blk000000ea))
+ (portRef PCOUT_0_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig0000041b
+ (joined
+ (portRef D_24_ (instanceRef blk000000ea))
+ (portRef D_23_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000072c))
+ )
+ )
+ (net sig0000041c
+ (joined
+ (portRef D_22_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000072d))
+ )
+ )
+ (net sig0000041d
+ (joined
+ (portRef D_21_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000072e))
+ )
+ )
+ (net sig0000041e
+ (joined
+ (portRef D_20_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000072f))
+ )
+ )
+ (net sig0000041f
+ (joined
+ (portRef D_19_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000730))
+ )
+ )
+ (net sig00000420
+ (joined
+ (portRef D_18_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000731))
+ )
+ )
+ (net sig00000421
+ (joined
+ (portRef D_17_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000732))
+ )
+ )
+ (net sig00000422
+ (joined
+ (portRef D_16_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000733))
+ )
+ )
+ (net sig00000423
+ (joined
+ (portRef D_15_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000734))
+ )
+ )
+ (net sig00000424
+ (joined
+ (portRef D_14_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000735))
+ )
+ )
+ (net sig00000425
+ (joined
+ (portRef D_13_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000736))
+ )
+ )
+ (net sig00000426
+ (joined
+ (portRef D_12_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000737))
+ )
+ )
+ (net sig00000427
+ (joined
+ (portRef D_11_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000738))
+ )
+ )
+ (net sig00000428
+ (joined
+ (portRef D_10_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000739))
+ )
+ )
+ (net sig00000429
+ (joined
+ (portRef D_9_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000073a))
+ )
+ )
+ (net sig0000042a
+ (joined
+ (portRef D_8_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000073b))
+ )
+ )
+ (net sig0000042b
+ (joined
+ (portRef D_7_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000073c))
+ )
+ )
+ (net sig0000042c
+ (joined
+ (portRef D_6_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000073d))
+ )
+ )
+ (net sig0000042d
+ (joined
+ (portRef D_5_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000073e))
+ )
+ )
+ (net sig0000042e
+ (joined
+ (portRef D_4_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000186))
+ (portRef D (instanceRef blk0000073f))
+ )
+ )
+ (net sig0000042f
+ (joined
+ (portRef D_3_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000740))
+ )
+ )
+ (net sig00000430
+ (joined
+ (portRef D_2_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000741))
+ )
+ )
+ (net sig00000431
+ (joined
+ (portRef D_1_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000742))
+ )
+ )
+ (net sig00000432
+ (joined
+ (portRef D_0_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000186))
+ (portRef D (instanceRef blk00000743))
+ )
+ )
+ (net sig00000433
+ (joined
+ (portRef A_29_ (instanceRef blk000000ea))
+ (portRef A_28_ (instanceRef blk000000ea))
+ (portRef A_27_ (instanceRef blk000000ea))
+ (portRef A_26_ (instanceRef blk000000ea))
+ (portRef A_25_ (instanceRef blk000000ea))
+ (portRef A_24_ (instanceRef blk000000ea))
+ (portRef A_23_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 0) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000434
+ (joined
+ (portRef A_22_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 1) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000435
+ (joined
+ (portRef A_21_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 2) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000436
+ (joined
+ (portRef A_20_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 3) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000437
+ (joined
+ (portRef A_19_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 4) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000438
+ (joined
+ (portRef A_18_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 5) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000439
+ (joined
+ (portRef A_17_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 6) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000043a
+ (joined
+ (portRef A_16_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 7) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000043b
+ (joined
+ (portRef A_15_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 8) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000043c
+ (joined
+ (portRef A_14_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 9) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000043d
+ (joined
+ (portRef A_13_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 10) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000043e
+ (joined
+ (portRef A_12_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 11) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000043f
+ (joined
+ (portRef A_11_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 12) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000440
+ (joined
+ (portRef A_10_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 13) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000441
+ (joined
+ (portRef A_9_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 14) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000442
+ (joined
+ (portRef A_8_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 15) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000443
+ (joined
+ (portRef A_7_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 16) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000444
+ (joined
+ (portRef A_6_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 17) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000445
+ (joined
+ (portRef A_5_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 18) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000446
+ (joined
+ (portRef A_4_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 19) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000447
+ (joined
+ (portRef A_3_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 20) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000448
+ (joined
+ (portRef A_2_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 21) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000449
+ (joined
+ (portRef A_1_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 22) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000044a
+ (joined
+ (portRef A_0_ (instanceRef blk000000ea))
+ (portRef (member DATA_OUT 23) (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000044b
+ (joined
+ (portRef B_17_ (instanceRef blk000000eb))
+ (portRef B_17_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 0) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000044c
+ (joined
+ (portRef B_16_ (instanceRef blk000000eb))
+ (portRef B_16_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 1) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000044d
+ (joined
+ (portRef B_15_ (instanceRef blk000000eb))
+ (portRef B_15_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 2) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000044e
+ (joined
+ (portRef B_14_ (instanceRef blk000000eb))
+ (portRef B_14_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 3) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000044f
+ (joined
+ (portRef B_13_ (instanceRef blk000000eb))
+ (portRef B_13_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 4) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000450
+ (joined
+ (portRef B_12_ (instanceRef blk000000eb))
+ (portRef B_12_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 5) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000451
+ (joined
+ (portRef B_11_ (instanceRef blk000000eb))
+ (portRef B_11_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 6) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000452
+ (joined
+ (portRef B_10_ (instanceRef blk000000eb))
+ (portRef B_10_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 7) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000453
+ (joined
+ (portRef B_9_ (instanceRef blk000000eb))
+ (portRef B_9_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 8) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000454
+ (joined
+ (portRef B_8_ (instanceRef blk000000eb))
+ (portRef B_8_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 9) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000455
+ (joined
+ (portRef B_7_ (instanceRef blk000000eb))
+ (portRef B_7_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 10) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000456
+ (joined
+ (portRef B_6_ (instanceRef blk000000eb))
+ (portRef B_6_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 11) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000457
+ (joined
+ (portRef B_5_ (instanceRef blk000000eb))
+ (portRef B_5_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 12) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000458
+ (joined
+ (portRef B_4_ (instanceRef blk000000eb))
+ (portRef B_4_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 13) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000459
+ (joined
+ (portRef B_3_ (instanceRef blk000000eb))
+ (portRef B_3_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 14) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000045a
+ (joined
+ (portRef B_2_ (instanceRef blk000000eb))
+ (portRef B_2_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 15) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000045b
+ (joined
+ (portRef B_1_ (instanceRef blk000000eb))
+ (portRef B_1_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 16) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000045c
+ (joined
+ (portRef B_0_ (instanceRef blk000000eb))
+ (portRef B_0_ (instanceRef blk000000ef))
+ (portRef (member DB_OUT 17) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000045d
+ (joined
+ (portRef D_24_ (instanceRef blk000000eb))
+ (portRef D_23_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 0) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000045e
+ (joined
+ (portRef D_22_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 1) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000045f
+ (joined
+ (portRef D_21_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 2) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000460
+ (joined
+ (portRef D_20_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 3) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000461
+ (joined
+ (portRef D_19_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 4) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000462
+ (joined
+ (portRef D_18_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 5) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000463
+ (joined
+ (portRef D_17_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 6) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000464
+ (joined
+ (portRef D_16_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 7) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000465
+ (joined
+ (portRef D_15_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 8) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000466
+ (joined
+ (portRef D_14_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 9) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000467
+ (joined
+ (portRef D_13_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 10) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000468
+ (joined
+ (portRef D_12_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 11) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000469
+ (joined
+ (portRef D_11_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 12) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000046a
+ (joined
+ (portRef D_10_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 13) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000046b
+ (joined
+ (portRef D_9_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 14) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000046c
+ (joined
+ (portRef D_8_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 15) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000046d
+ (joined
+ (portRef D_7_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 16) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000046e
+ (joined
+ (portRef D_6_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 17) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000450))
+ )
+ )
+ (net sig0000046f
+ (joined
+ (portRef D_5_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 18) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000470
+ (joined
+ (portRef D_4_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 19) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000471
+ (joined
+ (portRef D_3_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 20) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000472
+ (joined
+ (portRef D_2_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 21) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000473
+ (joined
+ (portRef D_1_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 22) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000474
+ (joined
+ (portRef D_0_ (instanceRef blk000000eb))
+ (portRef (member DATA_IN 23) (instanceRef blk00000384))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000450))
+ )
+ )
+ (net sig00000475
+ (joined
+ (portRef A_29_ (instanceRef blk000000eb))
+ (portRef A_28_ (instanceRef blk000000eb))
+ (portRef A_27_ (instanceRef blk000000eb))
+ (portRef A_26_ (instanceRef blk000000eb))
+ (portRef A_25_ (instanceRef blk000000eb))
+ (portRef A_24_ (instanceRef blk000000eb))
+ (portRef A_23_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000476
+ (joined
+ (portRef A_22_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000477
+ (joined
+ (portRef A_21_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000478
+ (joined
+ (portRef A_20_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000479
+ (joined
+ (portRef A_19_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000047a
+ (joined
+ (portRef A_18_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000047b
+ (joined
+ (portRef A_17_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000047c
+ (joined
+ (portRef A_16_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000047d
+ (joined
+ (portRef A_15_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000047e
+ (joined
+ (portRef A_14_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000047f
+ (joined
+ (portRef A_13_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000480
+ (joined
+ (portRef A_12_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000481
+ (joined
+ (portRef A_11_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000482
+ (joined
+ (portRef A_10_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000483
+ (joined
+ (portRef A_9_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000484
+ (joined
+ (portRef A_8_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000485
+ (joined
+ (portRef A_7_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000486
+ (joined
+ (portRef A_6_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000487
+ (joined
+ (portRef A_5_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000488
+ (joined
+ (portRef A_4_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000483))
+ )
+ )
+ (net sig00000489
+ (joined
+ (portRef A_3_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000048a
+ (joined
+ (portRef A_2_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000048b
+ (joined
+ (portRef A_1_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000048c
+ (joined
+ (portRef A_0_ (instanceRef blk000000eb))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000483))
+ )
+ )
+ (net sig0000048d
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000eb))
+ (portRef PCIN_47_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000048e
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000eb))
+ (portRef PCIN_46_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000048f
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000eb))
+ (portRef PCIN_45_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000490
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000eb))
+ (portRef PCIN_44_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000491
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000eb))
+ (portRef PCIN_43_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000492
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000eb))
+ (portRef PCIN_42_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000493
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000eb))
+ (portRef PCIN_41_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000494
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000eb))
+ (portRef PCIN_40_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000495
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000eb))
+ (portRef PCIN_39_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000496
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000eb))
+ (portRef PCIN_38_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000497
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000eb))
+ (portRef PCIN_37_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000498
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000eb))
+ (portRef PCIN_36_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig00000499
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000eb))
+ (portRef PCIN_35_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000049a
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000eb))
+ (portRef PCIN_34_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000049b
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000eb))
+ (portRef PCIN_33_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000049c
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000eb))
+ (portRef PCIN_32_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000049d
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000eb))
+ (portRef PCIN_31_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000049e
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000eb))
+ (portRef PCIN_30_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig0000049f
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000eb))
+ (portRef PCIN_29_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a0
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000eb))
+ (portRef PCIN_28_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a1
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000eb))
+ (portRef PCIN_27_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a2
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000eb))
+ (portRef PCIN_26_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a3
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000eb))
+ (portRef PCIN_25_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a4
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000eb))
+ (portRef PCIN_24_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a5
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000eb))
+ (portRef PCIN_23_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a6
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000eb))
+ (portRef PCIN_22_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a7
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000eb))
+ (portRef PCIN_21_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a8
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000eb))
+ (portRef PCIN_20_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004a9
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000eb))
+ (portRef PCIN_19_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004aa
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000eb))
+ (portRef PCIN_18_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004ab
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000eb))
+ (portRef PCIN_17_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004ac
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000eb))
+ (portRef PCIN_16_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004ad
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000eb))
+ (portRef PCIN_15_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004ae
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000eb))
+ (portRef PCIN_14_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004af
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000eb))
+ (portRef PCIN_13_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b0
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000eb))
+ (portRef PCIN_12_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b1
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000eb))
+ (portRef PCIN_11_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b2
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000eb))
+ (portRef PCIN_10_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b3
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000eb))
+ (portRef PCIN_9_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b4
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000eb))
+ (portRef PCIN_8_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b5
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000eb))
+ (portRef PCIN_7_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b6
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000eb))
+ (portRef PCIN_6_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b7
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000eb))
+ (portRef PCIN_5_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b8
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000eb))
+ (portRef PCIN_4_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004b9
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000eb))
+ (portRef PCIN_3_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004ba
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000eb))
+ (portRef PCIN_2_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004bb
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000eb))
+ (portRef PCIN_1_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004bc
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000eb))
+ (portRef PCIN_0_ (instanceRef blk000000ec))
+ )
+ )
+ (net sig000004bd
+ (joined
+ (portRef B_17_ (instanceRef blk000000ec))
+ (portRef B_17_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 0) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004be
+ (joined
+ (portRef B_16_ (instanceRef blk000000ec))
+ (portRef B_16_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 1) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004bf
+ (joined
+ (portRef B_15_ (instanceRef blk000000ec))
+ (portRef B_15_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 2) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c0
+ (joined
+ (portRef B_14_ (instanceRef blk000000ec))
+ (portRef B_14_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 3) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c1
+ (joined
+ (portRef B_13_ (instanceRef blk000000ec))
+ (portRef B_13_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 4) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c2
+ (joined
+ (portRef B_12_ (instanceRef blk000000ec))
+ (portRef B_12_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 5) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c3
+ (joined
+ (portRef B_11_ (instanceRef blk000000ec))
+ (portRef B_11_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 6) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c4
+ (joined
+ (portRef B_10_ (instanceRef blk000000ec))
+ (portRef B_10_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 7) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c5
+ (joined
+ (portRef B_9_ (instanceRef blk000000ec))
+ (portRef B_9_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 8) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c6
+ (joined
+ (portRef B_8_ (instanceRef blk000000ec))
+ (portRef B_8_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 9) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c7
+ (joined
+ (portRef B_7_ (instanceRef blk000000ec))
+ (portRef B_7_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 10) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c8
+ (joined
+ (portRef B_6_ (instanceRef blk000000ec))
+ (portRef B_6_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 11) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004c9
+ (joined
+ (portRef B_5_ (instanceRef blk000000ec))
+ (portRef B_5_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 12) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004ca
+ (joined
+ (portRef B_4_ (instanceRef blk000000ec))
+ (portRef B_4_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 13) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004cb
+ (joined
+ (portRef B_3_ (instanceRef blk000000ec))
+ (portRef B_3_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 14) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004cc
+ (joined
+ (portRef B_2_ (instanceRef blk000000ec))
+ (portRef B_2_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 15) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004cd
+ (joined
+ (portRef B_1_ (instanceRef blk000000ec))
+ (portRef B_1_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 16) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004ce
+ (joined
+ (portRef B_0_ (instanceRef blk000000ec))
+ (portRef B_0_ (instanceRef blk000000f0))
+ (portRef (member DB_OUT 17) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000004cf
+ (joined
+ (portRef D_24_ (instanceRef blk000000ec))
+ (portRef D_23_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 0) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d0
+ (joined
+ (portRef D_22_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 1) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d1
+ (joined
+ (portRef D_21_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 2) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d2
+ (joined
+ (portRef D_20_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 3) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d3
+ (joined
+ (portRef D_19_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 4) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d4
+ (joined
+ (portRef D_18_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 5) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d5
+ (joined
+ (portRef D_17_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 6) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d6
+ (joined
+ (portRef D_16_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 7) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d7
+ (joined
+ (portRef D_15_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 8) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d8
+ (joined
+ (portRef D_14_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 9) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004d9
+ (joined
+ (portRef D_13_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 10) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004da
+ (joined
+ (portRef D_12_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 11) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004db
+ (joined
+ (portRef D_11_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 12) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004dc
+ (joined
+ (portRef D_10_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 13) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004dd
+ (joined
+ (portRef D_9_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 14) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004de
+ (joined
+ (portRef D_8_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 15) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004df
+ (joined
+ (portRef D_7_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 16) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e0
+ (joined
+ (portRef D_6_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 17) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e1
+ (joined
+ (portRef D_5_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 18) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e2
+ (joined
+ (portRef D_4_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 19) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e3
+ (joined
+ (portRef D_3_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 20) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e4
+ (joined
+ (portRef D_2_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 21) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e5
+ (joined
+ (portRef D_1_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 22) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e6
+ (joined
+ (portRef D_0_ (instanceRef blk000000ec))
+ (portRef (member DATA_IN 23) (instanceRef blk000002b8))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000384))
+ )
+ )
+ (net sig000004e7
+ (joined
+ (portRef A_29_ (instanceRef blk000000ec))
+ (portRef A_28_ (instanceRef blk000000ec))
+ (portRef A_27_ (instanceRef blk000000ec))
+ (portRef A_26_ (instanceRef blk000000ec))
+ (portRef A_25_ (instanceRef blk000000ec))
+ (portRef A_24_ (instanceRef blk000000ec))
+ (portRef A_23_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 0) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004e8
+ (joined
+ (portRef A_22_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 1) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004e9
+ (joined
+ (portRef A_21_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 2) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004ea
+ (joined
+ (portRef A_20_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 3) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004eb
+ (joined
+ (portRef A_19_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 4) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004ec
+ (joined
+ (portRef A_18_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 5) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004ed
+ (joined
+ (portRef A_17_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 6) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004ee
+ (joined
+ (portRef A_16_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 7) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004ef
+ (joined
+ (portRef A_15_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 8) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f0
+ (joined
+ (portRef A_14_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 9) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f1
+ (joined
+ (portRef A_13_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 10) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f2
+ (joined
+ (portRef A_12_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 11) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f3
+ (joined
+ (portRef A_11_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 12) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f4
+ (joined
+ (portRef A_10_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 13) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f5
+ (joined
+ (portRef A_9_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 14) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f6
+ (joined
+ (portRef A_8_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 15) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f7
+ (joined
+ (portRef A_7_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 16) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f8
+ (joined
+ (portRef A_6_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 17) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004f9
+ (joined
+ (portRef A_5_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 18) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004fa
+ (joined
+ (portRef A_4_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 19) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004fb
+ (joined
+ (portRef A_3_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 20) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004fc
+ (joined
+ (portRef A_2_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 21) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004fd
+ (joined
+ (portRef A_1_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 22) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004fe
+ (joined
+ (portRef A_0_ (instanceRef blk000000ec))
+ (portRef (member DATA_OUT 23) (instanceRef blk000003b7))
+ )
+ )
+ (net sig000004ff
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000ec))
+ (portRef PCIN_47_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000500
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000ec))
+ (portRef PCIN_46_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000501
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000ec))
+ (portRef PCIN_45_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000502
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000ec))
+ (portRef PCIN_44_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000503
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000ec))
+ (portRef PCIN_43_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000504
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000ec))
+ (portRef PCIN_42_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000505
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000ec))
+ (portRef PCIN_41_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000506
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000ec))
+ (portRef PCIN_40_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000507
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000ec))
+ (portRef PCIN_39_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000508
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000ec))
+ (portRef PCIN_38_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000509
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000ec))
+ (portRef PCIN_37_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000050a
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000ec))
+ (portRef PCIN_36_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000050b
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000ec))
+ (portRef PCIN_35_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000050c
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000ec))
+ (portRef PCIN_34_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000050d
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000ec))
+ (portRef PCIN_33_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000050e
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000ec))
+ (portRef PCIN_32_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000050f
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000ec))
+ (portRef PCIN_31_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000510
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000ec))
+ (portRef PCIN_30_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000511
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000ec))
+ (portRef PCIN_29_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000512
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000ec))
+ (portRef PCIN_28_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000513
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000ec))
+ (portRef PCIN_27_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000514
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000ec))
+ (portRef PCIN_26_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000515
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000ec))
+ (portRef PCIN_25_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000516
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000ec))
+ (portRef PCIN_24_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000517
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000ec))
+ (portRef PCIN_23_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000518
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000ec))
+ (portRef PCIN_22_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000519
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000ec))
+ (portRef PCIN_21_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000051a
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000ec))
+ (portRef PCIN_20_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000051b
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000ec))
+ (portRef PCIN_19_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000051c
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000ec))
+ (portRef PCIN_18_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000051d
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000ec))
+ (portRef PCIN_17_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000051e
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000ec))
+ (portRef PCIN_16_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000051f
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000ec))
+ (portRef PCIN_15_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000520
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000ec))
+ (portRef PCIN_14_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000521
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000ec))
+ (portRef PCIN_13_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000522
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000ec))
+ (portRef PCIN_12_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000523
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000ec))
+ (portRef PCIN_11_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000524
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000ec))
+ (portRef PCIN_10_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000525
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000ec))
+ (portRef PCIN_9_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000526
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000ec))
+ (portRef PCIN_8_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000527
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000ec))
+ (portRef PCIN_7_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000528
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000ec))
+ (portRef PCIN_6_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig00000529
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000ec))
+ (portRef PCIN_5_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000052a
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000ec))
+ (portRef PCIN_4_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000052b
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000ec))
+ (portRef PCIN_3_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000052c
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000ec))
+ (portRef PCIN_2_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000052d
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000ec))
+ (portRef PCIN_1_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000052e
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000ec))
+ (portRef PCIN_0_ (instanceRef blk000000ed))
+ )
+ )
+ (net sig0000052f
+ (joined
+ (portRef B_17_ (instanceRef blk000000ed))
+ (portRef B_17_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 0) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000530
+ (joined
+ (portRef B_16_ (instanceRef blk000000ed))
+ (portRef B_16_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 1) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000531
+ (joined
+ (portRef B_15_ (instanceRef blk000000ed))
+ (portRef B_15_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 2) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000532
+ (joined
+ (portRef B_14_ (instanceRef blk000000ed))
+ (portRef B_14_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 3) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000533
+ (joined
+ (portRef B_13_ (instanceRef blk000000ed))
+ (portRef B_13_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 4) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000534
+ (joined
+ (portRef B_12_ (instanceRef blk000000ed))
+ (portRef B_12_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 5) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000535
+ (joined
+ (portRef B_11_ (instanceRef blk000000ed))
+ (portRef B_11_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 6) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000536
+ (joined
+ (portRef B_10_ (instanceRef blk000000ed))
+ (portRef B_10_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 7) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000537
+ (joined
+ (portRef B_9_ (instanceRef blk000000ed))
+ (portRef B_9_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 8) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000538
+ (joined
+ (portRef B_8_ (instanceRef blk000000ed))
+ (portRef B_8_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 9) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000539
+ (joined
+ (portRef B_7_ (instanceRef blk000000ed))
+ (portRef B_7_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 10) (instanceRef blk00000693))
+ )
+ )
+ (net sig0000053a
+ (joined
+ (portRef B_6_ (instanceRef blk000000ed))
+ (portRef B_6_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 11) (instanceRef blk00000693))
+ )
+ )
+ (net sig0000053b
+ (joined
+ (portRef B_5_ (instanceRef blk000000ed))
+ (portRef B_5_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 12) (instanceRef blk00000693))
+ )
+ )
+ (net sig0000053c
+ (joined
+ (portRef B_4_ (instanceRef blk000000ed))
+ (portRef B_4_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 13) (instanceRef blk00000693))
+ )
+ )
+ (net sig0000053d
+ (joined
+ (portRef B_3_ (instanceRef blk000000ed))
+ (portRef B_3_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 14) (instanceRef blk00000693))
+ )
+ )
+ (net sig0000053e
+ (joined
+ (portRef B_2_ (instanceRef blk000000ed))
+ (portRef B_2_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 15) (instanceRef blk00000693))
+ )
+ )
+ (net sig0000053f
+ (joined
+ (portRef B_1_ (instanceRef blk000000ed))
+ (portRef B_1_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 16) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000540
+ (joined
+ (portRef B_0_ (instanceRef blk000000ed))
+ (portRef B_0_ (instanceRef blk000000f1))
+ (portRef (member DB_OUT 17) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000541
+ (joined
+ (portRef D_24_ (instanceRef blk000000ed))
+ (portRef D_23_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 0) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 0) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000542
+ (joined
+ (portRef D_22_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 1) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 1) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000543
+ (joined
+ (portRef D_21_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 2) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 2) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000544
+ (joined
+ (portRef D_20_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 3) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 3) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000545
+ (joined
+ (portRef D_19_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 4) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 4) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000546
+ (joined
+ (portRef D_18_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 5) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 5) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000547
+ (joined
+ (portRef D_17_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 6) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 6) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000548
+ (joined
+ (portRef D_16_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 7) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 7) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000549
+ (joined
+ (portRef D_15_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 8) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 8) (instanceRef blk000002b8))
+ )
+ )
+ (net sig0000054a
+ (joined
+ (portRef D_14_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 9) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 9) (instanceRef blk000002b8))
+ )
+ )
+ (net sig0000054b
+ (joined
+ (portRef D_13_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 10) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 10) (instanceRef blk000002b8))
+ )
+ )
+ (net sig0000054c
+ (joined
+ (portRef D_12_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 11) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 11) (instanceRef blk000002b8))
+ )
+ )
+ (net sig0000054d
+ (joined
+ (portRef D_11_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 12) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 12) (instanceRef blk000002b8))
+ )
+ )
+ (net sig0000054e
+ (joined
+ (portRef D_10_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 13) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 13) (instanceRef blk000002b8))
+ )
+ )
+ (net sig0000054f
+ (joined
+ (portRef D_9_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 14) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 14) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000550
+ (joined
+ (portRef D_8_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 15) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 15) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000551
+ (joined
+ (portRef D_7_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 16) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 16) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000552
+ (joined
+ (portRef D_6_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 17) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 17) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000553
+ (joined
+ (portRef D_5_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 18) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 18) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000554
+ (joined
+ (portRef D_4_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 19) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 19) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000555
+ (joined
+ (portRef D_3_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 20) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 20) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000556
+ (joined
+ (portRef D_2_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 21) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 21) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000557
+ (joined
+ (portRef D_1_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 22) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 22) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000558
+ (joined
+ (portRef D_0_ (instanceRef blk000000ed))
+ (portRef (member DATA_IN 23) (instanceRef blk000001ec))
+ (portRef (member DATA_OUT 23) (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000559
+ (joined
+ (portRef A_29_ (instanceRef blk000000ed))
+ (portRef A_28_ (instanceRef blk000000ed))
+ (portRef A_27_ (instanceRef blk000000ed))
+ (portRef A_26_ (instanceRef blk000000ed))
+ (portRef A_25_ (instanceRef blk000000ed))
+ (portRef A_24_ (instanceRef blk000000ed))
+ (portRef A_23_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 0) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000055a
+ (joined
+ (portRef A_22_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 1) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000055b
+ (joined
+ (portRef A_21_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 2) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000055c
+ (joined
+ (portRef A_20_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 3) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000055d
+ (joined
+ (portRef A_19_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 4) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000055e
+ (joined
+ (portRef A_18_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 5) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000055f
+ (joined
+ (portRef A_17_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 6) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000560
+ (joined
+ (portRef A_16_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 7) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000561
+ (joined
+ (portRef A_15_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 8) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000562
+ (joined
+ (portRef A_14_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 9) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000563
+ (joined
+ (portRef A_13_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 10) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000564
+ (joined
+ (portRef A_12_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 11) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000565
+ (joined
+ (portRef A_11_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 12) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000566
+ (joined
+ (portRef A_10_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 13) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000567
+ (joined
+ (portRef A_9_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 14) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000568
+ (joined
+ (portRef A_8_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 15) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000569
+ (joined
+ (portRef A_7_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 16) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000056a
+ (joined
+ (portRef A_6_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 17) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000056b
+ (joined
+ (portRef A_5_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 18) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000056c
+ (joined
+ (portRef A_4_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 19) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000056d
+ (joined
+ (portRef A_3_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 20) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000056e
+ (joined
+ (portRef A_2_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 21) (instanceRef blk000002eb))
+ )
+ )
+ (net sig0000056f
+ (joined
+ (portRef A_1_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 22) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000570
+ (joined
+ (portRef A_0_ (instanceRef blk000000ed))
+ (portRef (member DATA_OUT 23) (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000571
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000ed))
+ (portRef PCIN_47_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000572
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000ed))
+ (portRef PCIN_46_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000573
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000ed))
+ (portRef PCIN_45_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000574
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000ed))
+ (portRef PCIN_44_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000575
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000ed))
+ (portRef PCIN_43_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000576
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000ed))
+ (portRef PCIN_42_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000577
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000ed))
+ (portRef PCIN_41_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000578
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000ed))
+ (portRef PCIN_40_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000579
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000ed))
+ (portRef PCIN_39_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000057a
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000ed))
+ (portRef PCIN_38_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000057b
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000ed))
+ (portRef PCIN_37_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000057c
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000ed))
+ (portRef PCIN_36_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000057d
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000ed))
+ (portRef PCIN_35_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000057e
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000ed))
+ (portRef PCIN_34_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000057f
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000ed))
+ (portRef PCIN_33_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000580
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000ed))
+ (portRef PCIN_32_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000581
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000ed))
+ (portRef PCIN_31_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000582
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000ed))
+ (portRef PCIN_30_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000583
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000ed))
+ (portRef PCIN_29_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000584
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000ed))
+ (portRef PCIN_28_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000585
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000ed))
+ (portRef PCIN_27_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000586
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000ed))
+ (portRef PCIN_26_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000587
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000ed))
+ (portRef PCIN_25_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000588
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000ed))
+ (portRef PCIN_24_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000589
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000ed))
+ (portRef PCIN_23_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000058a
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000ed))
+ (portRef PCIN_22_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000058b
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000ed))
+ (portRef PCIN_21_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000058c
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000ed))
+ (portRef PCIN_20_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000058d
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000ed))
+ (portRef PCIN_19_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000058e
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000ed))
+ (portRef PCIN_18_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000058f
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000ed))
+ (portRef PCIN_17_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000590
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000ed))
+ (portRef PCIN_16_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000591
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000ed))
+ (portRef PCIN_15_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000592
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000ed))
+ (portRef PCIN_14_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000593
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000ed))
+ (portRef PCIN_13_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000594
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000ed))
+ (portRef PCIN_12_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000595
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000ed))
+ (portRef PCIN_11_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000596
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000ed))
+ (portRef PCIN_10_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000597
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000ed))
+ (portRef PCIN_9_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000598
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000ed))
+ (portRef PCIN_8_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig00000599
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000ed))
+ (portRef PCIN_7_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000059a
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000ed))
+ (portRef PCIN_6_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000059b
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000ed))
+ (portRef PCIN_5_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000059c
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000ed))
+ (portRef PCIN_4_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000059d
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000ed))
+ (portRef PCIN_3_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000059e
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000ed))
+ (portRef PCIN_2_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig0000059f
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000ed))
+ (portRef PCIN_1_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000005a0
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000ed))
+ (portRef PCIN_0_ (instanceRef blk000000ee))
+ )
+ )
+ (net sig000005a1
+ (joined
+ (portRef B_17_ (instanceRef blk000000ee))
+ (portRef B_17_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 0) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a2
+ (joined
+ (portRef B_16_ (instanceRef blk000000ee))
+ (portRef B_16_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 1) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a3
+ (joined
+ (portRef B_15_ (instanceRef blk000000ee))
+ (portRef B_15_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 2) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a4
+ (joined
+ (portRef B_14_ (instanceRef blk000000ee))
+ (portRef B_14_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 3) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a5
+ (joined
+ (portRef B_13_ (instanceRef blk000000ee))
+ (portRef B_13_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 4) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a6
+ (joined
+ (portRef B_12_ (instanceRef blk000000ee))
+ (portRef B_12_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 5) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a7
+ (joined
+ (portRef B_11_ (instanceRef blk000000ee))
+ (portRef B_11_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 6) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a8
+ (joined
+ (portRef B_10_ (instanceRef blk000000ee))
+ (portRef B_10_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 7) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005a9
+ (joined
+ (portRef B_9_ (instanceRef blk000000ee))
+ (portRef B_9_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 8) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005aa
+ (joined
+ (portRef B_8_ (instanceRef blk000000ee))
+ (portRef B_8_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 9) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005ab
+ (joined
+ (portRef B_7_ (instanceRef blk000000ee))
+ (portRef B_7_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 10) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005ac
+ (joined
+ (portRef B_6_ (instanceRef blk000000ee))
+ (portRef B_6_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 11) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005ad
+ (joined
+ (portRef B_5_ (instanceRef blk000000ee))
+ (portRef B_5_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 12) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005ae
+ (joined
+ (portRef B_4_ (instanceRef blk000000ee))
+ (portRef B_4_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 13) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005af
+ (joined
+ (portRef B_3_ (instanceRef blk000000ee))
+ (portRef B_3_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 14) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005b0
+ (joined
+ (portRef B_2_ (instanceRef blk000000ee))
+ (portRef B_2_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 15) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005b1
+ (joined
+ (portRef B_1_ (instanceRef blk000000ee))
+ (portRef B_1_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 16) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005b2
+ (joined
+ (portRef B_0_ (instanceRef blk000000ee))
+ (portRef B_0_ (instanceRef blk000000f2))
+ (portRef (member DB_OUT 17) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000005b3
+ (joined
+ (portRef D_24_ (instanceRef blk000000ee))
+ (portRef D_23_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 0) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 0) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005b4
+ (joined
+ (portRef D_22_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 1) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 1) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005b5
+ (joined
+ (portRef D_21_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 2) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 2) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005b6
+ (joined
+ (portRef D_20_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 3) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 3) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005b7
+ (joined
+ (portRef D_19_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 4) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 4) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005b8
+ (joined
+ (portRef D_18_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 5) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 5) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005b9
+ (joined
+ (portRef D_17_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 6) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 6) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005ba
+ (joined
+ (portRef D_16_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 7) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 7) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005bb
+ (joined
+ (portRef D_15_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 8) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 8) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005bc
+ (joined
+ (portRef D_14_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 9) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 9) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005bd
+ (joined
+ (portRef D_13_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 10) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 10) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005be
+ (joined
+ (portRef D_12_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 11) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 11) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005bf
+ (joined
+ (portRef D_11_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 12) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 12) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c0
+ (joined
+ (portRef D_10_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 13) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 13) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c1
+ (joined
+ (portRef D_9_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 14) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 14) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c2
+ (joined
+ (portRef D_8_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 15) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 15) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c3
+ (joined
+ (portRef D_7_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 16) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 16) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c4
+ (joined
+ (portRef D_6_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 17) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 17) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c5
+ (joined
+ (portRef D_5_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 18) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 18) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c6
+ (joined
+ (portRef D_4_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 19) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 19) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c7
+ (joined
+ (portRef D_3_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 20) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 20) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c8
+ (joined
+ (portRef D_2_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 21) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 21) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005c9
+ (joined
+ (portRef D_1_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 22) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 22) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005ca
+ (joined
+ (portRef D_0_ (instanceRef blk000000ee))
+ (portRef (member DATA_IN 23) (instanceRef blk00000120))
+ (portRef (member DATA_OUT 23) (instanceRef blk000001ec))
+ )
+ )
+ (net sig000005cb
+ (joined
+ (portRef A_29_ (instanceRef blk000000ee))
+ (portRef A_28_ (instanceRef blk000000ee))
+ (portRef A_27_ (instanceRef blk000000ee))
+ (portRef A_26_ (instanceRef blk000000ee))
+ (portRef A_25_ (instanceRef blk000000ee))
+ (portRef A_24_ (instanceRef blk000000ee))
+ (portRef A_23_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005cc
+ (joined
+ (portRef A_22_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005cd
+ (joined
+ (portRef A_21_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005ce
+ (joined
+ (portRef A_20_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005cf
+ (joined
+ (portRef A_19_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d0
+ (joined
+ (portRef A_18_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d1
+ (joined
+ (portRef A_17_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d2
+ (joined
+ (portRef A_16_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d3
+ (joined
+ (portRef A_15_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d4
+ (joined
+ (portRef A_14_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d5
+ (joined
+ (portRef A_13_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d6
+ (joined
+ (portRef A_12_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d7
+ (joined
+ (portRef A_11_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d8
+ (joined
+ (portRef A_10_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005d9
+ (joined
+ (portRef A_9_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005da
+ (joined
+ (portRef A_8_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005db
+ (joined
+ (portRef A_7_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005dc
+ (joined
+ (portRef A_6_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005dd
+ (joined
+ (portRef A_5_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005de
+ (joined
+ (portRef A_4_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005df
+ (joined
+ (portRef A_3_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005e0
+ (joined
+ (portRef A_2_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005e1
+ (joined
+ (portRef A_1_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005e2
+ (joined
+ (portRef A_0_ (instanceRef blk000000ee))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000021f))
+ )
+ )
+ (net sig000005e3
+ (joined
+ (portRef D_24_ (instanceRef blk000000ef))
+ (portRef D_23_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 0) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 0) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005e4
+ (joined
+ (portRef D_22_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 1) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 1) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005e5
+ (joined
+ (portRef D_21_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 2) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 2) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005e6
+ (joined
+ (portRef D_20_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 3) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 3) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005e7
+ (joined
+ (portRef D_19_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 4) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 4) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005e8
+ (joined
+ (portRef D_18_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 5) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 5) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005e9
+ (joined
+ (portRef D_17_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 6) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 6) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005ea
+ (joined
+ (portRef D_16_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 7) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 7) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005eb
+ (joined
+ (portRef D_15_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 8) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 8) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005ec
+ (joined
+ (portRef D_14_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 9) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 9) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005ed
+ (joined
+ (portRef D_13_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 10) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 10) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005ee
+ (joined
+ (portRef D_12_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 11) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 11) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005ef
+ (joined
+ (portRef D_11_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 12) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 12) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f0
+ (joined
+ (portRef D_10_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 13) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 13) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f1
+ (joined
+ (portRef D_9_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 14) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 14) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f2
+ (joined
+ (portRef D_8_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 15) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 15) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f3
+ (joined
+ (portRef D_7_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 16) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 16) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f4
+ (joined
+ (portRef D_6_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 17) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 17) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f5
+ (joined
+ (portRef D_5_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 18) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 18) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f6
+ (joined
+ (portRef D_4_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 19) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 19) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f7
+ (joined
+ (portRef D_3_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 20) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 20) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f8
+ (joined
+ (portRef D_2_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 21) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 21) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005f9
+ (joined
+ (portRef D_1_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 22) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 22) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005fa
+ (joined
+ (portRef D_0_ (instanceRef blk000000ef))
+ (portRef (member DATA_IN 23) (instanceRef blk000003ea))
+ (portRef (member DATA_OUT 23) (instanceRef blk000004b6))
+ )
+ )
+ (net sig000005fb
+ (joined
+ (portRef A_29_ (instanceRef blk000000ef))
+ (portRef A_28_ (instanceRef blk000000ef))
+ (portRef A_27_ (instanceRef blk000000ef))
+ (portRef A_26_ (instanceRef blk000000ef))
+ (portRef A_25_ (instanceRef blk000000ef))
+ (portRef A_24_ (instanceRef blk000000ef))
+ (portRef A_23_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 0) (instanceRef blk000004e9))
+ )
+ )
+ (net sig000005fc
+ (joined
+ (portRef A_22_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 1) (instanceRef blk000004e9))
+ )
+ )
+ (net sig000005fd
+ (joined
+ (portRef A_21_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 2) (instanceRef blk000004e9))
+ )
+ )
+ (net sig000005fe
+ (joined
+ (portRef A_20_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 3) (instanceRef blk000004e9))
+ )
+ )
+ (net sig000005ff
+ (joined
+ (portRef A_19_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 4) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000600
+ (joined
+ (portRef A_18_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 5) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000601
+ (joined
+ (portRef A_17_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 6) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000602
+ (joined
+ (portRef A_16_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 7) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000603
+ (joined
+ (portRef A_15_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 8) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000604
+ (joined
+ (portRef A_14_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 9) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000605
+ (joined
+ (portRef A_13_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 10) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000606
+ (joined
+ (portRef A_12_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 11) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000607
+ (joined
+ (portRef A_11_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 12) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000608
+ (joined
+ (portRef A_10_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 13) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000609
+ (joined
+ (portRef A_9_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 14) (instanceRef blk000004e9))
+ )
+ )
+ (net sig0000060a
+ (joined
+ (portRef A_8_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 15) (instanceRef blk000004e9))
+ )
+ )
+ (net sig0000060b
+ (joined
+ (portRef A_7_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 16) (instanceRef blk000004e9))
+ )
+ )
+ (net sig0000060c
+ (joined
+ (portRef A_6_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 17) (instanceRef blk000004e9))
+ )
+ )
+ (net sig0000060d
+ (joined
+ (portRef A_5_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 18) (instanceRef blk000004e9))
+ )
+ )
+ (net sig0000060e
+ (joined
+ (portRef A_4_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 19) (instanceRef blk000004e9))
+ )
+ )
+ (net sig0000060f
+ (joined
+ (portRef A_3_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 20) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000610
+ (joined
+ (portRef A_2_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 21) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000611
+ (joined
+ (portRef A_1_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 22) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000612
+ (joined
+ (portRef A_0_ (instanceRef blk000000ef))
+ (portRef (member DATA_OUT 23) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000613
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000ef))
+ (portRef PCIN_47_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000614
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000ef))
+ (portRef PCIN_46_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000615
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000ef))
+ (portRef PCIN_45_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000616
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000ef))
+ (portRef PCIN_44_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000617
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000ef))
+ (portRef PCIN_43_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000618
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000ef))
+ (portRef PCIN_42_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000619
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000ef))
+ (portRef PCIN_41_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000061a
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000ef))
+ (portRef PCIN_40_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000061b
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000ef))
+ (portRef PCIN_39_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000061c
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000ef))
+ (portRef PCIN_38_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000061d
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000ef))
+ (portRef PCIN_37_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000061e
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000ef))
+ (portRef PCIN_36_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000061f
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000ef))
+ (portRef PCIN_35_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000620
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000ef))
+ (portRef PCIN_34_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000621
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000ef))
+ (portRef PCIN_33_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000622
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000ef))
+ (portRef PCIN_32_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000623
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000ef))
+ (portRef PCIN_31_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000624
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000ef))
+ (portRef PCIN_30_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000625
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000ef))
+ (portRef PCIN_29_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000626
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000ef))
+ (portRef PCIN_28_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000627
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000ef))
+ (portRef PCIN_27_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000628
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000ef))
+ (portRef PCIN_26_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000629
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000ef))
+ (portRef PCIN_25_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000062a
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000ef))
+ (portRef PCIN_24_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000062b
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000ef))
+ (portRef PCIN_23_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000062c
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000ef))
+ (portRef PCIN_22_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000062d
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000ef))
+ (portRef PCIN_21_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000062e
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000ef))
+ (portRef PCIN_20_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000062f
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000ef))
+ (portRef PCIN_19_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000630
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000ef))
+ (portRef PCIN_18_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000631
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000ef))
+ (portRef PCIN_17_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000632
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000ef))
+ (portRef PCIN_16_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000633
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000ef))
+ (portRef PCIN_15_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000634
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000ef))
+ (portRef PCIN_14_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000635
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000ef))
+ (portRef PCIN_13_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000636
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000ef))
+ (portRef PCIN_12_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000637
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000ef))
+ (portRef PCIN_11_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000638
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000ef))
+ (portRef PCIN_10_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000639
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000ef))
+ (portRef PCIN_9_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000063a
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000ef))
+ (portRef PCIN_8_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000063b
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000ef))
+ (portRef PCIN_7_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000063c
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000ef))
+ (portRef PCIN_6_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000063d
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000ef))
+ (portRef PCIN_5_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000063e
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000ef))
+ (portRef PCIN_4_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig0000063f
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000ef))
+ (portRef PCIN_3_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000640
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000ef))
+ (portRef PCIN_2_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000641
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000ef))
+ (portRef PCIN_1_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000642
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000ef))
+ (portRef PCIN_0_ (instanceRef blk000000f0))
+ )
+ )
+ (net sig00000643
+ (joined
+ (portRef D_24_ (instanceRef blk000000f0))
+ (portRef D_23_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 0) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 0) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000644
+ (joined
+ (portRef D_22_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 1) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 1) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000645
+ (joined
+ (portRef D_21_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 2) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 2) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000646
+ (joined
+ (portRef D_20_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 3) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 3) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000647
+ (joined
+ (portRef D_19_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 4) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 4) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000648
+ (joined
+ (portRef D_18_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 5) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 5) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000649
+ (joined
+ (portRef D_17_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 6) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 6) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000064a
+ (joined
+ (portRef D_16_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 7) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 7) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000064b
+ (joined
+ (portRef D_15_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 8) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 8) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000064c
+ (joined
+ (portRef D_14_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 9) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 9) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000064d
+ (joined
+ (portRef D_13_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 10) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 10) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000064e
+ (joined
+ (portRef D_12_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 11) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 11) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000064f
+ (joined
+ (portRef D_11_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 12) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 12) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000650
+ (joined
+ (portRef D_10_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 13) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 13) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000651
+ (joined
+ (portRef D_9_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 14) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 14) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000652
+ (joined
+ (portRef D_8_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 15) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 15) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000653
+ (joined
+ (portRef D_7_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 16) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 16) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000654
+ (joined
+ (portRef D_6_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 17) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 17) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000655
+ (joined
+ (portRef D_5_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 18) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 18) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000656
+ (joined
+ (portRef D_4_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 19) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 19) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000657
+ (joined
+ (portRef D_3_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 20) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 20) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000658
+ (joined
+ (portRef D_2_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 21) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 21) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000659
+ (joined
+ (portRef D_1_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 22) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 22) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000065a
+ (joined
+ (portRef D_0_ (instanceRef blk000000f0))
+ (portRef (member DATA_IN 23) (instanceRef blk0000031e))
+ (portRef (member DATA_OUT 23) (instanceRef blk000003ea))
+ )
+ )
+ (net sig0000065b
+ (joined
+ (portRef A_29_ (instanceRef blk000000f0))
+ (portRef A_28_ (instanceRef blk000000f0))
+ (portRef A_27_ (instanceRef blk000000f0))
+ (portRef A_26_ (instanceRef blk000000f0))
+ (portRef A_25_ (instanceRef blk000000f0))
+ (portRef A_24_ (instanceRef blk000000f0))
+ (portRef A_23_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000065c
+ (joined
+ (portRef A_22_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000065d
+ (joined
+ (portRef A_21_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000065e
+ (joined
+ (portRef A_20_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000065f
+ (joined
+ (portRef A_19_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000660
+ (joined
+ (portRef A_18_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000661
+ (joined
+ (portRef A_17_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000662
+ (joined
+ (portRef A_16_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000663
+ (joined
+ (portRef A_15_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000664
+ (joined
+ (portRef A_14_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000665
+ (joined
+ (portRef A_13_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000666
+ (joined
+ (portRef A_12_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000667
+ (joined
+ (portRef A_11_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000668
+ (joined
+ (portRef A_10_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000669
+ (joined
+ (portRef A_9_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000066a
+ (joined
+ (portRef A_8_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000066b
+ (joined
+ (portRef A_7_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000066c
+ (joined
+ (portRef A_6_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000066d
+ (joined
+ (portRef A_5_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000066e
+ (joined
+ (portRef A_4_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000066f
+ (joined
+ (portRef A_3_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000670
+ (joined
+ (portRef A_2_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000671
+ (joined
+ (portRef A_1_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000672
+ (joined
+ (portRef A_0_ (instanceRef blk000000f0))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000673
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000f0))
+ (portRef PCIN_47_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000674
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000f0))
+ (portRef PCIN_46_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000675
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000f0))
+ (portRef PCIN_45_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000676
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000f0))
+ (portRef PCIN_44_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000677
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000f0))
+ (portRef PCIN_43_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000678
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000f0))
+ (portRef PCIN_42_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000679
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000f0))
+ (portRef PCIN_41_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000067a
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000f0))
+ (portRef PCIN_40_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000067b
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000f0))
+ (portRef PCIN_39_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000067c
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000f0))
+ (portRef PCIN_38_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000067d
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000f0))
+ (portRef PCIN_37_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000067e
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000f0))
+ (portRef PCIN_36_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000067f
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000f0))
+ (portRef PCIN_35_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000680
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000f0))
+ (portRef PCIN_34_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000681
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000f0))
+ (portRef PCIN_33_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000682
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000f0))
+ (portRef PCIN_32_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000683
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000f0))
+ (portRef PCIN_31_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000684
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000f0))
+ (portRef PCIN_30_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000685
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000f0))
+ (portRef PCIN_29_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000686
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000f0))
+ (portRef PCIN_28_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000687
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000f0))
+ (portRef PCIN_27_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000688
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000f0))
+ (portRef PCIN_26_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000689
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000f0))
+ (portRef PCIN_25_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000068a
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000f0))
+ (portRef PCIN_24_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000068b
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000f0))
+ (portRef PCIN_23_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000068c
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000f0))
+ (portRef PCIN_22_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000068d
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000f0))
+ (portRef PCIN_21_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000068e
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000f0))
+ (portRef PCIN_20_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000068f
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000f0))
+ (portRef PCIN_19_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000690
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000f0))
+ (portRef PCIN_18_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000691
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000f0))
+ (portRef PCIN_17_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000692
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000f0))
+ (portRef PCIN_16_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000693
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000f0))
+ (portRef PCIN_15_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000694
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000f0))
+ (portRef PCIN_14_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000695
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000f0))
+ (portRef PCIN_13_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000696
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000f0))
+ (portRef PCIN_12_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000697
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000f0))
+ (portRef PCIN_11_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000698
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000f0))
+ (portRef PCIN_10_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig00000699
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000f0))
+ (portRef PCIN_9_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000069a
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000f0))
+ (portRef PCIN_8_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000069b
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000f0))
+ (portRef PCIN_7_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000069c
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000f0))
+ (portRef PCIN_6_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000069d
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000f0))
+ (portRef PCIN_5_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000069e
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000f0))
+ (portRef PCIN_4_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig0000069f
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000f0))
+ (portRef PCIN_3_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig000006a0
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000f0))
+ (portRef PCIN_2_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig000006a1
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000f0))
+ (portRef PCIN_1_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig000006a2
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000f0))
+ (portRef PCIN_0_ (instanceRef blk000000f1))
+ )
+ )
+ (net sig000006a3
+ (joined
+ (portRef D_24_ (instanceRef blk000000f1))
+ (portRef D_23_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 0) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006a4
+ (joined
+ (portRef D_22_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 1) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006a5
+ (joined
+ (portRef D_21_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 2) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006a6
+ (joined
+ (portRef D_20_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 3) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006a7
+ (joined
+ (portRef D_19_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 4) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006a8
+ (joined
+ (portRef D_18_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 5) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006a9
+ (joined
+ (portRef D_17_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 6) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006aa
+ (joined
+ (portRef D_16_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 7) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006ab
+ (joined
+ (portRef D_15_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 8) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006ac
+ (joined
+ (portRef D_14_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 9) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006ad
+ (joined
+ (portRef D_13_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 10) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006ae
+ (joined
+ (portRef D_12_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 11) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006af
+ (joined
+ (portRef D_11_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 12) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b0
+ (joined
+ (portRef D_10_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 13) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b1
+ (joined
+ (portRef D_9_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 14) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b2
+ (joined
+ (portRef D_8_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 15) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b3
+ (joined
+ (portRef D_7_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 16) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b4
+ (joined
+ (portRef D_6_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 17) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b5
+ (joined
+ (portRef D_5_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 18) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b6
+ (joined
+ (portRef D_4_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 19) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b7
+ (joined
+ (portRef D_3_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 20) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b8
+ (joined
+ (portRef D_2_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 21) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006b9
+ (joined
+ (portRef D_1_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 22) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006ba
+ (joined
+ (portRef D_0_ (instanceRef blk000000f1))
+ (portRef (member DATA_IN 23) (instanceRef blk00000252))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000031e))
+ )
+ )
+ (net sig000006bb
+ (joined
+ (portRef A_29_ (instanceRef blk000000f1))
+ (portRef A_28_ (instanceRef blk000000f1))
+ (portRef A_27_ (instanceRef blk000000f1))
+ (portRef A_26_ (instanceRef blk000000f1))
+ (portRef A_25_ (instanceRef blk000000f1))
+ (portRef A_24_ (instanceRef blk000000f1))
+ (portRef A_23_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006bc
+ (joined
+ (portRef A_22_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006bd
+ (joined
+ (portRef A_21_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006be
+ (joined
+ (portRef A_20_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006bf
+ (joined
+ (portRef A_19_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c0
+ (joined
+ (portRef A_18_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c1
+ (joined
+ (portRef A_17_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c2
+ (joined
+ (portRef A_16_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c3
+ (joined
+ (portRef A_15_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c4
+ (joined
+ (portRef A_14_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c5
+ (joined
+ (portRef A_13_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c6
+ (joined
+ (portRef A_12_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c7
+ (joined
+ (portRef A_11_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c8
+ (joined
+ (portRef A_10_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006c9
+ (joined
+ (portRef A_9_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006ca
+ (joined
+ (portRef A_8_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006cb
+ (joined
+ (portRef A_7_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006cc
+ (joined
+ (portRef A_6_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006cd
+ (joined
+ (portRef A_5_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006ce
+ (joined
+ (portRef A_4_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006cf
+ (joined
+ (portRef A_3_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006d0
+ (joined
+ (portRef A_2_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006d1
+ (joined
+ (portRef A_1_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006d2
+ (joined
+ (portRef A_0_ (instanceRef blk000000f1))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000351))
+ )
+ )
+ (net sig000006d3
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000f1))
+ (portRef PCIN_47_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006d4
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000f1))
+ (portRef PCIN_46_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006d5
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000f1))
+ (portRef PCIN_45_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006d6
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000f1))
+ (portRef PCIN_44_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006d7
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000f1))
+ (portRef PCIN_43_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006d8
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000f1))
+ (portRef PCIN_42_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006d9
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000f1))
+ (portRef PCIN_41_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006da
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000f1))
+ (portRef PCIN_40_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006db
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000f1))
+ (portRef PCIN_39_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006dc
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000f1))
+ (portRef PCIN_38_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006dd
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000f1))
+ (portRef PCIN_37_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006de
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000f1))
+ (portRef PCIN_36_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006df
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000f1))
+ (portRef PCIN_35_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e0
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000f1))
+ (portRef PCIN_34_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e1
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000f1))
+ (portRef PCIN_33_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e2
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000f1))
+ (portRef PCIN_32_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e3
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000f1))
+ (portRef PCIN_31_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e4
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000f1))
+ (portRef PCIN_30_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e5
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000f1))
+ (portRef PCIN_29_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e6
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000f1))
+ (portRef PCIN_28_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e7
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000f1))
+ (portRef PCIN_27_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e8
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000f1))
+ (portRef PCIN_26_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006e9
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000f1))
+ (portRef PCIN_25_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006ea
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000f1))
+ (portRef PCIN_24_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006eb
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000f1))
+ (portRef PCIN_23_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006ec
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000f1))
+ (portRef PCIN_22_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006ed
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000f1))
+ (portRef PCIN_21_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006ee
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000f1))
+ (portRef PCIN_20_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006ef
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000f1))
+ (portRef PCIN_19_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f0
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000f1))
+ (portRef PCIN_18_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f1
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000f1))
+ (portRef PCIN_17_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f2
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000f1))
+ (portRef PCIN_16_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f3
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000f1))
+ (portRef PCIN_15_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f4
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000f1))
+ (portRef PCIN_14_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f5
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000f1))
+ (portRef PCIN_13_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f6
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000f1))
+ (portRef PCIN_12_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f7
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000f1))
+ (portRef PCIN_11_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f8
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000f1))
+ (portRef PCIN_10_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006f9
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000f1))
+ (portRef PCIN_9_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006fa
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000f1))
+ (portRef PCIN_8_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006fb
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000f1))
+ (portRef PCIN_7_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006fc
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000f1))
+ (portRef PCIN_6_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006fd
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000f1))
+ (portRef PCIN_5_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006fe
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000f1))
+ (portRef PCIN_4_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig000006ff
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000f1))
+ (portRef PCIN_3_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000700
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000f1))
+ (portRef PCIN_2_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000701
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000f1))
+ (portRef PCIN_1_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000702
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000f1))
+ (portRef PCIN_0_ (instanceRef blk000000f2))
+ )
+ )
+ (net sig00000703
+ (joined
+ (portRef D_24_ (instanceRef blk000000f2))
+ (portRef D_23_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 0) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000704
+ (joined
+ (portRef D_22_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 1) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000705
+ (joined
+ (portRef D_21_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 2) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000706
+ (joined
+ (portRef D_20_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 3) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000707
+ (joined
+ (portRef D_19_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 4) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000708
+ (joined
+ (portRef D_18_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 5) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000709
+ (joined
+ (portRef D_17_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 6) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000070a
+ (joined
+ (portRef D_16_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 7) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000070b
+ (joined
+ (portRef D_15_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 8) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000070c
+ (joined
+ (portRef D_14_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 9) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000070d
+ (joined
+ (portRef D_13_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 10) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000070e
+ (joined
+ (portRef D_12_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 11) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000070f
+ (joined
+ (portRef D_11_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 12) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000710
+ (joined
+ (portRef D_10_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 13) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000711
+ (joined
+ (portRef D_9_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 14) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000712
+ (joined
+ (portRef D_8_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 15) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000713
+ (joined
+ (portRef D_7_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 16) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000714
+ (joined
+ (portRef D_6_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 17) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000715
+ (joined
+ (portRef D_5_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 18) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000716
+ (joined
+ (portRef D_4_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 19) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000717
+ (joined
+ (portRef D_3_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 20) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000718
+ (joined
+ (portRef D_2_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 21) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000719
+ (joined
+ (portRef D_1_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 22) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000071a
+ (joined
+ (portRef D_0_ (instanceRef blk000000f2))
+ (portRef (member DATA_IN 23) (instanceRef blk00000186))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000252))
+ )
+ )
+ (net sig0000071b
+ (joined
+ (portRef A_29_ (instanceRef blk000000f2))
+ (portRef A_28_ (instanceRef blk000000f2))
+ (portRef A_27_ (instanceRef blk000000f2))
+ (portRef A_26_ (instanceRef blk000000f2))
+ (portRef A_25_ (instanceRef blk000000f2))
+ (portRef A_24_ (instanceRef blk000000f2))
+ (portRef A_23_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000071c
+ (joined
+ (portRef A_22_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000071d
+ (joined
+ (portRef A_21_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000071e
+ (joined
+ (portRef A_20_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000071f
+ (joined
+ (portRef A_19_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000720
+ (joined
+ (portRef A_18_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000721
+ (joined
+ (portRef A_17_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000722
+ (joined
+ (portRef A_16_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000723
+ (joined
+ (portRef A_15_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000724
+ (joined
+ (portRef A_14_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000725
+ (joined
+ (portRef A_13_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000726
+ (joined
+ (portRef A_12_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000727
+ (joined
+ (portRef A_11_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000728
+ (joined
+ (portRef A_10_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000729
+ (joined
+ (portRef A_9_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000072a
+ (joined
+ (portRef A_8_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000072b
+ (joined
+ (portRef A_7_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000072c
+ (joined
+ (portRef A_6_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000072d
+ (joined
+ (portRef A_5_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000072e
+ (joined
+ (portRef A_4_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000285))
+ )
+ )
+ (net sig0000072f
+ (joined
+ (portRef A_3_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000730
+ (joined
+ (portRef A_2_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000731
+ (joined
+ (portRef A_1_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000732
+ (joined
+ (portRef A_0_ (instanceRef blk000000f2))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000733
+ (joined
+ (portRef Q (instanceRef blk000000f3))
+ (portRef D (instanceRef blk000000f5))
+ (portRef (member ADDRA 1) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000734
+ (joined
+ (portRef Q (instanceRef blk000000f4))
+ (portRef D (instanceRef blk000000f6))
+ (portRef (member ADDRA 0) (instanceRef blk00000621))
+ )
+ )
+ (net sig00000735
+ (joined
+ (portRef Q (instanceRef blk000000f5))
+ (portRef D (instanceRef blk000000f7))
+ (portRef (member ADDRA 1) (instanceRef blk0000065a))
+ )
+ )
+ (net sig00000736
+ (joined
+ (portRef Q (instanceRef blk000000f6))
+ (portRef D (instanceRef blk000000f8))
+ (portRef (member ADDRA 0) (instanceRef blk0000065a))
+ )
+ )
+ (net sig00000737
+ (joined
+ (portRef Q (instanceRef blk000000f7))
+ (portRef D (instanceRef blk000000f9))
+ (portRef (member ADDRA 1) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000738
+ (joined
+ (portRef Q (instanceRef blk000000f8))
+ (portRef D (instanceRef blk000000fa))
+ (portRef (member ADDRA 0) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000739
+ (joined
+ (portRef Q (instanceRef blk000000f9))
+ (portRef D (instanceRef blk000000fb))
+ (portRef (member ADDRA 1) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000073a
+ (joined
+ (portRef Q (instanceRef blk000000fa))
+ (portRef D (instanceRef blk000000fc))
+ (portRef (member ADDRA 0) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000073b
+ (joined
+ (portRef Q (instanceRef blk000000fb))
+ (portRef (member ADDRA 1) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000073c
+ (joined
+ (portRef Q (instanceRef blk000000fc))
+ (portRef (member ADDRA 0) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000073d
+ (joined
+ (portRef Q (instanceRef blk000000fd))
+ (portRef D (instanceRef blk000000fe))
+ (portRef WEA (instanceRef blk00000621))
+ )
+ )
+ (net sig0000073e
+ (joined
+ (portRef Q (instanceRef blk000000fe))
+ (portRef D (instanceRef blk000000ff))
+ (portRef WEA (instanceRef blk0000065a))
+ )
+ )
+ (net sig0000073f
+ (joined
+ (portRef Q (instanceRef blk000000ff))
+ (portRef D (instanceRef blk00000100))
+ (portRef WEA (instanceRef blk00000693))
+ )
+ )
+ (net sig00000740
+ (joined
+ (portRef Q (instanceRef blk00000100))
+ (portRef D (instanceRef blk00000101))
+ (portRef WEA (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000741
+ (joined
+ (portRef Q (instanceRef blk00000101))
+ (portRef WEA (instanceRef blk00000705))
+ )
+ )
+ (net sig00000742
+ (joined
+ (portRef D (instanceRef blk00000102))
+ (portRef Q (instanceRef blk00000108))
+ (portRef WE (instanceRef blk000001ec))
+ (portRef WE (instanceRef blk00000252))
+ )
+ )
+ (net sig00000743
+ (joined
+ (portRef Q (instanceRef blk00000102))
+ (portRef WE (instanceRef blk00000120))
+ (portRef WE (instanceRef blk00000186))
+ )
+ )
+ (net sig00000744
+ (joined
+ (portRef D (instanceRef blk00000103))
+ (portRef Q (instanceRef blk00000109))
+ (portRef WE (instanceRef blk0000021f))
+ (portRef WE (instanceRef blk00000285))
+ (portRef I1 (instanceRef blk0000082a))
+ )
+ )
+ (net sig00000745
+ (joined
+ (portRef Q (instanceRef blk00000103))
+ (portRef WE (instanceRef blk00000153))
+ (portRef WE (instanceRef blk000001b9))
+ (portRef I1 (instanceRef blk00000829))
+ )
+ )
+ (net sig00000746
+ (joined
+ (portRef D (instanceRef blk00000104))
+ (portRef Q (instanceRef blk0000010a))
+ (portRef (member ADDR 0) (instanceRef blk0000021f))
+ (portRef (member ADDR 0) (instanceRef blk00000285))
+ )
+ )
+ (net sig00000747
+ (joined
+ (portRef Q (instanceRef blk00000104))
+ (portRef (member ADDR 0) (instanceRef blk00000153))
+ (portRef (member ADDR 0) (instanceRef blk000001b9))
+ )
+ )
+ (net sig00000748
+ (joined
+ (portRef D (instanceRef blk00000105))
+ (portRef Q (instanceRef blk0000010b))
+ (portRef (member ADDR 0) (instanceRef blk000001ec))
+ (portRef (member ADDR 0) (instanceRef blk00000252))
+ )
+ )
+ (net sig00000749
+ (joined
+ (portRef Q (instanceRef blk00000105))
+ (portRef (member ADDR 0) (instanceRef blk00000120))
+ (portRef (member ADDR 0) (instanceRef blk00000186))
+ )
+ )
+ (net sig0000074a
+ (joined
+ (portRef D (instanceRef blk00000106))
+ (portRef Q (instanceRef blk0000010c))
+ (portRef (member ADDRB 0) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000074b
+ (joined
+ (portRef Q (instanceRef blk00000106))
+ (portRef (member ADDRB 0) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000074c
+ (joined
+ (portRef D (instanceRef blk00000107))
+ (portRef Q (instanceRef blk0000010d))
+ (portRef (member ADDRB 1) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000074d
+ (joined
+ (portRef Q (instanceRef blk00000107))
+ (portRef (member ADDRB 1) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000074e
+ (joined
+ (portRef D (instanceRef blk00000108))
+ (portRef Q (instanceRef blk0000010f))
+ (portRef WE (instanceRef blk000002b8))
+ (portRef WE (instanceRef blk0000031e))
+ )
+ )
+ (net sig0000074f
+ (joined
+ (portRef D (instanceRef blk00000109))
+ (portRef Q (instanceRef blk0000010e))
+ (portRef WE (instanceRef blk000002eb))
+ (portRef WE (instanceRef blk00000351))
+ (portRef I1 (instanceRef blk0000082b))
+ )
+ )
+ (net sig00000750
+ (joined
+ (portRef D (instanceRef blk0000010a))
+ (portRef Q (instanceRef blk00000113))
+ (portRef (member ADDR 0) (instanceRef blk000002eb))
+ (portRef (member ADDR 0) (instanceRef blk00000351))
+ )
+ )
+ (net sig00000751
+ (joined
+ (portRef D (instanceRef blk0000010b))
+ (portRef Q (instanceRef blk00000112))
+ (portRef (member ADDR 0) (instanceRef blk000002b8))
+ (portRef (member ADDR 0) (instanceRef blk0000031e))
+ )
+ )
+ (net sig00000752
+ (joined
+ (portRef D (instanceRef blk0000010c))
+ (portRef Q (instanceRef blk00000110))
+ (portRef (member ADDRB 0) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000753
+ (joined
+ (portRef D (instanceRef blk0000010d))
+ (portRef Q (instanceRef blk00000111))
+ (portRef (member ADDRB 1) (instanceRef blk00000693))
+ )
+ )
+ (net sig00000754
+ (joined
+ (portRef D (instanceRef blk0000010e))
+ (portRef Q (instanceRef blk00000114))
+ (portRef WE (instanceRef blk000003b7))
+ (portRef WE (instanceRef blk0000041d))
+ (portRef I1 (instanceRef blk0000082c))
+ )
+ )
+ (net sig00000755
+ (joined
+ (portRef D (instanceRef blk0000010f))
+ (portRef Q (instanceRef blk00000117))
+ (portRef WE (instanceRef blk00000384))
+ (portRef WE (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000756
+ (joined
+ (portRef D (instanceRef blk00000110))
+ (portRef Q (instanceRef blk00000115))
+ (portRef (member ADDRB 0) (instanceRef blk0000065a))
+ )
+ )
+ (net sig00000757
+ (joined
+ (portRef D (instanceRef blk00000111))
+ (portRef Q (instanceRef blk00000116))
+ (portRef (member ADDRB 1) (instanceRef blk0000065a))
+ )
+ )
+ (net sig00000758
+ (joined
+ (portRef D (instanceRef blk00000112))
+ (portRef Q (instanceRef blk00000118))
+ (portRef (member ADDR 0) (instanceRef blk00000384))
+ (portRef (member ADDR 0) (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000759
+ (joined
+ (portRef D (instanceRef blk00000113))
+ (portRef Q (instanceRef blk00000119))
+ (portRef (member ADDR 0) (instanceRef blk000003b7))
+ (portRef (member ADDR 0) (instanceRef blk0000041d))
+ )
+ )
+ (net sig0000075a
+ (joined
+ (portRef D (instanceRef blk00000114))
+ (portRef Q (instanceRef blk0000011b))
+ (portRef WE (instanceRef blk00000483))
+ (portRef WE (instanceRef blk000004e9))
+ (portRef I1 (instanceRef blk0000082d))
+ )
+ )
+ (net sig0000075b
+ (joined
+ (portRef D (instanceRef blk00000115))
+ (portRef Q (instanceRef blk0000011d))
+ (portRef (member ADDRB 0) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000075c
+ (joined
+ (portRef D (instanceRef blk00000116))
+ (portRef Q (instanceRef blk0000011e))
+ (portRef (member ADDRB 1) (instanceRef blk00000621))
+ )
+ )
+ (net sig0000075d
+ (joined
+ (portRef D (instanceRef blk00000117))
+ (portRef Q (instanceRef blk0000011c))
+ (portRef WE (instanceRef blk00000450))
+ (portRef WE (instanceRef blk000004b6))
+ )
+ )
+ (net sig0000075e
+ (joined
+ (portRef D (instanceRef blk00000118))
+ (portRef Q (instanceRef blk0000011a))
+ (portRef (member ADDR 0) (instanceRef blk00000450))
+ (portRef (member ADDR 0) (instanceRef blk000004b6))
+ )
+ )
+ (net sig0000075f
+ (joined
+ (portRef D (instanceRef blk00000119))
+ (portRef Q (instanceRef blk0000011f))
+ (portRef (member ADDR 0) (instanceRef blk00000483))
+ (portRef (member ADDR 0) (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000760
+ (joined
+ (portRef D (instanceRef blk0000011b))
+ (portRef WE (instanceRef blk0000054f))
+ (portRef WE (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk000008df))
+ (portRef D (instanceRef blk00000af0))
+ )
+ )
+ (net sig00000761
+ (joined
+ (portRef D (instanceRef blk0000011c))
+ (portRef WE (instanceRef blk0000051c))
+ (portRef WE (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000a2f))
+ (portRef D (instanceRef blk00000b52))
+ )
+ )
+ (net sig00000762
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000744))
+ (portRef D (instanceRef blk0000099c))
+ )
+ )
+ (net sig00000763
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000745))
+ (portRef D (instanceRef blk000009a0))
+ )
+ )
+ (net sig00000764
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000746))
+ (portRef D (instanceRef blk000009a2))
+ )
+ )
+ (net sig00000765
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000747))
+ (portRef D (instanceRef blk0000099e))
+ )
+ )
+ (net sig00000766
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000748))
+ (portRef D (instanceRef blk000009a6))
+ )
+ )
+ (net sig00000767
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000749))
+ (portRef D (instanceRef blk000009a8))
+ )
+ )
+ (net sig00000768
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000074a))
+ (portRef D (instanceRef blk000009a4))
+ )
+ )
+ (net sig00000769
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000074b))
+ (portRef D (instanceRef blk000009ac))
+ )
+ )
+ (net sig0000076a
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000074c))
+ (portRef D (instanceRef blk000009ae))
+ )
+ )
+ (net sig0000076b
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000074d))
+ (portRef D (instanceRef blk000009aa))
+ )
+ )
+ (net sig0000076c
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000074e))
+ (portRef D (instanceRef blk000009b2))
+ )
+ )
+ (net sig0000076d
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000074f))
+ (portRef D (instanceRef blk000009b4))
+ )
+ )
+ (net sig0000076e
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000750))
+ (portRef D (instanceRef blk000009b0))
+ )
+ )
+ (net sig0000076f
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000751))
+ (portRef D (instanceRef blk000009b6))
+ )
+ )
+ (net sig00000770
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000752))
+ (portRef D (instanceRef blk000009b8))
+ )
+ )
+ (net sig00000771
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000753))
+ (portRef D (instanceRef blk000009ba))
+ )
+ )
+ (net sig00000772
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000754))
+ (portRef D (instanceRef blk000009bc))
+ )
+ )
+ (net sig00000773
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000755))
+ (portRef D (instanceRef blk000009c0))
+ )
+ )
+ (net sig00000774
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000756))
+ (portRef D (instanceRef blk000009c2))
+ )
+ )
+ (net sig00000775
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000757))
+ (portRef D (instanceRef blk000009be))
+ )
+ )
+ (net sig00000776
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000758))
+ (portRef D (instanceRef blk000009c6))
+ )
+ )
+ (net sig00000777
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000759))
+ (portRef D (instanceRef blk000009c8))
+ )
+ )
+ (net sig00000778
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000075a))
+ (portRef D (instanceRef blk000009c4))
+ )
+ )
+ (net sig00000779
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000075b))
+ (portRef D (instanceRef blk000009ca))
+ )
+ )
+ (net sig0000077a
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000072c))
+ (portRef D (instanceRef blk0000096e))
+ )
+ )
+ (net sig0000077b
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000072d))
+ (portRef D (instanceRef blk0000096a))
+ )
+ )
+ (net sig0000077c
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000072e))
+ (portRef D (instanceRef blk00000972))
+ )
+ )
+ (net sig0000077d
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000072f))
+ (portRef D (instanceRef blk00000974))
+ )
+ )
+ (net sig0000077e
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000730))
+ (portRef D (instanceRef blk00000970))
+ )
+ )
+ (net sig0000077f
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000731))
+ (portRef D (instanceRef blk00000976))
+ )
+ )
+ (net sig00000780
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000732))
+ (portRef D (instanceRef blk00000978))
+ )
+ )
+ (net sig00000781
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000733))
+ (portRef D (instanceRef blk0000097a))
+ )
+ )
+ (net sig00000782
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000734))
+ (portRef D (instanceRef blk0000097c))
+ )
+ )
+ (net sig00000783
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000735))
+ (portRef D (instanceRef blk00000980))
+ )
+ )
+ (net sig00000784
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000736))
+ (portRef D (instanceRef blk00000982))
+ )
+ )
+ (net sig00000785
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000737))
+ (portRef D (instanceRef blk0000097e))
+ )
+ )
+ (net sig00000786
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000738))
+ (portRef D (instanceRef blk00000986))
+ )
+ )
+ (net sig00000787
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000739))
+ (portRef D (instanceRef blk00000988))
+ )
+ )
+ (net sig00000788
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000073a))
+ (portRef D (instanceRef blk00000984))
+ )
+ )
+ (net sig00000789
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000073b))
+ (portRef D (instanceRef blk0000098c))
+ )
+ )
+ (net sig0000078a
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000073c))
+ (portRef D (instanceRef blk0000098e))
+ )
+ )
+ (net sig0000078b
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000073d))
+ (portRef D (instanceRef blk0000098a))
+ )
+ )
+ (net sig0000078c
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000073e))
+ (portRef D (instanceRef blk00000992))
+ )
+ )
+ (net sig0000078d
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk0000073f))
+ (portRef D (instanceRef blk00000994))
+ )
+ )
+ (net sig0000078e
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000740))
+ (portRef D (instanceRef blk00000990))
+ )
+ )
+ (net sig0000078f
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000741))
+ (portRef D (instanceRef blk00000998))
+ )
+ )
+ (net sig00000790
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000742))
+ (portRef D (instanceRef blk0000099a))
+ )
+ )
+ (net sig00000791
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk00000743))
+ (portRef D (instanceRef blk00000996))
+ )
+ )
+ (net sig00000792
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk0000099d))
+ (portRef D (instanceRef blk00000a62))
+ )
+ )
+ (net sig00000793
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009a1))
+ (portRef D (instanceRef blk00000a60))
+ )
+ )
+ (net sig00000794
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009a3))
+ (portRef D (instanceRef blk00000a64))
+ )
+ )
+ (net sig00000795
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk0000099f))
+ (portRef D (instanceRef blk00000a66))
+ )
+ )
+ (net sig00000796
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009a7))
+ (portRef D (instanceRef blk00000a68))
+ )
+ )
+ (net sig00000797
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009a9))
+ (portRef D (instanceRef blk00000a6a))
+ )
+ )
+ (net sig00000798
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009a5))
+ (portRef D (instanceRef blk00000a6e))
+ )
+ )
+ (net sig00000799
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009ad))
+ (portRef D (instanceRef blk00000a70))
+ )
+ )
+ (net sig0000079a
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009af))
+ (portRef D (instanceRef blk00000a6c))
+ )
+ )
+ (net sig0000079b
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009ab))
+ (portRef D (instanceRef blk00000a74))
+ )
+ )
+ (net sig0000079c
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009b3))
+ (portRef D (instanceRef blk00000a76))
+ )
+ )
+ (net sig0000079d
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009b5))
+ (portRef D (instanceRef blk00000a72))
+ )
+ )
+ (net sig0000079e
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009b1))
+ (portRef D (instanceRef blk00000a7a))
+ )
+ )
+ (net sig0000079f
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009b7))
+ (portRef D (instanceRef blk00000a7c))
+ )
+ )
+ (net sig000007a0
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009b9))
+ (portRef D (instanceRef blk00000a78))
+ )
+ )
+ (net sig000007a1
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009bb))
+ (portRef D (instanceRef blk00000a7e))
+ )
+ )
+ (net sig000007a2
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009bd))
+ (portRef D (instanceRef blk00000a80))
+ )
+ )
+ (net sig000007a3
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009c1))
+ (portRef D (instanceRef blk00000a82))
+ )
+ )
+ (net sig000007a4
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009c3))
+ (portRef D (instanceRef blk00000a84))
+ )
+ )
+ (net sig000007a5
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009bf))
+ (portRef D (instanceRef blk00000a88))
+ )
+ )
+ (net sig000007a6
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009c7))
+ (portRef D (instanceRef blk00000a8a))
+ )
+ )
+ (net sig000007a7
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009c9))
+ (portRef D (instanceRef blk00000a86))
+ )
+ )
+ (net sig000007a8
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009c5))
+ (portRef D (instanceRef blk00000a8c))
+ )
+ )
+ (net sig000007a9
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk000009cb))
+ (portRef D (instanceRef blk00000a8e))
+ )
+ )
+ (net sig000007aa
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000096f))
+ (portRef D (instanceRef blk00000a32))
+ )
+ )
+ (net sig000007ab
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000096b))
+ (portRef D (instanceRef blk00000a34))
+ )
+ )
+ (net sig000007ac
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000973))
+ (portRef D (instanceRef blk00000a30))
+ )
+ )
+ (net sig000007ad
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000975))
+ (portRef D (instanceRef blk00000a38))
+ )
+ )
+ (net sig000007ae
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000971))
+ (portRef D (instanceRef blk00000a3a))
+ )
+ )
+ (net sig000007af
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000977))
+ (portRef D (instanceRef blk00000a36))
+ )
+ )
+ (net sig000007b0
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000979))
+ (portRef D (instanceRef blk00000a3c))
+ )
+ )
+ (net sig000007b1
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000097b))
+ (portRef D (instanceRef blk00000a3e))
+ )
+ )
+ (net sig000007b2
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000097d))
+ (portRef D (instanceRef blk00000a40))
+ )
+ )
+ (net sig000007b3
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000981))
+ (portRef D (instanceRef blk00000a42))
+ )
+ )
+ (net sig000007b4
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000983))
+ (portRef D (instanceRef blk00000a46))
+ )
+ )
+ (net sig000007b5
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000097f))
+ (portRef D (instanceRef blk00000a48))
+ )
+ )
+ (net sig000007b6
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000987))
+ (portRef D (instanceRef blk00000a44))
+ )
+ )
+ (net sig000007b7
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000989))
+ (portRef D (instanceRef blk00000a4c))
+ )
+ )
+ (net sig000007b8
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000985))
+ (portRef D (instanceRef blk00000a4e))
+ )
+ )
+ (net sig000007b9
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000098d))
+ (portRef D (instanceRef blk00000a4a))
+ )
+ )
+ (net sig000007ba
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000098f))
+ (portRef D (instanceRef blk00000a52))
+ )
+ )
+ (net sig000007bb
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000098b))
+ (portRef D (instanceRef blk00000a54))
+ )
+ )
+ (net sig000007bc
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000993))
+ (portRef D (instanceRef blk00000a50))
+ )
+ )
+ (net sig000007bd
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000995))
+ (portRef D (instanceRef blk00000a56))
+ )
+ )
+ (net sig000007be
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000991))
+ (portRef D (instanceRef blk00000a58))
+ )
+ )
+ (net sig000007bf
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000999))
+ (portRef D (instanceRef blk00000a5a))
+ )
+ )
+ (net sig000007c0
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000099b))
+ (portRef D (instanceRef blk00000a5c))
+ )
+ )
+ (net sig000007c1
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000285))
+ (portRef Q (instanceRef blk00000997))
+ (portRef D (instanceRef blk00000a5e))
+ )
+ )
+ (net sig000007c2
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a63))
+ (portRef D (instanceRef blk00000b22))
+ )
+ )
+ (net sig000007c3
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a61))
+ (portRef D (instanceRef blk00000b26))
+ )
+ )
+ (net sig000007c4
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a65))
+ (portRef D (instanceRef blk00000b28))
+ )
+ )
+ (net sig000007c5
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a67))
+ (portRef D (instanceRef blk00000b24))
+ )
+ )
+ (net sig000007c6
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a69))
+ (portRef D (instanceRef blk00000b2a))
+ )
+ )
+ (net sig000007c7
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a6b))
+ (portRef D (instanceRef blk00000b2c))
+ )
+ )
+ (net sig000007c8
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a6f))
+ (portRef D (instanceRef blk00000b2e))
+ )
+ )
+ (net sig000007c9
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a71))
+ (portRef D (instanceRef blk00000b30))
+ )
+ )
+ (net sig000007ca
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a6d))
+ (portRef D (instanceRef blk00000b34))
+ )
+ )
+ (net sig000007cb
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a75))
+ (portRef D (instanceRef blk00000b36))
+ )
+ )
+ (net sig000007cc
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a77))
+ (portRef D (instanceRef blk00000b32))
+ )
+ )
+ (net sig000007cd
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a73))
+ (portRef D (instanceRef blk00000b3a))
+ )
+ )
+ (net sig000007ce
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a7b))
+ (portRef D (instanceRef blk00000b3c))
+ )
+ )
+ (net sig000007cf
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a7d))
+ (portRef D (instanceRef blk00000b38))
+ )
+ )
+ (net sig000007d0
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a79))
+ (portRef D (instanceRef blk00000b40))
+ )
+ )
+ (net sig000007d1
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a7f))
+ (portRef D (instanceRef blk00000b42))
+ )
+ )
+ (net sig000007d2
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a81))
+ (portRef D (instanceRef blk00000b3e))
+ )
+ )
+ (net sig000007d3
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a83))
+ (portRef D (instanceRef blk00000b44))
+ )
+ )
+ (net sig000007d4
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a85))
+ (portRef D (instanceRef blk00000b46))
+ )
+ )
+ (net sig000007d5
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a89))
+ (portRef D (instanceRef blk00000b48))
+ )
+ )
+ (net sig000007d6
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a8b))
+ (portRef D (instanceRef blk00000b4a))
+ )
+ )
+ (net sig000007d7
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a87))
+ (portRef D (instanceRef blk00000b4e))
+ )
+ )
+ (net sig000007d8
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a8d))
+ (portRef D (instanceRef blk00000b50))
+ )
+ )
+ (net sig000007d9
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000a8f))
+ (portRef D (instanceRef blk00000b4c))
+ )
+ )
+ (net sig000007da
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a33))
+ (portRef D (instanceRef blk00000af4))
+ )
+ )
+ (net sig000007db
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a35))
+ (portRef D (instanceRef blk00000af2))
+ )
+ )
+ (net sig000007dc
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a31))
+ (portRef D (instanceRef blk00000af8))
+ )
+ )
+ (net sig000007dd
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a39))
+ (portRef D (instanceRef blk00000afa))
+ )
+ )
+ (net sig000007de
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a3b))
+ (portRef D (instanceRef blk00000af6))
+ )
+ )
+ (net sig000007df
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a37))
+ (portRef D (instanceRef blk00000afe))
+ )
+ )
+ (net sig000007e0
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a3d))
+ (portRef D (instanceRef blk00000b00))
+ )
+ )
+ (net sig000007e1
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a3f))
+ (portRef D (instanceRef blk00000afc))
+ )
+ )
+ (net sig000007e2
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a41))
+ (portRef D (instanceRef blk00000b02))
+ )
+ )
+ (net sig000007e3
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a43))
+ (portRef D (instanceRef blk00000b04))
+ )
+ )
+ (net sig000007e4
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a47))
+ (portRef D (instanceRef blk00000b06))
+ )
+ )
+ (net sig000007e5
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a49))
+ (portRef D (instanceRef blk00000b08))
+ )
+ )
+ (net sig000007e6
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a45))
+ (portRef D (instanceRef blk00000b0c))
+ )
+ )
+ (net sig000007e7
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a4d))
+ (portRef D (instanceRef blk00000b0e))
+ )
+ )
+ (net sig000007e8
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a4f))
+ (portRef D (instanceRef blk00000b0a))
+ )
+ )
+ (net sig000007e9
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a4b))
+ (portRef D (instanceRef blk00000b12))
+ )
+ )
+ (net sig000007ea
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a53))
+ (portRef D (instanceRef blk00000b14))
+ )
+ )
+ (net sig000007eb
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a55))
+ (portRef D (instanceRef blk00000b10))
+ )
+ )
+ (net sig000007ec
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a51))
+ (portRef D (instanceRef blk00000b18))
+ )
+ )
+ (net sig000007ed
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a57))
+ (portRef D (instanceRef blk00000b1a))
+ )
+ )
+ (net sig000007ee
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a59))
+ (portRef D (instanceRef blk00000b16))
+ )
+ )
+ (net sig000007ef
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a5b))
+ (portRef D (instanceRef blk00000b1c))
+ )
+ )
+ (net sig000007f0
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a5d))
+ (portRef D (instanceRef blk00000b1e))
+ )
+ )
+ (net sig000007f1
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000351))
+ (portRef Q (instanceRef blk00000a5f))
+ (portRef D (instanceRef blk00000b20))
+ )
+ )
+ (net sig000007f2
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b23))
+ (portRef D (instanceRef blk00000b86))
+ )
+ )
+ (net sig000007f3
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b27))
+ (portRef D (instanceRef blk00000b88))
+ )
+ )
+ (net sig000007f4
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b29))
+ (portRef D (instanceRef blk00000b84))
+ )
+ )
+ (net sig000007f5
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b25))
+ (portRef D (instanceRef blk00000b8c))
+ )
+ )
+ (net sig000007f6
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b2b))
+ (portRef D (instanceRef blk00000b8e))
+ )
+ )
+ (net sig000007f7
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b2d))
+ (portRef D (instanceRef blk00000b8a))
+ )
+ )
+ (net sig000007f8
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b2f))
+ (portRef D (instanceRef blk00000b90))
+ )
+ )
+ (net sig000007f9
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b31))
+ (portRef D (instanceRef blk00000b92))
+ )
+ )
+ (net sig000007fa
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b35))
+ (portRef D (instanceRef blk00000b94))
+ )
+ )
+ (net sig000007fb
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b37))
+ (portRef D (instanceRef blk00000b96))
+ )
+ )
+ (net sig000007fc
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b33))
+ (portRef D (instanceRef blk00000b9a))
+ )
+ )
+ (net sig000007fd
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b3b))
+ (portRef D (instanceRef blk00000b9c))
+ )
+ )
+ (net sig000007fe
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b3d))
+ (portRef D (instanceRef blk00000b98))
+ )
+ )
+ (net sig000007ff
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b39))
+ (portRef D (instanceRef blk00000ba0))
+ )
+ )
+ (net sig00000800
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b41))
+ (portRef D (instanceRef blk00000ba2))
+ )
+ )
+ (net sig00000801
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b43))
+ (portRef D (instanceRef blk00000b9e))
+ )
+ )
+ (net sig00000802
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b3f))
+ (portRef D (instanceRef blk00000ba6))
+ )
+ )
+ (net sig00000803
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b45))
+ (portRef D (instanceRef blk00000ba8))
+ )
+ )
+ (net sig00000804
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b47))
+ (portRef D (instanceRef blk00000ba4))
+ )
+ )
+ (net sig00000805
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b49))
+ (portRef D (instanceRef blk00000baa))
+ )
+ )
+ (net sig00000806
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b4b))
+ (portRef D (instanceRef blk00000bac))
+ )
+ )
+ (net sig00000807
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b4f))
+ (portRef D (instanceRef blk00000bae))
+ )
+ )
+ (net sig00000808
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b51))
+ (portRef D (instanceRef blk00000bb0))
+ )
+ )
+ (net sig00000809
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000003b7))
+ (portRef Q (instanceRef blk00000b4d))
+ (portRef D (instanceRef blk00000bb2))
+ )
+ )
+ (net sig0000080a
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000af5))
+ (portRef D (instanceRef blk00000b54))
+ )
+ )
+ (net sig0000080b
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000af3))
+ (portRef D (instanceRef blk00000b58))
+ )
+ )
+ (net sig0000080c
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000af9))
+ (portRef D (instanceRef blk00000b5a))
+ )
+ )
+ (net sig0000080d
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000afb))
+ (portRef D (instanceRef blk00000b56))
+ )
+ )
+ (net sig0000080e
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000af7))
+ (portRef D (instanceRef blk00000b5e))
+ )
+ )
+ (net sig0000080f
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000aff))
+ (portRef D (instanceRef blk00000b60))
+ )
+ )
+ (net sig00000810
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b01))
+ (portRef D (instanceRef blk00000b5c))
+ )
+ )
+ (net sig00000811
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000afd))
+ (portRef D (instanceRef blk00000b64))
+ )
+ )
+ (net sig00000812
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b03))
+ (portRef D (instanceRef blk00000b66))
+ )
+ )
+ (net sig00000813
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b05))
+ (portRef D (instanceRef blk00000b62))
+ )
+ )
+ (net sig00000814
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b07))
+ (portRef D (instanceRef blk00000b68))
+ )
+ )
+ (net sig00000815
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b09))
+ (portRef D (instanceRef blk00000b6a))
+ )
+ )
+ (net sig00000816
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b0d))
+ (portRef D (instanceRef blk00000b6c))
+ )
+ )
+ (net sig00000817
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b0f))
+ (portRef D (instanceRef blk00000b6e))
+ )
+ )
+ (net sig00000818
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b0b))
+ (portRef D (instanceRef blk00000b72))
+ )
+ )
+ (net sig00000819
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b13))
+ (portRef D (instanceRef blk00000b74))
+ )
+ )
+ (net sig0000081a
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b15))
+ (portRef D (instanceRef blk00000b70))
+ )
+ )
+ (net sig0000081b
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b11))
+ (portRef D (instanceRef blk00000b78))
+ )
+ )
+ (net sig0000081c
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b19))
+ (portRef D (instanceRef blk00000b7a))
+ )
+ )
+ (net sig0000081d
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b1b))
+ (portRef D (instanceRef blk00000b76))
+ )
+ )
+ (net sig0000081e
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b17))
+ (portRef D (instanceRef blk00000b7e))
+ )
+ )
+ (net sig0000081f
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b1d))
+ (portRef D (instanceRef blk00000b80))
+ )
+ )
+ (net sig00000820
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b1f))
+ (portRef D (instanceRef blk00000b7c))
+ )
+ )
+ (net sig00000821
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000041d))
+ (portRef Q (instanceRef blk00000b21))
+ (portRef D (instanceRef blk00000b82))
+ )
+ )
+ (net sig00000822
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b87))
+ (portRef D (instanceRef blk00000be6))
+ )
+ )
+ (net sig00000823
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b89))
+ (portRef D (instanceRef blk00000be4))
+ )
+ )
+ (net sig00000824
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b85))
+ (portRef D (instanceRef blk00000bea))
+ )
+ )
+ (net sig00000825
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b8d))
+ (portRef D (instanceRef blk00000bec))
+ )
+ )
+ (net sig00000826
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b8f))
+ (portRef D (instanceRef blk00000be8))
+ )
+ )
+ (net sig00000827
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b8b))
+ (portRef D (instanceRef blk00000bf0))
+ )
+ )
+ (net sig00000828
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b91))
+ (portRef D (instanceRef blk00000bf2))
+ )
+ )
+ (net sig00000829
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b93))
+ (portRef D (instanceRef blk00000bee))
+ )
+ )
+ (net sig0000082a
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b95))
+ (portRef D (instanceRef blk00000bf6))
+ )
+ )
+ (net sig0000082b
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b97))
+ (portRef D (instanceRef blk00000bf8))
+ )
+ )
+ (net sig0000082c
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b9b))
+ (portRef D (instanceRef blk00000bf4))
+ )
+ )
+ (net sig0000082d
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b9d))
+ (portRef D (instanceRef blk00000bfc))
+ )
+ )
+ (net sig0000082e
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b99))
+ (portRef D (instanceRef blk00000bfe))
+ )
+ )
+ (net sig0000082f
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000ba1))
+ (portRef D (instanceRef blk00000bfa))
+ )
+ )
+ (net sig00000830
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000ba3))
+ (portRef D (instanceRef blk00000c02))
+ )
+ )
+ (net sig00000831
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000b9f))
+ (portRef D (instanceRef blk00000c04))
+ )
+ )
+ (net sig00000832
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000ba7))
+ (portRef D (instanceRef blk00000c00))
+ )
+ )
+ (net sig00000833
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000ba9))
+ (portRef D (instanceRef blk00000c08))
+ )
+ )
+ (net sig00000834
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000ba5))
+ (portRef D (instanceRef blk00000c0a))
+ )
+ )
+ (net sig00000835
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000bab))
+ (portRef D (instanceRef blk00000c06))
+ )
+ )
+ (net sig00000836
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000bad))
+ (portRef D (instanceRef blk00000c0c))
+ )
+ )
+ (net sig00000837
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000baf))
+ (portRef D (instanceRef blk00000c0e))
+ )
+ )
+ (net sig00000838
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000bb1))
+ (portRef D (instanceRef blk00000c10))
+ )
+ )
+ (net sig00000839
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000483))
+ (portRef Q (instanceRef blk00000bb3))
+ (portRef D (instanceRef blk00000c12))
+ )
+ )
+ (net sig0000083a
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b55))
+ (portRef D (instanceRef blk00000bb4))
+ )
+ )
+ (net sig0000083b
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b59))
+ (portRef D (instanceRef blk00000bb6))
+ )
+ )
+ (net sig0000083c
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b5b))
+ (portRef D (instanceRef blk00000bb8))
+ )
+ )
+ (net sig0000083d
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b57))
+ (portRef D (instanceRef blk00000bbc))
+ )
+ )
+ (net sig0000083e
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b5f))
+ (portRef D (instanceRef blk00000bbe))
+ )
+ )
+ (net sig0000083f
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b61))
+ (portRef D (instanceRef blk00000bba))
+ )
+ )
+ (net sig00000840
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b5d))
+ (portRef D (instanceRef blk00000bc2))
+ )
+ )
+ (net sig00000841
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b65))
+ (portRef D (instanceRef blk00000bc4))
+ )
+ )
+ (net sig00000842
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b67))
+ (portRef D (instanceRef blk00000bc0))
+ )
+ )
+ (net sig00000843
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b63))
+ (portRef D (instanceRef blk00000bc8))
+ )
+ )
+ (net sig00000844
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b69))
+ (portRef D (instanceRef blk00000bca))
+ )
+ )
+ (net sig00000845
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b6b))
+ (portRef D (instanceRef blk00000bc6))
+ )
+ )
+ (net sig00000846
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b6d))
+ (portRef D (instanceRef blk00000bcc))
+ )
+ )
+ (net sig00000847
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b6f))
+ (portRef D (instanceRef blk00000bce))
+ )
+ )
+ (net sig00000848
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b73))
+ (portRef D (instanceRef blk00000bd0))
+ )
+ )
+ (net sig00000849
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b75))
+ (portRef D (instanceRef blk00000bd2))
+ )
+ )
+ (net sig0000084a
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b71))
+ (portRef D (instanceRef blk00000bd6))
+ )
+ )
+ (net sig0000084b
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b79))
+ (portRef D (instanceRef blk00000bd8))
+ )
+ )
+ (net sig0000084c
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b7b))
+ (portRef D (instanceRef blk00000bd4))
+ )
+ )
+ (net sig0000084d
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b77))
+ (portRef D (instanceRef blk00000bdc))
+ )
+ )
+ (net sig0000084e
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b7f))
+ (portRef D (instanceRef blk00000bde))
+ )
+ )
+ (net sig0000084f
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b81))
+ (portRef D (instanceRef blk00000bda))
+ )
+ )
+ (net sig00000850
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b7d))
+ (portRef D (instanceRef blk00000be0))
+ )
+ )
+ (net sig00000851
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000004e9))
+ (portRef Q (instanceRef blk00000b83))
+ (portRef D (instanceRef blk00000be2))
+ )
+ )
+ (net sig00000852
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000093f))
+ )
+ )
+ (net sig00000853
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000941))
+ )
+ )
+ (net sig00000854
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000093d))
+ )
+ )
+ (net sig00000855
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000943))
+ )
+ )
+ (net sig00000856
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000945))
+ )
+ )
+ (net sig00000857
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000947))
+ )
+ )
+ (net sig00000858
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000949))
+ )
+ )
+ (net sig00000859
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000094d))
+ )
+ )
+ (net sig0000085a
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000094f))
+ )
+ )
+ (net sig0000085b
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000094b))
+ )
+ )
+ (net sig0000085c
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000953))
+ )
+ )
+ (net sig0000085d
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000955))
+ )
+ )
+ (net sig0000085e
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000951))
+ )
+ )
+ (net sig0000085f
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000959))
+ )
+ )
+ (net sig00000860
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000095b))
+ )
+ )
+ (net sig00000861
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000957))
+ )
+ )
+ (net sig00000862
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000095d))
+ )
+ )
+ (net sig00000863
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000095f))
+ )
+ )
+ (net sig00000864
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000961))
+ )
+ )
+ (net sig00000865
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000963))
+ )
+ )
+ (net sig00000866
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000967))
+ )
+ )
+ (net sig00000867
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000969))
+ )
+ )
+ (net sig00000868
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk00000965))
+ )
+ )
+ (net sig00000869
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000051c))
+ (portRef Q (instanceRef blk0000096d))
+ )
+ )
+ (net sig0000086a
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000be7))
+ )
+ )
+ (net sig0000086b
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000be5))
+ )
+ )
+ (net sig0000086c
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000beb))
+ )
+ )
+ (net sig0000086d
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bed))
+ )
+ )
+ (net sig0000086e
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000be9))
+ )
+ )
+ (net sig0000086f
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bf1))
+ )
+ )
+ (net sig00000870
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bf3))
+ )
+ )
+ (net sig00000871
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bef))
+ )
+ )
+ (net sig00000872
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bf7))
+ )
+ )
+ (net sig00000873
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bf9))
+ )
+ )
+ (net sig00000874
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bf5))
+ )
+ )
+ (net sig00000875
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bfd))
+ )
+ )
+ (net sig00000876
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bff))
+ )
+ )
+ (net sig00000877
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000bfb))
+ )
+ )
+ (net sig00000878
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c03))
+ )
+ )
+ (net sig00000879
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c05))
+ )
+ )
+ (net sig0000087a
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c01))
+ )
+ )
+ (net sig0000087b
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c09))
+ )
+ )
+ (net sig0000087c
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c0b))
+ )
+ )
+ (net sig0000087d
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c07))
+ )
+ )
+ (net sig0000087e
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c0d))
+ )
+ )
+ (net sig0000087f
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c0f))
+ )
+ )
+ (net sig00000880
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c11))
+ )
+ )
+ (net sig00000881
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk00000c13))
+ )
+ )
+ (net sig00000882
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000909))
+ )
+ )
+ (net sig00000883
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000090f))
+ )
+ )
+ (net sig00000884
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000911))
+ )
+ )
+ (net sig00000885
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000913))
+ )
+ )
+ (net sig00000886
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000915))
+ )
+ )
+ (net sig00000887
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000919))
+ )
+ )
+ (net sig00000888
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000091b))
+ )
+ )
+ (net sig00000889
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000917))
+ )
+ )
+ (net sig0000088a
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000091f))
+ )
+ )
+ (net sig0000088b
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000921))
+ )
+ )
+ (net sig0000088c
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000091d))
+ )
+ )
+ (net sig0000088d
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000925))
+ )
+ )
+ (net sig0000088e
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000927))
+ )
+ )
+ (net sig0000088f
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000923))
+ )
+ )
+ (net sig00000890
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000929))
+ )
+ )
+ (net sig00000891
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000092b))
+ )
+ )
+ (net sig00000892
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000092d))
+ )
+ )
+ (net sig00000893
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000092f))
+ )
+ )
+ (net sig00000894
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000933))
+ )
+ )
+ (net sig00000895
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000935))
+ )
+ )
+ (net sig00000896
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000931))
+ )
+ )
+ (net sig00000897
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000939))
+ )
+ )
+ (net sig00000898
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk0000093b))
+ )
+ )
+ (net sig00000899
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000582))
+ (portRef Q (instanceRef blk00000937))
+ )
+ )
+ (net sig0000089a
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bb5))
+ )
+ )
+ (net sig0000089b
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bb7))
+ )
+ )
+ (net sig0000089c
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bb9))
+ )
+ )
+ (net sig0000089d
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bbd))
+ )
+ )
+ (net sig0000089e
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bbf))
+ )
+ )
+ (net sig0000089f
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bbb))
+ )
+ )
+ (net sig000008a0
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bc3))
+ )
+ )
+ (net sig000008a1
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bc5))
+ )
+ )
+ (net sig000008a2
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bc1))
+ )
+ )
+ (net sig000008a3
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bc9))
+ )
+ )
+ (net sig000008a4
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bcb))
+ )
+ )
+ (net sig000008a5
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bc7))
+ )
+ )
+ (net sig000008a6
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bcd))
+ )
+ )
+ (net sig000008a7
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bcf))
+ )
+ )
+ (net sig000008a8
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bd1))
+ )
+ )
+ (net sig000008a9
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bd3))
+ )
+ )
+ (net sig000008aa
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bd7))
+ )
+ )
+ (net sig000008ab
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bd9))
+ )
+ )
+ (net sig000008ac
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bd5))
+ )
+ )
+ (net sig000008ad
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bdd))
+ )
+ )
+ (net sig000008ae
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bdf))
+ )
+ )
+ (net sig000008af
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000bdb))
+ )
+ )
+ (net sig000008b0
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000be1))
+ )
+ )
+ (net sig000008b1
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000005b5))
+ (portRef Q (instanceRef blk00000be3))
+ )
+ )
+ (net sig000008b2
+ (joined
+ (portRef (member DA_IN 0) (instanceRef blk000005e8))
+ (portRef (member DA_IN 0) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008e5))
+ )
+ )
+ (net sig000008b3
+ (joined
+ (portRef (member DA_IN 1) (instanceRef blk000005e8))
+ (portRef (member DA_IN 1) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008e7))
+ )
+ )
+ (net sig000008b4
+ (joined
+ (portRef (member DA_IN 2) (instanceRef blk000005e8))
+ (portRef (member DA_IN 2) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008e3))
+ )
+ )
+ (net sig000008b5
+ (joined
+ (portRef (member DA_IN 3) (instanceRef blk000005e8))
+ (portRef (member DA_IN 3) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008eb))
+ )
+ )
+ (net sig000008b6
+ (joined
+ (portRef (member DA_IN 4) (instanceRef blk000005e8))
+ (portRef (member DA_IN 4) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008ed))
+ )
+ )
+ (net sig000008b7
+ (joined
+ (portRef (member DA_IN 5) (instanceRef blk000005e8))
+ (portRef (member DA_IN 5) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008e9))
+ )
+ )
+ (net sig000008b8
+ (joined
+ (portRef (member DA_IN 6) (instanceRef blk000005e8))
+ (portRef (member DA_IN 6) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008f1))
+ )
+ )
+ (net sig000008b9
+ (joined
+ (portRef (member DA_IN 7) (instanceRef blk000005e8))
+ (portRef (member DA_IN 7) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008f3))
+ )
+ )
+ (net sig000008ba
+ (joined
+ (portRef (member DA_IN 8) (instanceRef blk000005e8))
+ (portRef (member DA_IN 8) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008ef))
+ )
+ )
+ (net sig000008bb
+ (joined
+ (portRef (member DA_IN 9) (instanceRef blk000005e8))
+ (portRef (member DA_IN 9) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008f5))
+ )
+ )
+ (net sig000008bc
+ (joined
+ (portRef (member DA_IN 10) (instanceRef blk000005e8))
+ (portRef (member DA_IN 10) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008f7))
+ )
+ )
+ (net sig000008bd
+ (joined
+ (portRef (member DA_IN 11) (instanceRef blk000005e8))
+ (portRef (member DA_IN 11) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008f9))
+ )
+ )
+ (net sig000008be
+ (joined
+ (portRef (member DA_IN 12) (instanceRef blk000005e8))
+ (portRef (member DA_IN 12) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008fb))
+ )
+ )
+ (net sig000008bf
+ (joined
+ (portRef (member DA_IN 13) (instanceRef blk000005e8))
+ (portRef (member DA_IN 13) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008ff))
+ )
+ )
+ (net sig000008c0
+ (joined
+ (portRef (member DA_IN 14) (instanceRef blk000005e8))
+ (portRef (member DA_IN 14) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk00000901))
+ )
+ )
+ (net sig000008c1
+ (joined
+ (portRef (member DA_IN 15) (instanceRef blk000005e8))
+ (portRef (member DA_IN 15) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008fd))
+ )
+ )
+ (net sig000008c2
+ (joined
+ (portRef (member DA_IN 16) (instanceRef blk000005e8))
+ (portRef (member DA_IN 16) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk00000905))
+ )
+ )
+ (net sig000008c3
+ (joined
+ (portRef (member DA_IN 17) (instanceRef blk000005e8))
+ (portRef (member DA_IN 17) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk00000907))
+ )
+ )
+ (net sig000008c4
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk000005e8))
+ (portRef (member DA_IN 0) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008c5
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk000005e8))
+ (portRef (member DA_IN 1) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008c6
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk000005e8))
+ (portRef (member DA_IN 2) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008c7
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk000005e8))
+ (portRef (member DA_IN 3) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008c8
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk000005e8))
+ (portRef (member DA_IN 4) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008c9
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk000005e8))
+ (portRef (member DA_IN 5) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008ca
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk000005e8))
+ (portRef (member DA_IN 6) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008cb
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk000005e8))
+ (portRef (member DA_IN 7) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008cc
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk000005e8))
+ (portRef (member DA_IN 8) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008cd
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk000005e8))
+ (portRef (member DA_IN 9) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008ce
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk000005e8))
+ (portRef (member DA_IN 10) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008cf
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk000005e8))
+ (portRef (member DA_IN 11) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d0
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk000005e8))
+ (portRef (member DA_IN 12) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d1
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk000005e8))
+ (portRef (member DA_IN 13) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d2
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk000005e8))
+ (portRef (member DA_IN 14) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d3
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk000005e8))
+ (portRef (member DA_IN 15) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d4
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk000005e8))
+ (portRef (member DA_IN 16) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d5
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk000005e8))
+ (portRef (member DA_IN 17) (instanceRef blk00000621))
+ )
+ )
+ (net sig000008d6
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk00000621))
+ (portRef (member DA_IN 0) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008d7
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk00000621))
+ (portRef (member DA_IN 1) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008d8
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk00000621))
+ (portRef (member DA_IN 2) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008d9
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk00000621))
+ (portRef (member DA_IN 3) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008da
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk00000621))
+ (portRef (member DA_IN 4) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008db
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk00000621))
+ (portRef (member DA_IN 5) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008dc
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk00000621))
+ (portRef (member DA_IN 6) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008dd
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk00000621))
+ (portRef (member DA_IN 7) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008de
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk00000621))
+ (portRef (member DA_IN 8) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008df
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk00000621))
+ (portRef (member DA_IN 9) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e0
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk00000621))
+ (portRef (member DA_IN 10) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e1
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk00000621))
+ (portRef (member DA_IN 11) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e2
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk00000621))
+ (portRef (member DA_IN 12) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e3
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk00000621))
+ (portRef (member DA_IN 13) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e4
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk00000621))
+ (portRef (member DA_IN 14) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e5
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk00000621))
+ (portRef (member DA_IN 15) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e6
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk00000621))
+ (portRef (member DA_IN 16) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e7
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk00000621))
+ (portRef (member DA_IN 17) (instanceRef blk0000065a))
+ )
+ )
+ (net sig000008e8
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk0000065a))
+ (portRef (member DA_IN 0) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008e9
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk0000065a))
+ (portRef (member DA_IN 1) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008ea
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk0000065a))
+ (portRef (member DA_IN 2) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008eb
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk0000065a))
+ (portRef (member DA_IN 3) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008ec
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk0000065a))
+ (portRef (member DA_IN 4) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008ed
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk0000065a))
+ (portRef (member DA_IN 5) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008ee
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk0000065a))
+ (portRef (member DA_IN 6) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008ef
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk0000065a))
+ (portRef (member DA_IN 7) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f0
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk0000065a))
+ (portRef (member DA_IN 8) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f1
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk0000065a))
+ (portRef (member DA_IN 9) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f2
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk0000065a))
+ (portRef (member DA_IN 10) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f3
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk0000065a))
+ (portRef (member DA_IN 11) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f4
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk0000065a))
+ (portRef (member DA_IN 12) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f5
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk0000065a))
+ (portRef (member DA_IN 13) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f6
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk0000065a))
+ (portRef (member DA_IN 14) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f7
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk0000065a))
+ (portRef (member DA_IN 15) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f8
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk0000065a))
+ (portRef (member DA_IN 16) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008f9
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk0000065a))
+ (portRef (member DA_IN 17) (instanceRef blk00000693))
+ )
+ )
+ (net sig000008fa
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk00000693))
+ (portRef (member DA_IN 0) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000008fb
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk00000693))
+ (portRef (member DA_IN 1) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000008fc
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk00000693))
+ (portRef (member DA_IN 2) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000008fd
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk00000693))
+ (portRef (member DA_IN 3) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000008fe
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk00000693))
+ (portRef (member DA_IN 4) (instanceRef blk000006cc))
+ )
+ )
+ (net sig000008ff
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk00000693))
+ (portRef (member DA_IN 5) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000900
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk00000693))
+ (portRef (member DA_IN 6) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000901
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk00000693))
+ (portRef (member DA_IN 7) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000902
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk00000693))
+ (portRef (member DA_IN 8) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000903
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk00000693))
+ (portRef (member DA_IN 9) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000904
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk00000693))
+ (portRef (member DA_IN 10) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000905
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk00000693))
+ (portRef (member DA_IN 11) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000906
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk00000693))
+ (portRef (member DA_IN 12) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000907
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk00000693))
+ (portRef (member DA_IN 13) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000908
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk00000693))
+ (portRef (member DA_IN 14) (instanceRef blk000006cc))
+ )
+ )
+ (net sig00000909
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk00000693))
+ (portRef (member DA_IN 15) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000090a
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk00000693))
+ (portRef (member DA_IN 16) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000090b
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk00000693))
+ (portRef (member DA_IN 17) (instanceRef blk000006cc))
+ )
+ )
+ (net sig0000090c
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk000006cc))
+ (portRef (member DA_IN 0) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000090d
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk000006cc))
+ (portRef (member DA_IN 1) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000090e
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk000006cc))
+ (portRef (member DA_IN 2) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000090f
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk000006cc))
+ (portRef (member DA_IN 3) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000910
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk000006cc))
+ (portRef (member DA_IN 4) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000911
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk000006cc))
+ (portRef (member DA_IN 5) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000912
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk000006cc))
+ (portRef (member DA_IN 6) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000913
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk000006cc))
+ (portRef (member DA_IN 7) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000914
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk000006cc))
+ (portRef (member DA_IN 8) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000915
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk000006cc))
+ (portRef (member DA_IN 9) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000916
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk000006cc))
+ (portRef (member DA_IN 10) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000917
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk000006cc))
+ (portRef (member DA_IN 11) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000918
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk000006cc))
+ (portRef (member DA_IN 12) (instanceRef blk00000705))
+ )
+ )
+ (net sig00000919
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk000006cc))
+ (portRef (member DA_IN 13) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000091a
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk000006cc))
+ (portRef (member DA_IN 14) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000091b
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk000006cc))
+ (portRef (member DA_IN 15) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000091c
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk000006cc))
+ (portRef (member DA_IN 16) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000091d
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk000006cc))
+ (portRef (member DA_IN 17) (instanceRef blk00000705))
+ )
+ )
+ (net sig0000091e
+ (joined
+ (portRef CE (instanceRef blk0000072c))
+ (portRef CE (instanceRef blk0000072d))
+ (portRef CE (instanceRef blk0000072e))
+ (portRef CE (instanceRef blk0000072f))
+ (portRef CE (instanceRef blk00000730))
+ (portRef CE (instanceRef blk00000731))
+ (portRef CE (instanceRef blk00000732))
+ (portRef CE (instanceRef blk00000733))
+ (portRef CE (instanceRef blk00000734))
+ (portRef CE (instanceRef blk00000735))
+ (portRef CE (instanceRef blk00000736))
+ (portRef CE (instanceRef blk00000737))
+ (portRef CE (instanceRef blk00000738))
+ (portRef CE (instanceRef blk00000739))
+ (portRef CE (instanceRef blk0000073a))
+ (portRef CE (instanceRef blk0000073b))
+ (portRef CE (instanceRef blk0000073c))
+ (portRef CE (instanceRef blk0000073d))
+ (portRef CE (instanceRef blk0000073e))
+ (portRef CE (instanceRef blk0000073f))
+ (portRef CE (instanceRef blk00000740))
+ (portRef CE (instanceRef blk00000741))
+ (portRef CE (instanceRef blk00000742))
+ (portRef CE (instanceRef blk00000743))
+ (portRef CE (instanceRef blk00000744))
+ (portRef CE (instanceRef blk00000745))
+ (portRef CE (instanceRef blk00000746))
+ (portRef CE (instanceRef blk00000747))
+ (portRef CE (instanceRef blk00000748))
+ (portRef CE (instanceRef blk00000749))
+ (portRef CE (instanceRef blk0000074a))
+ (portRef CE (instanceRef blk0000074b))
+ (portRef CE (instanceRef blk0000074c))
+ (portRef CE (instanceRef blk0000074d))
+ (portRef CE (instanceRef blk0000074e))
+ (portRef CE (instanceRef blk0000074f))
+ (portRef CE (instanceRef blk00000750))
+ (portRef CE (instanceRef blk00000751))
+ (portRef CE (instanceRef blk00000752))
+ (portRef CE (instanceRef blk00000753))
+ (portRef CE (instanceRef blk00000754))
+ (portRef CE (instanceRef blk00000755))
+ (portRef CE (instanceRef blk00000756))
+ (portRef CE (instanceRef blk00000757))
+ (portRef CE (instanceRef blk00000758))
+ (portRef CE (instanceRef blk00000759))
+ (portRef CE (instanceRef blk0000075a))
+ (portRef CE (instanceRef blk0000075b))
+ (portRef O (instanceRef blk00000829))
+ (portRef CE (instanceRef blk0000096a))
+ (portRef CE (instanceRef blk0000096b))
+ (portRef CE (instanceRef blk0000096e))
+ (portRef CE (instanceRef blk0000096f))
+ (portRef CE (instanceRef blk00000970))
+ (portRef CE (instanceRef blk00000971))
+ (portRef CE (instanceRef blk00000972))
+ (portRef CE (instanceRef blk00000973))
+ (portRef CE (instanceRef blk00000974))
+ (portRef CE (instanceRef blk00000975))
+ (portRef CE (instanceRef blk00000976))
+ (portRef CE (instanceRef blk00000977))
+ (portRef CE (instanceRef blk00000978))
+ (portRef CE (instanceRef blk00000979))
+ (portRef CE (instanceRef blk0000097a))
+ (portRef CE (instanceRef blk0000097b))
+ (portRef CE (instanceRef blk0000097c))
+ (portRef CE (instanceRef blk0000097d))
+ (portRef CE (instanceRef blk0000097e))
+ (portRef CE (instanceRef blk0000097f))
+ (portRef CE (instanceRef blk00000980))
+ (portRef CE (instanceRef blk00000981))
+ (portRef CE (instanceRef blk00000982))
+ (portRef CE (instanceRef blk00000983))
+ (portRef CE (instanceRef blk00000984))
+ (portRef CE (instanceRef blk00000985))
+ (portRef CE (instanceRef blk00000986))
+ (portRef CE (instanceRef blk00000987))
+ (portRef CE (instanceRef blk00000988))
+ (portRef CE (instanceRef blk00000989))
+ (portRef CE (instanceRef blk0000098a))
+ (portRef CE (instanceRef blk0000098b))
+ (portRef CE (instanceRef blk0000098c))
+ (portRef CE (instanceRef blk0000098d))
+ (portRef CE (instanceRef blk0000098e))
+ (portRef CE (instanceRef blk0000098f))
+ (portRef CE (instanceRef blk00000990))
+ (portRef CE (instanceRef blk00000991))
+ (portRef CE (instanceRef blk00000992))
+ (portRef CE (instanceRef blk00000993))
+ (portRef CE (instanceRef blk00000994))
+ (portRef CE (instanceRef blk00000995))
+ (portRef CE (instanceRef blk00000996))
+ (portRef CE (instanceRef blk00000997))
+ (portRef CE (instanceRef blk00000998))
+ (portRef CE (instanceRef blk00000999))
+ (portRef CE (instanceRef blk0000099a))
+ (portRef CE (instanceRef blk0000099b))
+ (portRef CE (instanceRef blk0000099c))
+ (portRef CE (instanceRef blk0000099d))
+ (portRef CE (instanceRef blk0000099e))
+ (portRef CE (instanceRef blk0000099f))
+ (portRef CE (instanceRef blk000009a0))
+ (portRef CE (instanceRef blk000009a1))
+ (portRef CE (instanceRef blk000009a2))
+ (portRef CE (instanceRef blk000009a3))
+ (portRef CE (instanceRef blk000009a4))
+ (portRef CE (instanceRef blk000009a5))
+ (portRef CE (instanceRef blk000009a6))
+ (portRef CE (instanceRef blk000009a7))
+ (portRef CE (instanceRef blk000009a8))
+ (portRef CE (instanceRef blk000009a9))
+ (portRef CE (instanceRef blk000009aa))
+ (portRef CE (instanceRef blk000009ab))
+ (portRef CE (instanceRef blk000009ac))
+ (portRef CE (instanceRef blk000009ad))
+ (portRef CE (instanceRef blk000009ae))
+ (portRef CE (instanceRef blk000009af))
+ (portRef CE (instanceRef blk000009b0))
+ (portRef CE (instanceRef blk000009b1))
+ (portRef CE (instanceRef blk000009b2))
+ (portRef CE (instanceRef blk000009b3))
+ (portRef CE (instanceRef blk000009b4))
+ (portRef CE (instanceRef blk000009b5))
+ (portRef CE (instanceRef blk000009b6))
+ (portRef CE (instanceRef blk000009b7))
+ (portRef CE (instanceRef blk000009b8))
+ (portRef CE (instanceRef blk000009b9))
+ (portRef CE (instanceRef blk000009ba))
+ (portRef CE (instanceRef blk000009bb))
+ (portRef CE (instanceRef blk000009bc))
+ (portRef CE (instanceRef blk000009bd))
+ (portRef CE (instanceRef blk000009be))
+ (portRef CE (instanceRef blk000009bf))
+ (portRef CE (instanceRef blk000009c0))
+ (portRef CE (instanceRef blk000009c1))
+ (portRef CE (instanceRef blk000009c2))
+ (portRef CE (instanceRef blk000009c3))
+ (portRef CE (instanceRef blk000009c4))
+ (portRef CE (instanceRef blk000009c5))
+ (portRef CE (instanceRef blk000009c6))
+ (portRef CE (instanceRef blk000009c7))
+ (portRef CE (instanceRef blk000009c8))
+ (portRef CE (instanceRef blk000009c9))
+ (portRef CE (instanceRef blk000009ca))
+ (portRef CE (instanceRef blk000009cb))
+ )
+ )
+ (net sig0000091f
+ (joined
+ (portRef (member ADDRB 0) (instanceRef blk0000075c))
+ (portRef Q (instanceRef blk000008dd))
+ )
+ )
+ (net sig00000920
+ (joined
+ (portRef LI (instanceRef blk00000783))
+ (portRef S (instanceRef blk0000078e))
+ (portRef O (instanceRef blk0000082f))
+ )
+ )
+ (net sig00000921
+ (joined
+ (portRef O (instanceRef blk00000783))
+ (portRef D (instanceRef blk000008a8))
+ )
+ )
+ (net sig00000922
+ (joined
+ (portRef CI (instanceRef blk00000784))
+ (portRef CI (instanceRef blk00000789))
+ (portRef LO (instanceRef blk0000078a))
+ )
+ )
+ (net sig00000923
+ (joined
+ (portRef LI (instanceRef blk00000784))
+ (portRef S (instanceRef blk00000789))
+ (portRef O (instanceRef blk000008b3))
+ )
+ )
+ (net sig00000924
+ (joined
+ (portRef O (instanceRef blk00000784))
+ (portRef D (instanceRef blk000008a3))
+ )
+ )
+ (net sig00000925
+ (joined
+ (portRef CI (instanceRef blk00000785))
+ (portRef CI (instanceRef blk0000078a))
+ (portRef LO (instanceRef blk0000078b))
+ )
+ )
+ (net sig00000926
+ (joined
+ (portRef LI (instanceRef blk00000785))
+ (portRef S (instanceRef blk0000078a))
+ (portRef O (instanceRef blk000008b4))
+ )
+ )
+ (net sig00000927
+ (joined
+ (portRef O (instanceRef blk00000785))
+ (portRef D (instanceRef blk000008a4))
+ )
+ )
+ (net sig00000928
+ (joined
+ (portRef CI (instanceRef blk00000786))
+ (portRef CI (instanceRef blk0000078b))
+ (portRef LO (instanceRef blk0000078c))
+ )
+ )
+ (net sig00000929
+ (joined
+ (portRef LI (instanceRef blk00000786))
+ (portRef S (instanceRef blk0000078b))
+ (portRef O (instanceRef blk000008b5))
+ )
+ )
+ (net sig0000092a
+ (joined
+ (portRef O (instanceRef blk00000786))
+ (portRef D (instanceRef blk000008a5))
+ )
+ )
+ (net sig0000092b
+ (joined
+ (portRef CI (instanceRef blk00000787))
+ (portRef CI (instanceRef blk0000078c))
+ (portRef LO (instanceRef blk0000078d))
+ )
+ )
+ (net sig0000092c
+ (joined
+ (portRef LI (instanceRef blk00000787))
+ (portRef S (instanceRef blk0000078c))
+ (portRef O (instanceRef blk000008b6))
+ )
+ )
+ (net sig0000092d
+ (joined
+ (portRef O (instanceRef blk00000787))
+ (portRef D (instanceRef blk000008a6))
+ )
+ )
+ (net sig0000092e
+ (joined
+ (portRef CI (instanceRef blk00000788))
+ (portRef CI (instanceRef blk0000078d))
+ (portRef LO (instanceRef blk0000078e))
+ )
+ )
+ (net sig0000092f
+ (joined
+ (portRef LI (instanceRef blk00000788))
+ (portRef S (instanceRef blk0000078d))
+ (portRef O (instanceRef blk000008b7))
+ )
+ )
+ (net sig00000930
+ (joined
+ (portRef O (instanceRef blk00000788))
+ (portRef D (instanceRef blk000008a7))
+ )
+ )
+ (net sig00000931
+ (joined
+ (portRef DI (instanceRef blk00000789))
+ (portRef I2 (instanceRef blk00000806))
+ (portRef I1 (instanceRef blk00000807))
+ (portRef I1 (instanceRef blk0000082f))
+ (portRef I2 (instanceRef blk0000088e))
+ (portRef I1 (instanceRef blk0000088f))
+ (portRef I1 (instanceRef blk00000890))
+ (portRef I1 (instanceRef blk00000891))
+ (portRef I1 (instanceRef blk00000892))
+ (portRef I1 (instanceRef blk00000893))
+ (portRef Q (instanceRef blk000008a3))
+ (portRef I0 (instanceRef blk000008b3))
+ (portRef I (instanceRef blk000008d6))
+ )
+ )
+ (net sig00000932
+ (joined
+ (portRef DI (instanceRef blk0000078a))
+ (portRef Q (instanceRef blk000008a4))
+ (portRef I0 (instanceRef blk000008b4))
+ )
+ )
+ (net sig00000933
+ (joined
+ (portRef DI (instanceRef blk0000078b))
+ (portRef Q (instanceRef blk000008a5))
+ (portRef I0 (instanceRef blk000008b5))
+ )
+ )
+ (net sig00000934
+ (joined
+ (portRef DI (instanceRef blk0000078c))
+ (portRef Q (instanceRef blk000008a6))
+ (portRef I0 (instanceRef blk000008b6))
+ )
+ )
+ (net sig00000935
+ (joined
+ (portRef DI (instanceRef blk0000078d))
+ (portRef Q (instanceRef blk000008a7))
+ (portRef I0 (instanceRef blk000008b7))
+ )
+ )
+ (net sig00000936
+ (joined
+ (portRef DI (instanceRef blk0000078e))
+ (portRef I0 (instanceRef blk0000082f))
+ (portRef Q (instanceRef blk000008a8))
+ )
+ )
+ (net sig00000937
+ (joined
+ (portRef D (instanceRef blk0000078f))
+ (portRef D (instanceRef blk000008ce))
+ (portRef Q (instanceRef blk00000af1))
+ )
+ )
+ (net sig00000938
+ (joined
+ (portRef D (instanceRef blk00000790))
+ (portRef O (instanceRef blk00000830))
+ )
+ )
+ (net sig00000939
+ (joined
+ (portRef D (instanceRef blk00000791))
+ (portRef O (instanceRef blk00000832))
+ )
+ )
+ (net sig0000093a
+ (joined
+ (portRef D (instanceRef blk00000792))
+ (portRef O (instanceRef blk00000831))
+ )
+ )
+ (net sig0000093b
+ (joined
+ (portRef D (instanceRef blk00000793))
+ (portRef O (instanceRef blk00000833))
+ )
+ )
+ (net sig0000093c
+ (joined
+ (portRef D (instanceRef blk00000794))
+ (portRef O (instanceRef blk00000835))
+ )
+ )
+ (net sig0000093d
+ (joined
+ (portRef D (instanceRef blk00000795))
+ (portRef O (instanceRef blk00000834))
+ )
+ )
+ (net sig0000093e
+ (joined
+ (portRef D (instanceRef blk00000796))
+ (portRef O (instanceRef blk00000836))
+ )
+ )
+ (net sig0000093f
+ (joined
+ (portRef D (instanceRef blk00000797))
+ (portRef O (instanceRef blk00000838))
+ )
+ )
+ (net sig00000940
+ (joined
+ (portRef D (instanceRef blk00000798))
+ (portRef O (instanceRef blk00000837))
+ )
+ )
+ (net sig00000941
+ (joined
+ (portRef D (instanceRef blk00000799))
+ (portRef O (instanceRef blk00000839))
+ )
+ )
+ (net sig00000942
+ (joined
+ (portRef D (instanceRef blk0000079a))
+ (portRef O (instanceRef blk0000083b))
+ )
+ )
+ (net sig00000943
+ (joined
+ (portRef D (instanceRef blk0000079b))
+ (portRef O (instanceRef blk0000083a))
+ )
+ )
+ (net sig00000944
+ (joined
+ (portRef D (instanceRef blk0000079c))
+ (portRef O (instanceRef blk0000083c))
+ )
+ )
+ (net sig00000945
+ (joined
+ (portRef D (instanceRef blk0000079d))
+ (portRef O (instanceRef blk0000083e))
+ )
+ )
+ (net sig00000946
+ (joined
+ (portRef D (instanceRef blk0000079e))
+ (portRef O (instanceRef blk0000083d))
+ )
+ )
+ (net sig00000947
+ (joined
+ (portRef D (instanceRef blk0000079f))
+ (portRef O (instanceRef blk0000083f))
+ )
+ )
+ (net sig00000948
+ (joined
+ (portRef D (instanceRef blk000007a0))
+ (portRef O (instanceRef blk00000841))
+ )
+ )
+ (net sig00000949
+ (joined
+ (portRef D (instanceRef blk000007a1))
+ (portRef O (instanceRef blk00000840))
+ )
+ )
+ (net sig0000094a
+ (joined
+ (portRef D (instanceRef blk000007a2))
+ (portRef O (instanceRef blk00000842))
+ )
+ )
+ (net sig0000094b
+ (joined
+ (portRef D (instanceRef blk000007a3))
+ (portRef O (instanceRef blk00000844))
+ )
+ )
+ (net sig0000094c
+ (joined
+ (portRef D (instanceRef blk000007a4))
+ (portRef O (instanceRef blk00000843))
+ )
+ )
+ (net sig0000094d
+ (joined
+ (portRef D (instanceRef blk000007a5))
+ (portRef O (instanceRef blk00000845))
+ )
+ )
+ (net sig0000094e
+ (joined
+ (portRef D (instanceRef blk000007a6))
+ (portRef O (instanceRef blk00000847))
+ )
+ )
+ (net sig0000094f
+ (joined
+ (portRef D (instanceRef blk000007a7))
+ (portRef O (instanceRef blk00000846))
+ )
+ )
+ (net sig00000950
+ (joined
+ (portRef D (instanceRef blk000007a8))
+ (portRef O (instanceRef blk00000848))
+ )
+ )
+ (net sig00000951
+ (joined
+ (portRef D (instanceRef blk000007a9))
+ (portRef O (instanceRef blk0000084a))
+ )
+ )
+ (net sig00000952
+ (joined
+ (portRef D (instanceRef blk000007aa))
+ (portRef O (instanceRef blk00000849))
+ )
+ )
+ (net sig00000953
+ (joined
+ (portRef D (instanceRef blk000007ab))
+ (portRef O (instanceRef blk0000084b))
+ )
+ )
+ (net sig00000954
+ (joined
+ (portRef D (instanceRef blk000007ac))
+ (portRef O (instanceRef blk0000084d))
+ )
+ )
+ (net sig00000955
+ (joined
+ (portRef D (instanceRef blk000007ad))
+ (portRef O (instanceRef blk0000084c))
+ )
+ )
+ (net sig00000956
+ (joined
+ (portRef D (instanceRef blk000007ae))
+ (portRef O (instanceRef blk0000084e))
+ )
+ )
+ (net sig00000957
+ (joined
+ (portRef D (instanceRef blk000007af))
+ (portRef O (instanceRef blk00000850))
+ )
+ )
+ (net sig00000958
+ (joined
+ (portRef D (instanceRef blk000007b0))
+ (portRef O (instanceRef blk0000084f))
+ )
+ )
+ (net sig00000959
+ (joined
+ (portRef D (instanceRef blk000007b1))
+ (portRef O (instanceRef blk00000851))
+ )
+ )
+ (net sig0000095a
+ (joined
+ (portRef D (instanceRef blk000007b2))
+ (portRef O (instanceRef blk00000853))
+ )
+ )
+ (net sig0000095b
+ (joined
+ (portRef D (instanceRef blk000007b3))
+ (portRef O (instanceRef blk00000852))
+ )
+ )
+ (net sig0000095c
+ (joined
+ (portRef D (instanceRef blk000007b4))
+ (portRef O (instanceRef blk00000854))
+ )
+ )
+ (net sig0000095d
+ (joined
+ (portRef D (instanceRef blk000007b5))
+ (portRef O (instanceRef blk00000856))
+ )
+ )
+ (net sig0000095e
+ (joined
+ (portRef D (instanceRef blk000007b6))
+ (portRef O (instanceRef blk00000855))
+ )
+ )
+ (net sig0000095f
+ (joined
+ (portRef D (instanceRef blk000007b7))
+ (portRef O (instanceRef blk00000857))
+ )
+ )
+ (net sig00000960
+ (joined
+ (portRef D (instanceRef blk000007b8))
+ (portRef O (instanceRef blk00000859))
+ )
+ )
+ (net sig00000961
+ (joined
+ (portRef D (instanceRef blk000007b9))
+ (portRef O (instanceRef blk00000858))
+ )
+ )
+ (net sig00000962
+ (joined
+ (portRef D (instanceRef blk000007ba))
+ (portRef O (instanceRef blk0000085a))
+ )
+ )
+ (net sig00000963
+ (joined
+ (portRef D (instanceRef blk000007bb))
+ (portRef O (instanceRef blk0000085c))
+ )
+ )
+ (net sig00000964
+ (joined
+ (portRef D (instanceRef blk000007bc))
+ (portRef O (instanceRef blk0000085b))
+ )
+ )
+ (net sig00000965
+ (joined
+ (portRef D (instanceRef blk000007bd))
+ (portRef O (instanceRef blk0000085d))
+ )
+ )
+ (net sig00000966
+ (joined
+ (portRef D (instanceRef blk000007be))
+ (portRef O (instanceRef blk0000085f))
+ )
+ )
+ (net sig00000967
+ (joined
+ (portRef D (instanceRef blk000007bf))
+ (portRef O (instanceRef blk0000085e))
+ )
+ )
+ (net sig00000968
+ (joined
+ (portRef D (instanceRef blk000007c0))
+ (portRef O (instanceRef blk00000860))
+ )
+ )
+ (net sig00000969
+ (joined
+ (portRef D (instanceRef blk000007c1))
+ (portRef O (instanceRef blk00000861))
+ )
+ )
+ (net sig0000096a
+ (joined
+ (portRef D (instanceRef blk000007c2))
+ (portRef O (instanceRef blk00000863))
+ )
+ )
+ (net sig0000096b
+ (joined
+ (portRef D (instanceRef blk000007c3))
+ (portRef O (instanceRef blk00000862))
+ )
+ )
+ (net sig0000096c
+ (joined
+ (portRef D (instanceRef blk000007c4))
+ (portRef O (instanceRef blk00000864))
+ )
+ )
+ (net sig0000096d
+ (joined
+ (portRef D (instanceRef blk000007c5))
+ (portRef O (instanceRef blk00000866))
+ )
+ )
+ (net sig0000096e
+ (joined
+ (portRef D (instanceRef blk000007c6))
+ (portRef O (instanceRef blk00000865))
+ )
+ )
+ (net sig0000096f
+ (joined
+ (portRef D (instanceRef blk000007c7))
+ (portRef O (instanceRef blk00000867))
+ )
+ )
+ (net sig00000970
+ (joined
+ (portRef D (instanceRef blk000007c8))
+ (portRef O (instanceRef blk00000869))
+ )
+ )
+ (net sig00000971
+ (joined
+ (portRef D (instanceRef blk000007c9))
+ (portRef O (instanceRef blk00000868))
+ )
+ )
+ (net sig00000972
+ (joined
+ (portRef D (instanceRef blk000007ca))
+ (portRef O (instanceRef blk0000086a))
+ )
+ )
+ (net sig00000973
+ (joined
+ (portRef D (instanceRef blk000007cb))
+ (portRef O (instanceRef blk0000086c))
+ )
+ )
+ (net sig00000974
+ (joined
+ (portRef D (instanceRef blk000007cc))
+ (portRef O (instanceRef blk0000086b))
+ )
+ )
+ (net sig00000975
+ (joined
+ (portRef D (instanceRef blk000007cd))
+ (portRef O (instanceRef blk0000086d))
+ )
+ )
+ (net sig00000976
+ (joined
+ (portRef D (instanceRef blk000007ce))
+ (portRef O (instanceRef blk0000086f))
+ )
+ )
+ (net sig00000977
+ (joined
+ (portRef D (instanceRef blk000007cf))
+ (portRef O (instanceRef blk0000086e))
+ )
+ )
+ (net sig00000978
+ (joined
+ (portRef D (instanceRef blk000007d0))
+ (portRef O (instanceRef blk00000870))
+ )
+ )
+ (net sig00000979
+ (joined
+ (portRef D (instanceRef blk000007d1))
+ (portRef O (instanceRef blk00000872))
+ )
+ )
+ (net sig0000097a
+ (joined
+ (portRef D (instanceRef blk000007d2))
+ (portRef O (instanceRef blk00000871))
+ )
+ )
+ (net sig0000097b
+ (joined
+ (portRef D (instanceRef blk000007d3))
+ (portRef O (instanceRef blk00000873))
+ )
+ )
+ (net sig0000097c
+ (joined
+ (portRef D (instanceRef blk000007d4))
+ (portRef O (instanceRef blk00000875))
+ )
+ )
+ (net sig0000097d
+ (joined
+ (portRef D (instanceRef blk000007d5))
+ (portRef O (instanceRef blk00000874))
+ )
+ )
+ (net sig0000097e
+ (joined
+ (portRef D (instanceRef blk000007d6))
+ (portRef O (instanceRef blk00000876))
+ )
+ )
+ (net sig0000097f
+ (joined
+ (portRef D (instanceRef blk000007d7))
+ (portRef O (instanceRef blk00000878))
+ )
+ )
+ (net sig00000980
+ (joined
+ (portRef D (instanceRef blk000007d8))
+ (portRef O (instanceRef blk00000877))
+ )
+ )
+ (net sig00000981
+ (joined
+ (portRef D (instanceRef blk000007d9))
+ (portRef O (instanceRef blk00000879))
+ )
+ )
+ (net sig00000982
+ (joined
+ (portRef D (instanceRef blk000007da))
+ (portRef O (instanceRef blk0000087b))
+ )
+ )
+ (net sig00000983
+ (joined
+ (portRef D (instanceRef blk000007db))
+ (portRef O (instanceRef blk0000087a))
+ )
+ )
+ (net sig00000984
+ (joined
+ (portRef D (instanceRef blk000007dc))
+ (portRef O (instanceRef blk0000087c))
+ )
+ )
+ (net sig00000985
+ (joined
+ (portRef D (instanceRef blk000007dd))
+ (portRef O (instanceRef blk0000087e))
+ )
+ )
+ (net sig00000986
+ (joined
+ (portRef D (instanceRef blk000007de))
+ (portRef O (instanceRef blk0000087d))
+ )
+ )
+ (net sig00000987
+ (joined
+ (portRef D (instanceRef blk000007df))
+ (portRef O (instanceRef blk0000087f))
+ )
+ )
+ (net sig00000988
+ (joined
+ (portRef D (instanceRef blk000007e0))
+ (portRef O (instanceRef blk00000881))
+ )
+ )
+ (net sig00000989
+ (joined
+ (portRef D (instanceRef blk000007e1))
+ (portRef O (instanceRef blk00000880))
+ )
+ )
+ (net sig0000098a
+ (joined
+ (portRef D (instanceRef blk000007e2))
+ (portRef O (instanceRef blk00000882))
+ )
+ )
+ (net sig0000098b
+ (joined
+ (portRef D (instanceRef blk000007e3))
+ (portRef O (instanceRef blk00000884))
+ )
+ )
+ (net sig0000098c
+ (joined
+ (portRef D (instanceRef blk000007e4))
+ (portRef O (instanceRef blk00000883))
+ )
+ )
+ (net sig0000098d
+ (joined
+ (portRef D (instanceRef blk000007e5))
+ (portRef O (instanceRef blk00000885))
+ )
+ )
+ (net sig0000098e
+ (joined
+ (portRef D (instanceRef blk000007e6))
+ (portRef O (instanceRef blk00000887))
+ )
+ )
+ (net sig0000098f
+ (joined
+ (portRef D (instanceRef blk000007e7))
+ (portRef O (instanceRef blk00000886))
+ )
+ )
+ (net sig00000990
+ (joined
+ (portRef D (instanceRef blk000007e8))
+ (portRef O (instanceRef blk00000888))
+ )
+ )
+ (net sig00000991
+ (joined
+ (portRef D (instanceRef blk000007e9))
+ (portRef O (instanceRef blk0000088a))
+ )
+ )
+ (net sig00000992
+ (joined
+ (portRef D (instanceRef blk000007ea))
+ (portRef O (instanceRef blk00000889))
+ )
+ )
+ (net sig00000993
+ (joined
+ (portRef D (instanceRef blk000007eb))
+ (portRef O (instanceRef blk0000088b))
+ )
+ )
+ (net sig00000994
+ (joined
+ (portRef D (instanceRef blk000007ec))
+ (portRef O (instanceRef blk0000088d))
+ )
+ )
+ (net sig00000995
+ (joined
+ (portRef D (instanceRef blk000007ed))
+ (portRef O (instanceRef blk0000088c))
+ )
+ )
+ (net sig00000996
+ (joined
+ (portRef D (instanceRef blk000007ee))
+ (portRef O (instanceRef blk0000088e))
+ )
+ )
+ (net sig00000997
+ (joined
+ (portRef CI (instanceRef blk000007ef))
+ (portRef CI (instanceRef blk000007f4))
+ (portRef LO (instanceRef blk000007f5))
+ )
+ )
+ (net sig00000998
+ (joined
+ (portRef LI (instanceRef blk000007ef))
+ (portRef S (instanceRef blk000007f4))
+ (portRef O (instanceRef blk00000893))
+ )
+ )
+ (net sig00000999
+ (joined
+ (portRef O (instanceRef blk000007ef))
+ (portRef D (instanceRef blk000008a9))
+ )
+ )
+ (net sig0000099a
+ (joined
+ (portRef CI (instanceRef blk000007f0))
+ (portRef CI (instanceRef blk000007f5))
+ (portRef LO (instanceRef blk000007f6))
+ )
+ )
+ (net sig0000099b
+ (joined
+ (portRef LI (instanceRef blk000007f0))
+ (portRef S (instanceRef blk000007f5))
+ (portRef O (instanceRef blk00000892))
+ )
+ )
+ (net sig0000099c
+ (joined
+ (portRef O (instanceRef blk000007f0))
+ (portRef D (instanceRef blk000008aa))
+ )
+ )
+ (net sig0000099d
+ (joined
+ (portRef CI (instanceRef blk000007f1))
+ (portRef CI (instanceRef blk000007f6))
+ (portRef LO (instanceRef blk000007f7))
+ )
+ )
+ (net sig0000099e
+ (joined
+ (portRef LI (instanceRef blk000007f1))
+ (portRef S (instanceRef blk000007f6))
+ (portRef O (instanceRef blk00000891))
+ )
+ )
+ (net sig0000099f
+ (joined
+ (portRef O (instanceRef blk000007f1))
+ (portRef D (instanceRef blk000008ab))
+ )
+ )
+ (net sig000009a0
+ (joined
+ (portRef CI (instanceRef blk000007f2))
+ (portRef CI (instanceRef blk000007f7))
+ (portRef LO (instanceRef blk000007f8))
+ )
+ )
+ (net sig000009a1
+ (joined
+ (portRef LI (instanceRef blk000007f2))
+ (portRef S (instanceRef blk000007f7))
+ (portRef O (instanceRef blk00000890))
+ )
+ )
+ (net sig000009a2
+ (joined
+ (portRef O (instanceRef blk000007f2))
+ (portRef D (instanceRef blk000008ac))
+ )
+ )
+ (net sig000009a3
+ (joined
+ (portRef CI (instanceRef blk000007f3))
+ (portRef CI (instanceRef blk000007f8))
+ (portRef O (instanceRef blk000007f9))
+ )
+ )
+ (net sig000009a4
+ (joined
+ (portRef LI (instanceRef blk000007f3))
+ (portRef S (instanceRef blk000007f8))
+ (portRef O (instanceRef blk0000088f))
+ )
+ )
+ (net sig000009a5
+ (joined
+ (portRef O (instanceRef blk000007f3))
+ (portRef D (instanceRef blk000008ad))
+ )
+ )
+ (net sig000009a6
+ (joined
+ (portRef DI (instanceRef blk000007f4))
+ (portRef I0 (instanceRef blk00000805))
+ (portRef I0 (instanceRef blk00000893))
+ (portRef Q (instanceRef blk000008a9))
+ )
+ )
+ (net sig000009a7
+ (joined
+ (portRef DI (instanceRef blk000007f5))
+ (portRef I1 (instanceRef blk00000805))
+ (portRef I0 (instanceRef blk00000892))
+ (portRef Q (instanceRef blk000008aa))
+ )
+ )
+ (net sig000009a8
+ (joined
+ (portRef DI (instanceRef blk000007f6))
+ (portRef I2 (instanceRef blk00000805))
+ (portRef I0 (instanceRef blk00000891))
+ (portRef Q (instanceRef blk000008ab))
+ )
+ )
+ (net sig000009a9
+ (joined
+ (portRef DI (instanceRef blk000007f7))
+ (portRef I3 (instanceRef blk00000805))
+ (portRef I0 (instanceRef blk00000890))
+ (portRef Q (instanceRef blk000008ac))
+ )
+ )
+ (net sig000009aa
+ (joined
+ (portRef DI (instanceRef blk000007f8))
+ (portRef I4 (instanceRef blk00000805))
+ (portRef I0 (instanceRef blk0000088f))
+ (portRef Q (instanceRef blk000008ad))
+ )
+ )
+ (net sig000009ab
+ (joined
+ (portRef S (instanceRef blk000007f9))
+ (portRef O (instanceRef blk000008d6))
+ )
+ )
+ (net sig000009ac
+ (joined
+ (portRef I1 (instanceRef blk000007fa))
+ (portRef I1 (instanceRef blk00000801))
+ (portRef I1 (instanceRef blk00000802))
+ (portRef Q (instanceRef blk00000b53))
+ )
+ )
+ (net sig000009ad
+ (joined
+ (portRef I2 (instanceRef blk000007fa))
+ (portRef Q (instanceRef blk00000a2d))
+ )
+ )
+ (net sig000009ae
+ (joined
+ (portRef I0 (instanceRef blk000007fd))
+ (portRef I0 (instanceRef blk000008ae))
+ (portRef Q (instanceRef blk000008b8))
+ (portRef I0 (instanceRef blk000008c0))
+ (portRef I1 (instanceRef blk000008c3))
+ )
+ )
+ (net sig000009af
+ (joined
+ (portRef I1 (instanceRef blk000007fe))
+ (portRef Q (instanceRef blk000008b9))
+ (portRef I0 (instanceRef blk000008c5))
+ )
+ )
+ (net sig000009b0
+ (joined
+ (portRef I2 (instanceRef blk00000807))
+ (portRef Q (instanceRef blk000008ce))
+ )
+ )
+ (net sig000009b1
+ (joined
+ (portRef I1 (instanceRef blk00000816))
+ (portRef Q (instanceRef blk0000089a))
+ (portRef I2 (instanceRef blk000008c4))
+ )
+ )
+ (net sig000009b2
+ (joined
+ (portRef I1 (instanceRef blk00000825))
+ (portRef I1 (instanceRef blk00000827))
+ (portRef Q (instanceRef blk00000903))
+ )
+ )
+ (net sig000009b3
+ (joined
+ (portRef I1 (instanceRef blk00000828))
+ (portRef Q (instanceRef blk000008a1))
+ (portRef I2 (instanceRef blk000008c8))
+ )
+ )
+ (net sig000009b4
+ (joined
+ (portRef O (instanceRef blk0000082a))
+ (portRef CE (instanceRef blk00000a30))
+ (portRef CE (instanceRef blk00000a31))
+ (portRef CE (instanceRef blk00000a32))
+ (portRef CE (instanceRef blk00000a33))
+ (portRef CE (instanceRef blk00000a34))
+ (portRef CE (instanceRef blk00000a35))
+ (portRef CE (instanceRef blk00000a36))
+ (portRef CE (instanceRef blk00000a37))
+ (portRef CE (instanceRef blk00000a38))
+ (portRef CE (instanceRef blk00000a39))
+ (portRef CE (instanceRef blk00000a3a))
+ (portRef CE (instanceRef blk00000a3b))
+ (portRef CE (instanceRef blk00000a3c))
+ (portRef CE (instanceRef blk00000a3d))
+ (portRef CE (instanceRef blk00000a3e))
+ (portRef CE (instanceRef blk00000a3f))
+ (portRef CE (instanceRef blk00000a40))
+ (portRef CE (instanceRef blk00000a41))
+ (portRef CE (instanceRef blk00000a42))
+ (portRef CE (instanceRef blk00000a43))
+ (portRef CE (instanceRef blk00000a44))
+ (portRef CE (instanceRef blk00000a45))
+ (portRef CE (instanceRef blk00000a46))
+ (portRef CE (instanceRef blk00000a47))
+ (portRef CE (instanceRef blk00000a48))
+ (portRef CE (instanceRef blk00000a49))
+ (portRef CE (instanceRef blk00000a4a))
+ (portRef CE (instanceRef blk00000a4b))
+ (portRef CE (instanceRef blk00000a4c))
+ (portRef CE (instanceRef blk00000a4d))
+ (portRef CE (instanceRef blk00000a4e))
+ (portRef CE (instanceRef blk00000a4f))
+ (portRef CE (instanceRef blk00000a50))
+ (portRef CE (instanceRef blk00000a51))
+ (portRef CE (instanceRef blk00000a52))
+ (portRef CE (instanceRef blk00000a53))
+ (portRef CE (instanceRef blk00000a54))
+ (portRef CE (instanceRef blk00000a55))
+ (portRef CE (instanceRef blk00000a56))
+ (portRef CE (instanceRef blk00000a57))
+ (portRef CE (instanceRef blk00000a58))
+ (portRef CE (instanceRef blk00000a59))
+ (portRef CE (instanceRef blk00000a5a))
+ (portRef CE (instanceRef blk00000a5b))
+ (portRef CE (instanceRef blk00000a5c))
+ (portRef CE (instanceRef blk00000a5d))
+ (portRef CE (instanceRef blk00000a5e))
+ (portRef CE (instanceRef blk00000a5f))
+ (portRef CE (instanceRef blk00000a60))
+ (portRef CE (instanceRef blk00000a61))
+ (portRef CE (instanceRef blk00000a62))
+ (portRef CE (instanceRef blk00000a63))
+ (portRef CE (instanceRef blk00000a64))
+ (portRef CE (instanceRef blk00000a65))
+ (portRef CE (instanceRef blk00000a66))
+ (portRef CE (instanceRef blk00000a67))
+ (portRef CE (instanceRef blk00000a68))
+ (portRef CE (instanceRef blk00000a69))
+ (portRef CE (instanceRef blk00000a6a))
+ (portRef CE (instanceRef blk00000a6b))
+ (portRef CE (instanceRef blk00000a6c))
+ (portRef CE (instanceRef blk00000a6d))
+ (portRef CE (instanceRef blk00000a6e))
+ (portRef CE (instanceRef blk00000a6f))
+ (portRef CE (instanceRef blk00000a70))
+ (portRef CE (instanceRef blk00000a71))
+ (portRef CE (instanceRef blk00000a72))
+ (portRef CE (instanceRef blk00000a73))
+ (portRef CE (instanceRef blk00000a74))
+ (portRef CE (instanceRef blk00000a75))
+ (portRef CE (instanceRef blk00000a76))
+ (portRef CE (instanceRef blk00000a77))
+ (portRef CE (instanceRef blk00000a78))
+ (portRef CE (instanceRef blk00000a79))
+ (portRef CE (instanceRef blk00000a7a))
+ (portRef CE (instanceRef blk00000a7b))
+ (portRef CE (instanceRef blk00000a7c))
+ (portRef CE (instanceRef blk00000a7d))
+ (portRef CE (instanceRef blk00000a7e))
+ (portRef CE (instanceRef blk00000a7f))
+ (portRef CE (instanceRef blk00000a80))
+ (portRef CE (instanceRef blk00000a81))
+ (portRef CE (instanceRef blk00000a82))
+ (portRef CE (instanceRef blk00000a83))
+ (portRef CE (instanceRef blk00000a84))
+ (portRef CE (instanceRef blk00000a85))
+ (portRef CE (instanceRef blk00000a86))
+ (portRef CE (instanceRef blk00000a87))
+ (portRef CE (instanceRef blk00000a88))
+ (portRef CE (instanceRef blk00000a89))
+ (portRef CE (instanceRef blk00000a8a))
+ (portRef CE (instanceRef blk00000a8b))
+ (portRef CE (instanceRef blk00000a8c))
+ (portRef CE (instanceRef blk00000a8d))
+ (portRef CE (instanceRef blk00000a8e))
+ (portRef CE (instanceRef blk00000a8f))
+ )
+ )
+ (net sig000009b5
+ (joined
+ (portRef O (instanceRef blk0000082b))
+ (portRef CE (instanceRef blk00000af2))
+ (portRef CE (instanceRef blk00000af3))
+ (portRef CE (instanceRef blk00000af4))
+ (portRef CE (instanceRef blk00000af5))
+ (portRef CE (instanceRef blk00000af6))
+ (portRef CE (instanceRef blk00000af7))
+ (portRef CE (instanceRef blk00000af8))
+ (portRef CE (instanceRef blk00000af9))
+ (portRef CE (instanceRef blk00000afa))
+ (portRef CE (instanceRef blk00000afb))
+ (portRef CE (instanceRef blk00000afc))
+ (portRef CE (instanceRef blk00000afd))
+ (portRef CE (instanceRef blk00000afe))
+ (portRef CE (instanceRef blk00000aff))
+ (portRef CE (instanceRef blk00000b00))
+ (portRef CE (instanceRef blk00000b01))
+ (portRef CE (instanceRef blk00000b02))
+ (portRef CE (instanceRef blk00000b03))
+ (portRef CE (instanceRef blk00000b04))
+ (portRef CE (instanceRef blk00000b05))
+ (portRef CE (instanceRef blk00000b06))
+ (portRef CE (instanceRef blk00000b07))
+ (portRef CE (instanceRef blk00000b08))
+ (portRef CE (instanceRef blk00000b09))
+ (portRef CE (instanceRef blk00000b0a))
+ (portRef CE (instanceRef blk00000b0b))
+ (portRef CE (instanceRef blk00000b0c))
+ (portRef CE (instanceRef blk00000b0d))
+ (portRef CE (instanceRef blk00000b0e))
+ (portRef CE (instanceRef blk00000b0f))
+ (portRef CE (instanceRef blk00000b10))
+ (portRef CE (instanceRef blk00000b11))
+ (portRef CE (instanceRef blk00000b12))
+ (portRef CE (instanceRef blk00000b13))
+ (portRef CE (instanceRef blk00000b14))
+ (portRef CE (instanceRef blk00000b15))
+ (portRef CE (instanceRef blk00000b16))
+ (portRef CE (instanceRef blk00000b17))
+ (portRef CE (instanceRef blk00000b18))
+ (portRef CE (instanceRef blk00000b19))
+ (portRef CE (instanceRef blk00000b1a))
+ (portRef CE (instanceRef blk00000b1b))
+ (portRef CE (instanceRef blk00000b1c))
+ (portRef CE (instanceRef blk00000b1d))
+ (portRef CE (instanceRef blk00000b1e))
+ (portRef CE (instanceRef blk00000b1f))
+ (portRef CE (instanceRef blk00000b20))
+ (portRef CE (instanceRef blk00000b21))
+ (portRef CE (instanceRef blk00000b22))
+ (portRef CE (instanceRef blk00000b23))
+ (portRef CE (instanceRef blk00000b24))
+ (portRef CE (instanceRef blk00000b25))
+ (portRef CE (instanceRef blk00000b26))
+ (portRef CE (instanceRef blk00000b27))
+ (portRef CE (instanceRef blk00000b28))
+ (portRef CE (instanceRef blk00000b29))
+ (portRef CE (instanceRef blk00000b2a))
+ (portRef CE (instanceRef blk00000b2b))
+ (portRef CE (instanceRef blk00000b2c))
+ (portRef CE (instanceRef blk00000b2d))
+ (portRef CE (instanceRef blk00000b2e))
+ (portRef CE (instanceRef blk00000b2f))
+ (portRef CE (instanceRef blk00000b30))
+ (portRef CE (instanceRef blk00000b31))
+ (portRef CE (instanceRef blk00000b32))
+ (portRef CE (instanceRef blk00000b33))
+ (portRef CE (instanceRef blk00000b34))
+ (portRef CE (instanceRef blk00000b35))
+ (portRef CE (instanceRef blk00000b36))
+ (portRef CE (instanceRef blk00000b37))
+ (portRef CE (instanceRef blk00000b38))
+ (portRef CE (instanceRef blk00000b39))
+ (portRef CE (instanceRef blk00000b3a))
+ (portRef CE (instanceRef blk00000b3b))
+ (portRef CE (instanceRef blk00000b3c))
+ (portRef CE (instanceRef blk00000b3d))
+ (portRef CE (instanceRef blk00000b3e))
+ (portRef CE (instanceRef blk00000b3f))
+ (portRef CE (instanceRef blk00000b40))
+ (portRef CE (instanceRef blk00000b41))
+ (portRef CE (instanceRef blk00000b42))
+ (portRef CE (instanceRef blk00000b43))
+ (portRef CE (instanceRef blk00000b44))
+ (portRef CE (instanceRef blk00000b45))
+ (portRef CE (instanceRef blk00000b46))
+ (portRef CE (instanceRef blk00000b47))
+ (portRef CE (instanceRef blk00000b48))
+ (portRef CE (instanceRef blk00000b49))
+ (portRef CE (instanceRef blk00000b4a))
+ (portRef CE (instanceRef blk00000b4b))
+ (portRef CE (instanceRef blk00000b4c))
+ (portRef CE (instanceRef blk00000b4d))
+ (portRef CE (instanceRef blk00000b4e))
+ (portRef CE (instanceRef blk00000b4f))
+ (portRef CE (instanceRef blk00000b50))
+ (portRef CE (instanceRef blk00000b51))
+ )
+ )
+ (net sig000009b6
+ (joined
+ (portRef O (instanceRef blk0000082c))
+ (portRef CE (instanceRef blk00000b54))
+ (portRef CE (instanceRef blk00000b55))
+ (portRef CE (instanceRef blk00000b56))
+ (portRef CE (instanceRef blk00000b57))
+ (portRef CE (instanceRef blk00000b58))
+ (portRef CE (instanceRef blk00000b59))
+ (portRef CE (instanceRef blk00000b5a))
+ (portRef CE (instanceRef blk00000b5b))
+ (portRef CE (instanceRef blk00000b5c))
+ (portRef CE (instanceRef blk00000b5d))
+ (portRef CE (instanceRef blk00000b5e))
+ (portRef CE (instanceRef blk00000b5f))
+ (portRef CE (instanceRef blk00000b60))
+ (portRef CE (instanceRef blk00000b61))
+ (portRef CE (instanceRef blk00000b62))
+ (portRef CE (instanceRef blk00000b63))
+ (portRef CE (instanceRef blk00000b64))
+ (portRef CE (instanceRef blk00000b65))
+ (portRef CE (instanceRef blk00000b66))
+ (portRef CE (instanceRef blk00000b67))
+ (portRef CE (instanceRef blk00000b68))
+ (portRef CE (instanceRef blk00000b69))
+ (portRef CE (instanceRef blk00000b6a))
+ (portRef CE (instanceRef blk00000b6b))
+ (portRef CE (instanceRef blk00000b6c))
+ (portRef CE (instanceRef blk00000b6d))
+ (portRef CE (instanceRef blk00000b6e))
+ (portRef CE (instanceRef blk00000b6f))
+ (portRef CE (instanceRef blk00000b70))
+ (portRef CE (instanceRef blk00000b71))
+ (portRef CE (instanceRef blk00000b72))
+ (portRef CE (instanceRef blk00000b73))
+ (portRef CE (instanceRef blk00000b74))
+ (portRef CE (instanceRef blk00000b75))
+ (portRef CE (instanceRef blk00000b76))
+ (portRef CE (instanceRef blk00000b77))
+ (portRef CE (instanceRef blk00000b78))
+ (portRef CE (instanceRef blk00000b79))
+ (portRef CE (instanceRef blk00000b7a))
+ (portRef CE (instanceRef blk00000b7b))
+ (portRef CE (instanceRef blk00000b7c))
+ (portRef CE (instanceRef blk00000b7d))
+ (portRef CE (instanceRef blk00000b7e))
+ (portRef CE (instanceRef blk00000b7f))
+ (portRef CE (instanceRef blk00000b80))
+ (portRef CE (instanceRef blk00000b81))
+ (portRef CE (instanceRef blk00000b82))
+ (portRef CE (instanceRef blk00000b83))
+ (portRef CE (instanceRef blk00000b84))
+ (portRef CE (instanceRef blk00000b85))
+ (portRef CE (instanceRef blk00000b86))
+ (portRef CE (instanceRef blk00000b87))
+ (portRef CE (instanceRef blk00000b88))
+ (portRef CE (instanceRef blk00000b89))
+ (portRef CE (instanceRef blk00000b8a))
+ (portRef CE (instanceRef blk00000b8b))
+ (portRef CE (instanceRef blk00000b8c))
+ (portRef CE (instanceRef blk00000b8d))
+ (portRef CE (instanceRef blk00000b8e))
+ (portRef CE (instanceRef blk00000b8f))
+ (portRef CE (instanceRef blk00000b90))
+ (portRef CE (instanceRef blk00000b91))
+ (portRef CE (instanceRef blk00000b92))
+ (portRef CE (instanceRef blk00000b93))
+ (portRef CE (instanceRef blk00000b94))
+ (portRef CE (instanceRef blk00000b95))
+ (portRef CE (instanceRef blk00000b96))
+ (portRef CE (instanceRef blk00000b97))
+ (portRef CE (instanceRef blk00000b98))
+ (portRef CE (instanceRef blk00000b99))
+ (portRef CE (instanceRef blk00000b9a))
+ (portRef CE (instanceRef blk00000b9b))
+ (portRef CE (instanceRef blk00000b9c))
+ (portRef CE (instanceRef blk00000b9d))
+ (portRef CE (instanceRef blk00000b9e))
+ (portRef CE (instanceRef blk00000b9f))
+ (portRef CE (instanceRef blk00000ba0))
+ (portRef CE (instanceRef blk00000ba1))
+ (portRef CE (instanceRef blk00000ba2))
+ (portRef CE (instanceRef blk00000ba3))
+ (portRef CE (instanceRef blk00000ba4))
+ (portRef CE (instanceRef blk00000ba5))
+ (portRef CE (instanceRef blk00000ba6))
+ (portRef CE (instanceRef blk00000ba7))
+ (portRef CE (instanceRef blk00000ba8))
+ (portRef CE (instanceRef blk00000ba9))
+ (portRef CE (instanceRef blk00000baa))
+ (portRef CE (instanceRef blk00000bab))
+ (portRef CE (instanceRef blk00000bac))
+ (portRef CE (instanceRef blk00000bad))
+ (portRef CE (instanceRef blk00000bae))
+ (portRef CE (instanceRef blk00000baf))
+ (portRef CE (instanceRef blk00000bb0))
+ (portRef CE (instanceRef blk00000bb1))
+ (portRef CE (instanceRef blk00000bb2))
+ (portRef CE (instanceRef blk00000bb3))
+ )
+ )
+ (net sig000009b7
+ (joined
+ (portRef O (instanceRef blk0000082d))
+ (portRef CE (instanceRef blk00000bb4))
+ (portRef CE (instanceRef blk00000bb5))
+ (portRef CE (instanceRef blk00000bb6))
+ (portRef CE (instanceRef blk00000bb7))
+ (portRef CE (instanceRef blk00000bb8))
+ (portRef CE (instanceRef blk00000bb9))
+ (portRef CE (instanceRef blk00000bba))
+ (portRef CE (instanceRef blk00000bbb))
+ (portRef CE (instanceRef blk00000bbc))
+ (portRef CE (instanceRef blk00000bbd))
+ (portRef CE (instanceRef blk00000bbe))
+ (portRef CE (instanceRef blk00000bbf))
+ (portRef CE (instanceRef blk00000bc0))
+ (portRef CE (instanceRef blk00000bc1))
+ (portRef CE (instanceRef blk00000bc2))
+ (portRef CE (instanceRef blk00000bc3))
+ (portRef CE (instanceRef blk00000bc4))
+ (portRef CE (instanceRef blk00000bc5))
+ (portRef CE (instanceRef blk00000bc6))
+ (portRef CE (instanceRef blk00000bc7))
+ (portRef CE (instanceRef blk00000bc8))
+ (portRef CE (instanceRef blk00000bc9))
+ (portRef CE (instanceRef blk00000bca))
+ (portRef CE (instanceRef blk00000bcb))
+ (portRef CE (instanceRef blk00000bcc))
+ (portRef CE (instanceRef blk00000bcd))
+ (portRef CE (instanceRef blk00000bce))
+ (portRef CE (instanceRef blk00000bcf))
+ (portRef CE (instanceRef blk00000bd0))
+ (portRef CE (instanceRef blk00000bd1))
+ (portRef CE (instanceRef blk00000bd2))
+ (portRef CE (instanceRef blk00000bd3))
+ (portRef CE (instanceRef blk00000bd4))
+ (portRef CE (instanceRef blk00000bd5))
+ (portRef CE (instanceRef blk00000bd6))
+ (portRef CE (instanceRef blk00000bd7))
+ (portRef CE (instanceRef blk00000bd8))
+ (portRef CE (instanceRef blk00000bd9))
+ (portRef CE (instanceRef blk00000bda))
+ (portRef CE (instanceRef blk00000bdb))
+ (portRef CE (instanceRef blk00000bdc))
+ (portRef CE (instanceRef blk00000bdd))
+ (portRef CE (instanceRef blk00000bde))
+ (portRef CE (instanceRef blk00000bdf))
+ (portRef CE (instanceRef blk00000be0))
+ (portRef CE (instanceRef blk00000be1))
+ (portRef CE (instanceRef blk00000be2))
+ (portRef CE (instanceRef blk00000be3))
+ (portRef CE (instanceRef blk00000be4))
+ (portRef CE (instanceRef blk00000be5))
+ (portRef CE (instanceRef blk00000be6))
+ (portRef CE (instanceRef blk00000be7))
+ (portRef CE (instanceRef blk00000be8))
+ (portRef CE (instanceRef blk00000be9))
+ (portRef CE (instanceRef blk00000bea))
+ (portRef CE (instanceRef blk00000beb))
+ (portRef CE (instanceRef blk00000bec))
+ (portRef CE (instanceRef blk00000bed))
+ (portRef CE (instanceRef blk00000bee))
+ (portRef CE (instanceRef blk00000bef))
+ (portRef CE (instanceRef blk00000bf0))
+ (portRef CE (instanceRef blk00000bf1))
+ (portRef CE (instanceRef blk00000bf2))
+ (portRef CE (instanceRef blk00000bf3))
+ (portRef CE (instanceRef blk00000bf4))
+ (portRef CE (instanceRef blk00000bf5))
+ (portRef CE (instanceRef blk00000bf6))
+ (portRef CE (instanceRef blk00000bf7))
+ (portRef CE (instanceRef blk00000bf8))
+ (portRef CE (instanceRef blk00000bf9))
+ (portRef CE (instanceRef blk00000bfa))
+ (portRef CE (instanceRef blk00000bfb))
+ (portRef CE (instanceRef blk00000bfc))
+ (portRef CE (instanceRef blk00000bfd))
+ (portRef CE (instanceRef blk00000bfe))
+ (portRef CE (instanceRef blk00000bff))
+ (portRef CE (instanceRef blk00000c00))
+ (portRef CE (instanceRef blk00000c01))
+ (portRef CE (instanceRef blk00000c02))
+ (portRef CE (instanceRef blk00000c03))
+ (portRef CE (instanceRef blk00000c04))
+ (portRef CE (instanceRef blk00000c05))
+ (portRef CE (instanceRef blk00000c06))
+ (portRef CE (instanceRef blk00000c07))
+ (portRef CE (instanceRef blk00000c08))
+ (portRef CE (instanceRef blk00000c09))
+ (portRef CE (instanceRef blk00000c0a))
+ (portRef CE (instanceRef blk00000c0b))
+ (portRef CE (instanceRef blk00000c0c))
+ (portRef CE (instanceRef blk00000c0d))
+ (portRef CE (instanceRef blk00000c0e))
+ (portRef CE (instanceRef blk00000c0f))
+ (portRef CE (instanceRef blk00000c10))
+ (portRef CE (instanceRef blk00000c11))
+ (portRef CE (instanceRef blk00000c12))
+ (portRef CE (instanceRef blk00000c13))
+ )
+ )
+ (net sig000009b8
+ (joined
+ (portRef O (instanceRef blk0000082e))
+ (portRef CE (instanceRef blk000009cc))
+ (portRef CE (instanceRef blk000009cd))
+ (portRef CE (instanceRef blk000009ce))
+ (portRef CE (instanceRef blk000009cf))
+ (portRef CE (instanceRef blk000009d0))
+ (portRef CE (instanceRef blk000009d1))
+ (portRef CE (instanceRef blk000009d2))
+ (portRef CE (instanceRef blk000009d3))
+ (portRef CE (instanceRef blk000009d4))
+ (portRef CE (instanceRef blk000009d5))
+ (portRef CE (instanceRef blk000009d6))
+ (portRef CE (instanceRef blk000009d7))
+ (portRef CE (instanceRef blk000009d8))
+ (portRef CE (instanceRef blk000009d9))
+ (portRef CE (instanceRef blk000009da))
+ (portRef CE (instanceRef blk000009db))
+ (portRef CE (instanceRef blk000009dc))
+ (portRef CE (instanceRef blk000009dd))
+ (portRef CE (instanceRef blk000009de))
+ (portRef CE (instanceRef blk000009df))
+ (portRef CE (instanceRef blk000009e0))
+ (portRef CE (instanceRef blk000009e1))
+ (portRef CE (instanceRef blk000009e2))
+ (portRef CE (instanceRef blk000009e3))
+ (portRef CE (instanceRef blk000009e4))
+ (portRef CE (instanceRef blk000009e5))
+ (portRef CE (instanceRef blk000009e6))
+ (portRef CE (instanceRef blk000009e7))
+ (portRef CE (instanceRef blk000009e8))
+ (portRef CE (instanceRef blk000009e9))
+ (portRef CE (instanceRef blk000009ea))
+ (portRef CE (instanceRef blk000009eb))
+ (portRef CE (instanceRef blk000009ec))
+ (portRef CE (instanceRef blk000009ed))
+ (portRef CE (instanceRef blk000009ee))
+ (portRef CE (instanceRef blk000009ef))
+ (portRef CE (instanceRef blk000009f0))
+ (portRef CE (instanceRef blk000009f1))
+ (portRef CE (instanceRef blk000009f2))
+ (portRef CE (instanceRef blk000009f3))
+ (portRef CE (instanceRef blk000009f4))
+ (portRef CE (instanceRef blk000009f5))
+ (portRef CE (instanceRef blk000009f6))
+ (portRef CE (instanceRef blk000009f7))
+ (portRef CE (instanceRef blk000009f8))
+ (portRef CE (instanceRef blk000009f9))
+ (portRef CE (instanceRef blk000009fa))
+ (portRef CE (instanceRef blk000009fb))
+ (portRef CE (instanceRef blk000009fc))
+ (portRef CE (instanceRef blk000009fd))
+ (portRef CE (instanceRef blk000009fe))
+ (portRef CE (instanceRef blk000009ff))
+ (portRef CE (instanceRef blk00000a00))
+ (portRef CE (instanceRef blk00000a01))
+ (portRef CE (instanceRef blk00000a02))
+ (portRef CE (instanceRef blk00000a03))
+ (portRef CE (instanceRef blk00000a04))
+ (portRef CE (instanceRef blk00000a05))
+ (portRef CE (instanceRef blk00000a06))
+ (portRef CE (instanceRef blk00000a07))
+ (portRef CE (instanceRef blk00000a08))
+ (portRef CE (instanceRef blk00000a09))
+ (portRef CE (instanceRef blk00000a0a))
+ (portRef CE (instanceRef blk00000a0b))
+ (portRef CE (instanceRef blk00000a0c))
+ (portRef CE (instanceRef blk00000a0d))
+ (portRef CE (instanceRef blk00000a0e))
+ (portRef CE (instanceRef blk00000a0f))
+ (portRef CE (instanceRef blk00000a10))
+ (portRef CE (instanceRef blk00000a11))
+ (portRef CE (instanceRef blk00000a12))
+ (portRef CE (instanceRef blk00000a13))
+ (portRef CE (instanceRef blk00000a14))
+ (portRef CE (instanceRef blk00000a15))
+ (portRef CE (instanceRef blk00000a16))
+ (portRef CE (instanceRef blk00000a17))
+ (portRef CE (instanceRef blk00000a18))
+ (portRef CE (instanceRef blk00000a19))
+ (portRef CE (instanceRef blk00000a1a))
+ (portRef CE (instanceRef blk00000a1b))
+ (portRef CE (instanceRef blk00000a1c))
+ (portRef CE (instanceRef blk00000a1d))
+ (portRef CE (instanceRef blk00000a1e))
+ (portRef CE (instanceRef blk00000a1f))
+ (portRef CE (instanceRef blk00000a20))
+ (portRef CE (instanceRef blk00000a21))
+ (portRef CE (instanceRef blk00000a22))
+ (portRef CE (instanceRef blk00000a23))
+ (portRef CE (instanceRef blk00000a24))
+ (portRef CE (instanceRef blk00000a25))
+ (portRef CE (instanceRef blk00000a26))
+ (portRef CE (instanceRef blk00000a27))
+ (portRef CE (instanceRef blk00000a28))
+ (portRef CE (instanceRef blk00000a29))
+ (portRef CE (instanceRef blk00000a2a))
+ (portRef CE (instanceRef blk00000a2b))
+ )
+ )
+ (net sig000009b9
+ (joined
+ (portRef D (instanceRef blk0000089a))
+ (portRef O (instanceRef blk000008c4))
+ )
+ )
+ (net sig000009ba
+ (joined
+ (portRef D (instanceRef blk000008a1))
+ (portRef O (instanceRef blk000008c8))
+ )
+ )
+ (net sig000009bb
+ (joined
+ (portRef D (instanceRef blk000008b8))
+ (portRef O (instanceRef blk000008c0))
+ )
+ )
+ (net sig000009bc
+ (joined
+ (portRef D (instanceRef blk000008b9))
+ (portRef O (instanceRef blk000008c5))
+ )
+ )
+ (net sig000009bd
+ (joined
+ (portRef D (instanceRef blk000008ba))
+ (portRef O (instanceRef blk000008c1))
+ )
+ )
+ (net sig000009be
+ (joined
+ (portRef Q (instanceRef blk000008ba))
+ (portRef I1 (instanceRef blk000008c1))
+ (portRef I2 (instanceRef blk000008c2))
+ )
+ )
+ (net sig000009bf
+ (joined
+ (portRef D (instanceRef blk000008bb))
+ (portRef O (instanceRef blk000008c2))
+ )
+ )
+ (net sig000009c0
+ (joined
+ (portRef D (instanceRef blk000008bc))
+ (portRef O (instanceRef blk000008c3))
+ )
+ )
+ (net sig000009c1
+ (joined
+ (portRef D (instanceRef blk000008bd))
+ (portRef O (instanceRef blk000008c6))
+ )
+ )
+ (net sig000009c2
+ (joined
+ (portRef D (instanceRef blk000008be))
+ (portRef O (instanceRef blk000008c7))
+ )
+ )
+ (net sig000009c3
+ (joined
+ (portRef Q (instanceRef blk000008dc))
+ (portRef D (instanceRef blk000008dd))
+ )
+ )
+ (net sig000009c4
+ (joined
+ (portRef Q (instanceRef blk000008de))
+ (portRef D (instanceRef blk000008df))
+ )
+ )
+ (net sig000009c5
+ (joined
+ (portRef Q (instanceRef blk000008e0))
+ (portRef D (instanceRef blk000008e1))
+ )
+ )
+ (net sig000009c6
+ (joined
+ (portRef Q (instanceRef blk000008e2))
+ (portRef D (instanceRef blk000008e3))
+ )
+ )
+ (net sig000009c7
+ (joined
+ (portRef Q (instanceRef blk000008e4))
+ (portRef D (instanceRef blk000008e5))
+ )
+ )
+ (net sig000009c8
+ (joined
+ (portRef Q (instanceRef blk000008e6))
+ (portRef D (instanceRef blk000008e7))
+ )
+ )
+ (net sig000009c9
+ (joined
+ (portRef Q (instanceRef blk000008e8))
+ (portRef D (instanceRef blk000008e9))
+ )
+ )
+ (net sig000009ca
+ (joined
+ (portRef Q (instanceRef blk000008ea))
+ (portRef D (instanceRef blk000008eb))
+ )
+ )
+ (net sig000009cb
+ (joined
+ (portRef Q (instanceRef blk000008ec))
+ (portRef D (instanceRef blk000008ed))
+ )
+ )
+ (net sig000009cc
+ (joined
+ (portRef Q (instanceRef blk000008ee))
+ (portRef D (instanceRef blk000008ef))
+ )
+ )
+ (net sig000009cd
+ (joined
+ (portRef Q (instanceRef blk000008f0))
+ (portRef D (instanceRef blk000008f1))
+ )
+ )
+ (net sig000009ce
+ (joined
+ (portRef Q (instanceRef blk000008f2))
+ (portRef D (instanceRef blk000008f3))
+ )
+ )
+ (net sig000009cf
+ (joined
+ (portRef Q (instanceRef blk000008f4))
+ (portRef D (instanceRef blk000008f5))
+ )
+ )
+ (net sig000009d0
+ (joined
+ (portRef Q (instanceRef blk000008f6))
+ (portRef D (instanceRef blk000008f7))
+ )
+ )
+ (net sig000009d1
+ (joined
+ (portRef Q (instanceRef blk000008f8))
+ (portRef D (instanceRef blk000008f9))
+ )
+ )
+ (net sig000009d2
+ (joined
+ (portRef Q (instanceRef blk000008fa))
+ (portRef D (instanceRef blk000008fb))
+ )
+ )
+ (net sig000009d3
+ (joined
+ (portRef Q (instanceRef blk000008fc))
+ (portRef D (instanceRef blk000008fd))
+ )
+ )
+ (net sig000009d4
+ (joined
+ (portRef Q (instanceRef blk000008fe))
+ (portRef D (instanceRef blk000008ff))
+ )
+ )
+ (net sig000009d5
+ (joined
+ (portRef Q (instanceRef blk00000900))
+ (portRef D (instanceRef blk00000901))
+ )
+ )
+ (net sig000009d6
+ (joined
+ (portRef Q (instanceRef blk00000902))
+ (portRef D (instanceRef blk00000903))
+ )
+ )
+ (net sig000009d7
+ (joined
+ (portRef Q (instanceRef blk00000904))
+ (portRef D (instanceRef blk00000905))
+ )
+ )
+ (net sig000009d8
+ (joined
+ (portRef Q (instanceRef blk00000906))
+ (portRef D (instanceRef blk00000907))
+ )
+ )
+ (net sig000009d9
+ (joined
+ (portRef Q (instanceRef blk00000908))
+ (portRef D (instanceRef blk00000909))
+ )
+ )
+ (net sig000009da
+ (joined
+ (portRef Q (instanceRef blk0000090a))
+ (portRef D (instanceRef blk0000090b))
+ )
+ )
+ (net sig000009db
+ (joined
+ (portRef Q (instanceRef blk0000090c))
+ (portRef D (instanceRef blk0000090d))
+ )
+ )
+ (net sig000009dc
+ (joined
+ (portRef Q (instanceRef blk0000090e))
+ (portRef D (instanceRef blk0000090f))
+ )
+ )
+ (net sig000009dd
+ (joined
+ (portRef Q (instanceRef blk00000910))
+ (portRef D (instanceRef blk00000911))
+ )
+ )
+ (net sig000009de
+ (joined
+ (portRef Q (instanceRef blk00000912))
+ (portRef D (instanceRef blk00000913))
+ )
+ )
+ (net sig000009df
+ (joined
+ (portRef Q (instanceRef blk00000914))
+ (portRef D (instanceRef blk00000915))
+ )
+ )
+ (net sig000009e0
+ (joined
+ (portRef Q (instanceRef blk00000916))
+ (portRef D (instanceRef blk00000917))
+ )
+ )
+ (net sig000009e1
+ (joined
+ (portRef Q (instanceRef blk00000918))
+ (portRef D (instanceRef blk00000919))
+ )
+ )
+ (net sig000009e2
+ (joined
+ (portRef Q (instanceRef blk0000091a))
+ (portRef D (instanceRef blk0000091b))
+ )
+ )
+ (net sig000009e3
+ (joined
+ (portRef Q (instanceRef blk0000091c))
+ (portRef D (instanceRef blk0000091d))
+ )
+ )
+ (net sig000009e4
+ (joined
+ (portRef Q (instanceRef blk0000091e))
+ (portRef D (instanceRef blk0000091f))
+ )
+ )
+ (net sig000009e5
+ (joined
+ (portRef Q (instanceRef blk00000920))
+ (portRef D (instanceRef blk00000921))
+ )
+ )
+ (net sig000009e6
+ (joined
+ (portRef Q (instanceRef blk00000922))
+ (portRef D (instanceRef blk00000923))
+ )
+ )
+ (net sig000009e7
+ (joined
+ (portRef Q (instanceRef blk00000924))
+ (portRef D (instanceRef blk00000925))
+ )
+ )
+ (net sig000009e8
+ (joined
+ (portRef Q (instanceRef blk00000926))
+ (portRef D (instanceRef blk00000927))
+ )
+ )
+ (net sig000009e9
+ (joined
+ (portRef Q (instanceRef blk00000928))
+ (portRef D (instanceRef blk00000929))
+ )
+ )
+ (net sig000009ea
+ (joined
+ (portRef Q (instanceRef blk0000092a))
+ (portRef D (instanceRef blk0000092b))
+ )
+ )
+ (net sig000009eb
+ (joined
+ (portRef Q (instanceRef blk0000092c))
+ (portRef D (instanceRef blk0000092d))
+ )
+ )
+ (net sig000009ec
+ (joined
+ (portRef Q (instanceRef blk0000092e))
+ (portRef D (instanceRef blk0000092f))
+ )
+ )
+ (net sig000009ed
+ (joined
+ (portRef Q (instanceRef blk00000930))
+ (portRef D (instanceRef blk00000931))
+ )
+ )
+ (net sig000009ee
+ (joined
+ (portRef Q (instanceRef blk00000932))
+ (portRef D (instanceRef blk00000933))
+ )
+ )
+ (net sig000009ef
+ (joined
+ (portRef Q (instanceRef blk00000934))
+ (portRef D (instanceRef blk00000935))
+ )
+ )
+ (net sig000009f0
+ (joined
+ (portRef Q (instanceRef blk00000936))
+ (portRef D (instanceRef blk00000937))
+ )
+ )
+ (net sig000009f1
+ (joined
+ (portRef Q (instanceRef blk00000938))
+ (portRef D (instanceRef blk00000939))
+ )
+ )
+ (net sig000009f2
+ (joined
+ (portRef Q (instanceRef blk0000093a))
+ (portRef D (instanceRef blk0000093b))
+ )
+ )
+ (net sig000009f3
+ (joined
+ (portRef Q (instanceRef blk0000093c))
+ (portRef D (instanceRef blk0000093d))
+ )
+ )
+ (net sig000009f4
+ (joined
+ (portRef Q (instanceRef blk0000093e))
+ (portRef D (instanceRef blk0000093f))
+ )
+ )
+ (net sig000009f5
+ (joined
+ (portRef Q (instanceRef blk00000940))
+ (portRef D (instanceRef blk00000941))
+ )
+ )
+ (net sig000009f6
+ (joined
+ (portRef Q (instanceRef blk00000942))
+ (portRef D (instanceRef blk00000943))
+ )
+ )
+ (net sig000009f7
+ (joined
+ (portRef Q (instanceRef blk00000944))
+ (portRef D (instanceRef blk00000945))
+ )
+ )
+ (net sig000009f8
+ (joined
+ (portRef Q (instanceRef blk00000946))
+ (portRef D (instanceRef blk00000947))
+ )
+ )
+ (net sig000009f9
+ (joined
+ (portRef Q (instanceRef blk00000948))
+ (portRef D (instanceRef blk00000949))
+ )
+ )
+ (net sig000009fa
+ (joined
+ (portRef Q (instanceRef blk0000094a))
+ (portRef D (instanceRef blk0000094b))
+ )
+ )
+ (net sig000009fb
+ (joined
+ (portRef Q (instanceRef blk0000094c))
+ (portRef D (instanceRef blk0000094d))
+ )
+ )
+ (net sig000009fc
+ (joined
+ (portRef Q (instanceRef blk0000094e))
+ (portRef D (instanceRef blk0000094f))
+ )
+ )
+ (net sig000009fd
+ (joined
+ (portRef Q (instanceRef blk00000950))
+ (portRef D (instanceRef blk00000951))
+ )
+ )
+ (net sig000009fe
+ (joined
+ (portRef Q (instanceRef blk00000952))
+ (portRef D (instanceRef blk00000953))
+ )
+ )
+ (net sig000009ff
+ (joined
+ (portRef Q (instanceRef blk00000954))
+ (portRef D (instanceRef blk00000955))
+ )
+ )
+ (net sig00000a00
+ (joined
+ (portRef Q (instanceRef blk00000956))
+ (portRef D (instanceRef blk00000957))
+ )
+ )
+ (net sig00000a01
+ (joined
+ (portRef Q (instanceRef blk00000958))
+ (portRef D (instanceRef blk00000959))
+ )
+ )
+ (net sig00000a02
+ (joined
+ (portRef Q (instanceRef blk0000095a))
+ (portRef D (instanceRef blk0000095b))
+ )
+ )
+ (net sig00000a03
+ (joined
+ (portRef Q (instanceRef blk0000095c))
+ (portRef D (instanceRef blk0000095d))
+ )
+ )
+ (net sig00000a04
+ (joined
+ (portRef Q (instanceRef blk0000095e))
+ (portRef D (instanceRef blk0000095f))
+ )
+ )
+ (net sig00000a05
+ (joined
+ (portRef Q (instanceRef blk00000960))
+ (portRef D (instanceRef blk00000961))
+ )
+ )
+ (net sig00000a06
+ (joined
+ (portRef Q (instanceRef blk00000962))
+ (portRef D (instanceRef blk00000963))
+ )
+ )
+ (net sig00000a07
+ (joined
+ (portRef Q (instanceRef blk00000964))
+ (portRef D (instanceRef blk00000965))
+ )
+ )
+ (net sig00000a08
+ (joined
+ (portRef Q (instanceRef blk00000966))
+ (portRef D (instanceRef blk00000967))
+ )
+ )
+ (net sig00000a09
+ (joined
+ (portRef Q (instanceRef blk00000968))
+ (portRef D (instanceRef blk00000969))
+ )
+ )
+ (net sig00000a0a
+ (joined
+ (portRef Q (instanceRef blk0000096a))
+ (portRef D (instanceRef blk0000096b))
+ )
+ )
+ (net sig00000a0b
+ (joined
+ (portRef Q (instanceRef blk0000096c))
+ (portRef D (instanceRef blk0000096d))
+ )
+ )
+ (net sig00000a0c
+ (joined
+ (portRef Q (instanceRef blk0000096e))
+ (portRef D (instanceRef blk0000096f))
+ )
+ )
+ (net sig00000a0d
+ (joined
+ (portRef Q (instanceRef blk00000970))
+ (portRef D (instanceRef blk00000971))
+ )
+ )
+ (net sig00000a0e
+ (joined
+ (portRef Q (instanceRef blk00000972))
+ (portRef D (instanceRef blk00000973))
+ )
+ )
+ (net sig00000a0f
+ (joined
+ (portRef Q (instanceRef blk00000974))
+ (portRef D (instanceRef blk00000975))
+ )
+ )
+ (net sig00000a10
+ (joined
+ (portRef Q (instanceRef blk00000976))
+ (portRef D (instanceRef blk00000977))
+ )
+ )
+ (net sig00000a11
+ (joined
+ (portRef Q (instanceRef blk00000978))
+ (portRef D (instanceRef blk00000979))
+ )
+ )
+ (net sig00000a12
+ (joined
+ (portRef Q (instanceRef blk0000097a))
+ (portRef D (instanceRef blk0000097b))
+ )
+ )
+ (net sig00000a13
+ (joined
+ (portRef Q (instanceRef blk0000097c))
+ (portRef D (instanceRef blk0000097d))
+ )
+ )
+ (net sig00000a14
+ (joined
+ (portRef Q (instanceRef blk0000097e))
+ (portRef D (instanceRef blk0000097f))
+ )
+ )
+ (net sig00000a15
+ (joined
+ (portRef Q (instanceRef blk00000980))
+ (portRef D (instanceRef blk00000981))
+ )
+ )
+ (net sig00000a16
+ (joined
+ (portRef Q (instanceRef blk00000982))
+ (portRef D (instanceRef blk00000983))
+ )
+ )
+ (net sig00000a17
+ (joined
+ (portRef Q (instanceRef blk00000984))
+ (portRef D (instanceRef blk00000985))
+ )
+ )
+ (net sig00000a18
+ (joined
+ (portRef Q (instanceRef blk00000986))
+ (portRef D (instanceRef blk00000987))
+ )
+ )
+ (net sig00000a19
+ (joined
+ (portRef Q (instanceRef blk00000988))
+ (portRef D (instanceRef blk00000989))
+ )
+ )
+ (net sig00000a1a
+ (joined
+ (portRef Q (instanceRef blk0000098a))
+ (portRef D (instanceRef blk0000098b))
+ )
+ )
+ (net sig00000a1b
+ (joined
+ (portRef Q (instanceRef blk0000098c))
+ (portRef D (instanceRef blk0000098d))
+ )
+ )
+ (net sig00000a1c
+ (joined
+ (portRef Q (instanceRef blk0000098e))
+ (portRef D (instanceRef blk0000098f))
+ )
+ )
+ (net sig00000a1d
+ (joined
+ (portRef Q (instanceRef blk00000990))
+ (portRef D (instanceRef blk00000991))
+ )
+ )
+ (net sig00000a1e
+ (joined
+ (portRef Q (instanceRef blk00000992))
+ (portRef D (instanceRef blk00000993))
+ )
+ )
+ (net sig00000a1f
+ (joined
+ (portRef Q (instanceRef blk00000994))
+ (portRef D (instanceRef blk00000995))
+ )
+ )
+ (net sig00000a20
+ (joined
+ (portRef Q (instanceRef blk00000996))
+ (portRef D (instanceRef blk00000997))
+ )
+ )
+ (net sig00000a21
+ (joined
+ (portRef Q (instanceRef blk00000998))
+ (portRef D (instanceRef blk00000999))
+ )
+ )
+ (net sig00000a22
+ (joined
+ (portRef Q (instanceRef blk0000099a))
+ (portRef D (instanceRef blk0000099b))
+ )
+ )
+ (net sig00000a23
+ (joined
+ (portRef Q (instanceRef blk0000099c))
+ (portRef D (instanceRef blk0000099d))
+ )
+ )
+ (net sig00000a24
+ (joined
+ (portRef Q (instanceRef blk0000099e))
+ (portRef D (instanceRef blk0000099f))
+ )
+ )
+ (net sig00000a25
+ (joined
+ (portRef Q (instanceRef blk000009a0))
+ (portRef D (instanceRef blk000009a1))
+ )
+ )
+ (net sig00000a26
+ (joined
+ (portRef Q (instanceRef blk000009a2))
+ (portRef D (instanceRef blk000009a3))
+ )
+ )
+ (net sig00000a27
+ (joined
+ (portRef Q (instanceRef blk000009a4))
+ (portRef D (instanceRef blk000009a5))
+ )
+ )
+ (net sig00000a28
+ (joined
+ (portRef Q (instanceRef blk000009a6))
+ (portRef D (instanceRef blk000009a7))
+ )
+ )
+ (net sig00000a29
+ (joined
+ (portRef Q (instanceRef blk000009a8))
+ (portRef D (instanceRef blk000009a9))
+ )
+ )
+ (net sig00000a2a
+ (joined
+ (portRef Q (instanceRef blk000009aa))
+ (portRef D (instanceRef blk000009ab))
+ )
+ )
+ (net sig00000a2b
+ (joined
+ (portRef Q (instanceRef blk000009ac))
+ (portRef D (instanceRef blk000009ad))
+ )
+ )
+ (net sig00000a2c
+ (joined
+ (portRef Q (instanceRef blk000009ae))
+ (portRef D (instanceRef blk000009af))
+ )
+ )
+ (net sig00000a2d
+ (joined
+ (portRef Q (instanceRef blk000009b0))
+ (portRef D (instanceRef blk000009b1))
+ )
+ )
+ (net sig00000a2e
+ (joined
+ (portRef Q (instanceRef blk000009b2))
+ (portRef D (instanceRef blk000009b3))
+ )
+ )
+ (net sig00000a2f
+ (joined
+ (portRef Q (instanceRef blk000009b4))
+ (portRef D (instanceRef blk000009b5))
+ )
+ )
+ (net sig00000a30
+ (joined
+ (portRef Q (instanceRef blk000009b6))
+ (portRef D (instanceRef blk000009b7))
+ )
+ )
+ (net sig00000a31
+ (joined
+ (portRef Q (instanceRef blk000009b8))
+ (portRef D (instanceRef blk000009b9))
+ )
+ )
+ (net sig00000a32
+ (joined
+ (portRef Q (instanceRef blk000009ba))
+ (portRef D (instanceRef blk000009bb))
+ )
+ )
+ (net sig00000a33
+ (joined
+ (portRef Q (instanceRef blk000009bc))
+ (portRef D (instanceRef blk000009bd))
+ )
+ )
+ (net sig00000a34
+ (joined
+ (portRef Q (instanceRef blk000009be))
+ (portRef D (instanceRef blk000009bf))
+ )
+ )
+ (net sig00000a35
+ (joined
+ (portRef Q (instanceRef blk000009c0))
+ (portRef D (instanceRef blk000009c1))
+ )
+ )
+ (net sig00000a36
+ (joined
+ (portRef Q (instanceRef blk000009c2))
+ (portRef D (instanceRef blk000009c3))
+ )
+ )
+ (net sig00000a37
+ (joined
+ (portRef Q (instanceRef blk000009c4))
+ (portRef D (instanceRef blk000009c5))
+ )
+ )
+ (net sig00000a38
+ (joined
+ (portRef Q (instanceRef blk000009c6))
+ (portRef D (instanceRef blk000009c7))
+ )
+ )
+ (net sig00000a39
+ (joined
+ (portRef Q (instanceRef blk000009c8))
+ (portRef D (instanceRef blk000009c9))
+ )
+ )
+ (net sig00000a3a
+ (joined
+ (portRef Q (instanceRef blk000009ca))
+ (portRef D (instanceRef blk000009cb))
+ )
+ )
+ (net sig00000a3b
+ (joined
+ (portRef Q (instanceRef blk000009cc))
+ (portRef D (instanceRef blk000009cd))
+ )
+ )
+ (net sig00000a3c
+ (joined
+ (portRef Q (instanceRef blk000009cd))
+ (portRef D (instanceRef blk00000a94))
+ )
+ )
+ (net sig00000a3d
+ (joined
+ (portRef Q (instanceRef blk000009ce))
+ (portRef D (instanceRef blk000009cf))
+ )
+ )
+ (net sig00000a3e
+ (joined
+ (portRef Q (instanceRef blk000009cf))
+ (portRef D (instanceRef blk00000a90))
+ )
+ )
+ (net sig00000a3f
+ (joined
+ (portRef Q (instanceRef blk000009d0))
+ (portRef D (instanceRef blk000009d1))
+ )
+ )
+ (net sig00000a40
+ (joined
+ (portRef Q (instanceRef blk000009d1))
+ (portRef D (instanceRef blk00000a92))
+ )
+ )
+ (net sig00000a41
+ (joined
+ (portRef Q (instanceRef blk000009d2))
+ (portRef D (instanceRef blk000009d3))
+ )
+ )
+ (net sig00000a42
+ (joined
+ (portRef Q (instanceRef blk000009d3))
+ (portRef D (instanceRef blk00000a9c))
+ )
+ )
+ (net sig00000a43
+ (joined
+ (portRef Q (instanceRef blk000009d4))
+ (portRef D (instanceRef blk000009d5))
+ )
+ )
+ (net sig00000a44
+ (joined
+ (portRef Q (instanceRef blk000009d5))
+ (portRef D (instanceRef blk00000a96))
+ )
+ )
+ (net sig00000a45
+ (joined
+ (portRef Q (instanceRef blk000009d6))
+ (portRef D (instanceRef blk000009d7))
+ )
+ )
+ (net sig00000a46
+ (joined
+ (portRef Q (instanceRef blk000009d7))
+ (portRef D (instanceRef blk00000a9a))
+ )
+ )
+ (net sig00000a47
+ (joined
+ (portRef Q (instanceRef blk000009d8))
+ (portRef D (instanceRef blk000009d9))
+ )
+ )
+ (net sig00000a48
+ (joined
+ (portRef Q (instanceRef blk000009d9))
+ (portRef D (instanceRef blk00000aa2))
+ )
+ )
+ (net sig00000a49
+ (joined
+ (portRef Q (instanceRef blk000009da))
+ (portRef D (instanceRef blk000009db))
+ )
+ )
+ (net sig00000a4a
+ (joined
+ (portRef Q (instanceRef blk000009db))
+ (portRef D (instanceRef blk00000a98))
+ )
+ )
+ (net sig00000a4b
+ (joined
+ (portRef Q (instanceRef blk000009dc))
+ (portRef D (instanceRef blk000009dd))
+ )
+ )
+ (net sig00000a4c
+ (joined
+ (portRef Q (instanceRef blk000009dd))
+ (portRef D (instanceRef blk00000aa0))
+ )
+ )
+ (net sig00000a4d
+ (joined
+ (portRef Q (instanceRef blk000009de))
+ (portRef D (instanceRef blk000009df))
+ )
+ )
+ (net sig00000a4e
+ (joined
+ (portRef Q (instanceRef blk000009df))
+ (portRef D (instanceRef blk00000a9e))
+ )
+ )
+ (net sig00000a4f
+ (joined
+ (portRef Q (instanceRef blk000009e0))
+ (portRef D (instanceRef blk000009e1))
+ )
+ )
+ (net sig00000a50
+ (joined
+ (portRef Q (instanceRef blk000009e1))
+ (portRef D (instanceRef blk00000aa6))
+ )
+ )
+ (net sig00000a51
+ (joined
+ (portRef Q (instanceRef blk000009e2))
+ (portRef D (instanceRef blk000009e3))
+ )
+ )
+ (net sig00000a52
+ (joined
+ (portRef Q (instanceRef blk000009e3))
+ (portRef D (instanceRef blk00000aa8))
+ )
+ )
+ (net sig00000a53
+ (joined
+ (portRef Q (instanceRef blk000009e4))
+ (portRef D (instanceRef blk000009e5))
+ )
+ )
+ (net sig00000a54
+ (joined
+ (portRef Q (instanceRef blk000009e5))
+ (portRef D (instanceRef blk00000aa4))
+ )
+ )
+ (net sig00000a55
+ (joined
+ (portRef Q (instanceRef blk000009e6))
+ (portRef D (instanceRef blk000009e7))
+ )
+ )
+ (net sig00000a56
+ (joined
+ (portRef Q (instanceRef blk000009e7))
+ (portRef D (instanceRef blk00000aae))
+ )
+ )
+ (net sig00000a57
+ (joined
+ (portRef Q (instanceRef blk000009e8))
+ (portRef D (instanceRef blk000009e9))
+ )
+ )
+ (net sig00000a58
+ (joined
+ (portRef Q (instanceRef blk000009e9))
+ (portRef D (instanceRef blk00000aaa))
+ )
+ )
+ (net sig00000a59
+ (joined
+ (portRef Q (instanceRef blk000009ea))
+ (portRef D (instanceRef blk000009eb))
+ )
+ )
+ (net sig00000a5a
+ (joined
+ (portRef Q (instanceRef blk000009eb))
+ (portRef D (instanceRef blk00000aac))
+ )
+ )
+ (net sig00000a5b
+ (joined
+ (portRef Q (instanceRef blk000009ec))
+ (portRef D (instanceRef blk000009ed))
+ )
+ )
+ (net sig00000a5c
+ (joined
+ (portRef Q (instanceRef blk000009ed))
+ (portRef D (instanceRef blk00000ab6))
+ )
+ )
+ (net sig00000a5d
+ (joined
+ (portRef Q (instanceRef blk000009ee))
+ (portRef D (instanceRef blk000009ef))
+ )
+ )
+ (net sig00000a5e
+ (joined
+ (portRef Q (instanceRef blk000009ef))
+ (portRef D (instanceRef blk00000ab0))
+ )
+ )
+ (net sig00000a5f
+ (joined
+ (portRef Q (instanceRef blk000009f0))
+ (portRef D (instanceRef blk000009f1))
+ )
+ )
+ (net sig00000a60
+ (joined
+ (portRef Q (instanceRef blk000009f1))
+ (portRef D (instanceRef blk00000ab4))
+ )
+ )
+ (net sig00000a61
+ (joined
+ (portRef Q (instanceRef blk000009f2))
+ (portRef D (instanceRef blk000009f3))
+ )
+ )
+ (net sig00000a62
+ (joined
+ (portRef Q (instanceRef blk000009f3))
+ (portRef D (instanceRef blk00000abc))
+ )
+ )
+ (net sig00000a63
+ (joined
+ (portRef Q (instanceRef blk000009f4))
+ (portRef D (instanceRef blk000009f5))
+ )
+ )
+ (net sig00000a64
+ (joined
+ (portRef Q (instanceRef blk000009f5))
+ (portRef D (instanceRef blk00000ab2))
+ )
+ )
+ (net sig00000a65
+ (joined
+ (portRef Q (instanceRef blk000009f6))
+ (portRef D (instanceRef blk000009f7))
+ )
+ )
+ (net sig00000a66
+ (joined
+ (portRef Q (instanceRef blk000009f7))
+ (portRef D (instanceRef blk00000aba))
+ )
+ )
+ (net sig00000a67
+ (joined
+ (portRef Q (instanceRef blk000009f8))
+ (portRef D (instanceRef blk000009f9))
+ )
+ )
+ (net sig00000a68
+ (joined
+ (portRef Q (instanceRef blk000009f9))
+ (portRef D (instanceRef blk00000ab8))
+ )
+ )
+ (net sig00000a69
+ (joined
+ (portRef Q (instanceRef blk000009fa))
+ (portRef D (instanceRef blk000009fb))
+ )
+ )
+ (net sig00000a6a
+ (joined
+ (portRef Q (instanceRef blk000009fb))
+ (portRef D (instanceRef blk00000ac0))
+ )
+ )
+ (net sig00000a6b
+ (joined
+ (portRef Q (instanceRef blk000009fc))
+ (portRef D (instanceRef blk000009fd))
+ )
+ )
+ (net sig00000a6c
+ (joined
+ (portRef Q (instanceRef blk000009fd))
+ (portRef D (instanceRef blk00000ac2))
+ )
+ )
+ (net sig00000a6d
+ (joined
+ (portRef Q (instanceRef blk000009fe))
+ (portRef D (instanceRef blk000009ff))
+ )
+ )
+ (net sig00000a6e
+ (joined
+ (portRef Q (instanceRef blk000009ff))
+ (portRef D (instanceRef blk00000abe))
+ )
+ )
+ (net sig00000a6f
+ (joined
+ (portRef Q (instanceRef blk00000a00))
+ (portRef D (instanceRef blk00000a01))
+ )
+ )
+ (net sig00000a70
+ (joined
+ (portRef Q (instanceRef blk00000a01))
+ (portRef D (instanceRef blk00000ac8))
+ )
+ )
+ (net sig00000a71
+ (joined
+ (portRef Q (instanceRef blk00000a02))
+ (portRef D (instanceRef blk00000a03))
+ )
+ )
+ (net sig00000a72
+ (joined
+ (portRef Q (instanceRef blk00000a03))
+ (portRef D (instanceRef blk00000ac4))
+ )
+ )
+ (net sig00000a73
+ (joined
+ (portRef Q (instanceRef blk00000a04))
+ (portRef D (instanceRef blk00000a05))
+ )
+ )
+ (net sig00000a74
+ (joined
+ (portRef Q (instanceRef blk00000a05))
+ (portRef D (instanceRef blk00000ac6))
+ )
+ )
+ (net sig00000a75
+ (joined
+ (portRef Q (instanceRef blk00000a06))
+ (portRef D (instanceRef blk00000a07))
+ )
+ )
+ (net sig00000a76
+ (joined
+ (portRef Q (instanceRef blk00000a07))
+ (portRef D (instanceRef blk00000ad0))
+ )
+ )
+ (net sig00000a77
+ (joined
+ (portRef Q (instanceRef blk00000a08))
+ (portRef D (instanceRef blk00000a09))
+ )
+ )
+ (net sig00000a78
+ (joined
+ (portRef Q (instanceRef blk00000a09))
+ (portRef D (instanceRef blk00000aca))
+ )
+ )
+ (net sig00000a79
+ (joined
+ (portRef Q (instanceRef blk00000a0a))
+ (portRef D (instanceRef blk00000a0b))
+ )
+ )
+ (net sig00000a7a
+ (joined
+ (portRef Q (instanceRef blk00000a0b))
+ (portRef D (instanceRef blk00000ace))
+ )
+ )
+ (net sig00000a7b
+ (joined
+ (portRef Q (instanceRef blk00000a0c))
+ (portRef D (instanceRef blk00000a0d))
+ )
+ )
+ (net sig00000a7c
+ (joined
+ (portRef Q (instanceRef blk00000a0d))
+ (portRef D (instanceRef blk00000ad6))
+ )
+ )
+ (net sig00000a7d
+ (joined
+ (portRef Q (instanceRef blk00000a0e))
+ (portRef D (instanceRef blk00000a0f))
+ )
+ )
+ (net sig00000a7e
+ (joined
+ (portRef Q (instanceRef blk00000a0f))
+ (portRef D (instanceRef blk00000acc))
+ )
+ )
+ (net sig00000a7f
+ (joined
+ (portRef Q (instanceRef blk00000a10))
+ (portRef D (instanceRef blk00000a11))
+ )
+ )
+ (net sig00000a80
+ (joined
+ (portRef Q (instanceRef blk00000a11))
+ (portRef D (instanceRef blk00000ad4))
+ )
+ )
+ (net sig00000a81
+ (joined
+ (portRef Q (instanceRef blk00000a12))
+ (portRef D (instanceRef blk00000a13))
+ )
+ )
+ (net sig00000a82
+ (joined
+ (portRef Q (instanceRef blk00000a13))
+ (portRef D (instanceRef blk00000ad2))
+ )
+ )
+ (net sig00000a83
+ (joined
+ (portRef Q (instanceRef blk00000a14))
+ (portRef D (instanceRef blk00000a15))
+ )
+ )
+ (net sig00000a84
+ (joined
+ (portRef Q (instanceRef blk00000a15))
+ (portRef D (instanceRef blk00000ada))
+ )
+ )
+ (net sig00000a85
+ (joined
+ (portRef Q (instanceRef blk00000a16))
+ (portRef D (instanceRef blk00000a17))
+ )
+ )
+ (net sig00000a86
+ (joined
+ (portRef Q (instanceRef blk00000a17))
+ (portRef D (instanceRef blk00000adc))
+ )
+ )
+ (net sig00000a87
+ (joined
+ (portRef Q (instanceRef blk00000a18))
+ (portRef D (instanceRef blk00000a19))
+ )
+ )
+ (net sig00000a88
+ (joined
+ (portRef Q (instanceRef blk00000a19))
+ (portRef D (instanceRef blk00000ad8))
+ )
+ )
+ (net sig00000a89
+ (joined
+ (portRef Q (instanceRef blk00000a1a))
+ (portRef D (instanceRef blk00000a1b))
+ )
+ )
+ (net sig00000a8a
+ (joined
+ (portRef Q (instanceRef blk00000a1b))
+ (portRef D (instanceRef blk00000ade))
+ )
+ )
+ (net sig00000a8b
+ (joined
+ (portRef Q (instanceRef blk00000a1c))
+ (portRef D (instanceRef blk00000a1d))
+ )
+ )
+ (net sig00000a8c
+ (joined
+ (portRef Q (instanceRef blk00000a1d))
+ (portRef D (instanceRef blk00000ae0))
+ )
+ )
+ (net sig00000a8d
+ (joined
+ (portRef Q (instanceRef blk00000a1e))
+ (portRef D (instanceRef blk00000a1f))
+ )
+ )
+ (net sig00000a8e
+ (joined
+ (portRef Q (instanceRef blk00000a1f))
+ (portRef D (instanceRef blk00000ae2))
+ )
+ )
+ (net sig00000a8f
+ (joined
+ (portRef Q (instanceRef blk00000a20))
+ (portRef D (instanceRef blk00000a21))
+ )
+ )
+ (net sig00000a90
+ (joined
+ (portRef Q (instanceRef blk00000a21))
+ (portRef D (instanceRef blk00000ae4))
+ )
+ )
+ (net sig00000a91
+ (joined
+ (portRef Q (instanceRef blk00000a22))
+ (portRef D (instanceRef blk00000a23))
+ )
+ )
+ (net sig00000a92
+ (joined
+ (portRef Q (instanceRef blk00000a23))
+ (portRef D (instanceRef blk00000ae6))
+ )
+ )
+ (net sig00000a93
+ (joined
+ (portRef Q (instanceRef blk00000a24))
+ (portRef D (instanceRef blk00000a25))
+ )
+ )
+ (net sig00000a94
+ (joined
+ (portRef Q (instanceRef blk00000a25))
+ (portRef D (instanceRef blk00000ae8))
+ )
+ )
+ (net sig00000a95
+ (joined
+ (portRef Q (instanceRef blk00000a26))
+ (portRef D (instanceRef blk00000a27))
+ )
+ )
+ (net sig00000a96
+ (joined
+ (portRef Q (instanceRef blk00000a27))
+ (portRef D (instanceRef blk00000aea))
+ )
+ )
+ (net sig00000a97
+ (joined
+ (portRef Q (instanceRef blk00000a28))
+ (portRef D (instanceRef blk00000a29))
+ )
+ )
+ (net sig00000a98
+ (joined
+ (portRef Q (instanceRef blk00000a29))
+ (portRef D (instanceRef blk00000aec))
+ )
+ )
+ (net sig00000a99
+ (joined
+ (portRef Q (instanceRef blk00000a2a))
+ (portRef D (instanceRef blk00000a2b))
+ )
+ )
+ (net sig00000a9a
+ (joined
+ (portRef Q (instanceRef blk00000a2b))
+ (portRef D (instanceRef blk00000aee))
+ )
+ )
+ (net sig00000a9b
+ (joined
+ (portRef Q (instanceRef blk00000a2c))
+ (portRef D (instanceRef blk00000a2d))
+ )
+ )
+ (net sig00000a9c
+ (joined
+ (portRef Q (instanceRef blk00000a2e))
+ (portRef D (instanceRef blk00000a2f))
+ )
+ )
+ (net sig00000a9d
+ (joined
+ (portRef Q (instanceRef blk00000a30))
+ (portRef D (instanceRef blk00000a31))
+ )
+ )
+ (net sig00000a9e
+ (joined
+ (portRef Q (instanceRef blk00000a32))
+ (portRef D (instanceRef blk00000a33))
+ )
+ )
+ (net sig00000a9f
+ (joined
+ (portRef Q (instanceRef blk00000a34))
+ (portRef D (instanceRef blk00000a35))
+ )
+ )
+ (net sig00000aa0
+ (joined
+ (portRef Q (instanceRef blk00000a36))
+ (portRef D (instanceRef blk00000a37))
+ )
+ )
+ (net sig00000aa1
+ (joined
+ (portRef Q (instanceRef blk00000a38))
+ (portRef D (instanceRef blk00000a39))
+ )
+ )
+ (net sig00000aa2
+ (joined
+ (portRef Q (instanceRef blk00000a3a))
+ (portRef D (instanceRef blk00000a3b))
+ )
+ )
+ (net sig00000aa3
+ (joined
+ (portRef Q (instanceRef blk00000a3c))
+ (portRef D (instanceRef blk00000a3d))
+ )
+ )
+ (net sig00000aa4
+ (joined
+ (portRef Q (instanceRef blk00000a3e))
+ (portRef D (instanceRef blk00000a3f))
+ )
+ )
+ (net sig00000aa5
+ (joined
+ (portRef Q (instanceRef blk00000a40))
+ (portRef D (instanceRef blk00000a41))
+ )
+ )
+ (net sig00000aa6
+ (joined
+ (portRef Q (instanceRef blk00000a42))
+ (portRef D (instanceRef blk00000a43))
+ )
+ )
+ (net sig00000aa7
+ (joined
+ (portRef Q (instanceRef blk00000a44))
+ (portRef D (instanceRef blk00000a45))
+ )
+ )
+ (net sig00000aa8
+ (joined
+ (portRef Q (instanceRef blk00000a46))
+ (portRef D (instanceRef blk00000a47))
+ )
+ )
+ (net sig00000aa9
+ (joined
+ (portRef Q (instanceRef blk00000a48))
+ (portRef D (instanceRef blk00000a49))
+ )
+ )
+ (net sig00000aaa
+ (joined
+ (portRef Q (instanceRef blk00000a4a))
+ (portRef D (instanceRef blk00000a4b))
+ )
+ )
+ (net sig00000aab
+ (joined
+ (portRef Q (instanceRef blk00000a4c))
+ (portRef D (instanceRef blk00000a4d))
+ )
+ )
+ (net sig00000aac
+ (joined
+ (portRef Q (instanceRef blk00000a4e))
+ (portRef D (instanceRef blk00000a4f))
+ )
+ )
+ (net sig00000aad
+ (joined
+ (portRef Q (instanceRef blk00000a50))
+ (portRef D (instanceRef blk00000a51))
+ )
+ )
+ (net sig00000aae
+ (joined
+ (portRef Q (instanceRef blk00000a52))
+ (portRef D (instanceRef blk00000a53))
+ )
+ )
+ (net sig00000aaf
+ (joined
+ (portRef Q (instanceRef blk00000a54))
+ (portRef D (instanceRef blk00000a55))
+ )
+ )
+ (net sig00000ab0
+ (joined
+ (portRef Q (instanceRef blk00000a56))
+ (portRef D (instanceRef blk00000a57))
+ )
+ )
+ (net sig00000ab1
+ (joined
+ (portRef Q (instanceRef blk00000a58))
+ (portRef D (instanceRef blk00000a59))
+ )
+ )
+ (net sig00000ab2
+ (joined
+ (portRef Q (instanceRef blk00000a5a))
+ (portRef D (instanceRef blk00000a5b))
+ )
+ )
+ (net sig00000ab3
+ (joined
+ (portRef Q (instanceRef blk00000a5c))
+ (portRef D (instanceRef blk00000a5d))
+ )
+ )
+ (net sig00000ab4
+ (joined
+ (portRef Q (instanceRef blk00000a5e))
+ (portRef D (instanceRef blk00000a5f))
+ )
+ )
+ (net sig00000ab5
+ (joined
+ (portRef Q (instanceRef blk00000a60))
+ (portRef D (instanceRef blk00000a61))
+ )
+ )
+ (net sig00000ab6
+ (joined
+ (portRef Q (instanceRef blk00000a62))
+ (portRef D (instanceRef blk00000a63))
+ )
+ )
+ (net sig00000ab7
+ (joined
+ (portRef Q (instanceRef blk00000a64))
+ (portRef D (instanceRef blk00000a65))
+ )
+ )
+ (net sig00000ab8
+ (joined
+ (portRef Q (instanceRef blk00000a66))
+ (portRef D (instanceRef blk00000a67))
+ )
+ )
+ (net sig00000ab9
+ (joined
+ (portRef Q (instanceRef blk00000a68))
+ (portRef D (instanceRef blk00000a69))
+ )
+ )
+ (net sig00000aba
+ (joined
+ (portRef Q (instanceRef blk00000a6a))
+ (portRef D (instanceRef blk00000a6b))
+ )
+ )
+ (net sig00000abb
+ (joined
+ (portRef Q (instanceRef blk00000a6c))
+ (portRef D (instanceRef blk00000a6d))
+ )
+ )
+ (net sig00000abc
+ (joined
+ (portRef Q (instanceRef blk00000a6e))
+ (portRef D (instanceRef blk00000a6f))
+ )
+ )
+ (net sig00000abd
+ (joined
+ (portRef Q (instanceRef blk00000a70))
+ (portRef D (instanceRef blk00000a71))
+ )
+ )
+ (net sig00000abe
+ (joined
+ (portRef Q (instanceRef blk00000a72))
+ (portRef D (instanceRef blk00000a73))
+ )
+ )
+ (net sig00000abf
+ (joined
+ (portRef Q (instanceRef blk00000a74))
+ (portRef D (instanceRef blk00000a75))
+ )
+ )
+ (net sig00000ac0
+ (joined
+ (portRef Q (instanceRef blk00000a76))
+ (portRef D (instanceRef blk00000a77))
+ )
+ )
+ (net sig00000ac1
+ (joined
+ (portRef Q (instanceRef blk00000a78))
+ (portRef D (instanceRef blk00000a79))
+ )
+ )
+ (net sig00000ac2
+ (joined
+ (portRef Q (instanceRef blk00000a7a))
+ (portRef D (instanceRef blk00000a7b))
+ )
+ )
+ (net sig00000ac3
+ (joined
+ (portRef Q (instanceRef blk00000a7c))
+ (portRef D (instanceRef blk00000a7d))
+ )
+ )
+ (net sig00000ac4
+ (joined
+ (portRef Q (instanceRef blk00000a7e))
+ (portRef D (instanceRef blk00000a7f))
+ )
+ )
+ (net sig00000ac5
+ (joined
+ (portRef Q (instanceRef blk00000a80))
+ (portRef D (instanceRef blk00000a81))
+ )
+ )
+ (net sig00000ac6
+ (joined
+ (portRef Q (instanceRef blk00000a82))
+ (portRef D (instanceRef blk00000a83))
+ )
+ )
+ (net sig00000ac7
+ (joined
+ (portRef Q (instanceRef blk00000a84))
+ (portRef D (instanceRef blk00000a85))
+ )
+ )
+ (net sig00000ac8
+ (joined
+ (portRef Q (instanceRef blk00000a86))
+ (portRef D (instanceRef blk00000a87))
+ )
+ )
+ (net sig00000ac9
+ (joined
+ (portRef Q (instanceRef blk00000a88))
+ (portRef D (instanceRef blk00000a89))
+ )
+ )
+ (net sig00000aca
+ (joined
+ (portRef Q (instanceRef blk00000a8a))
+ (portRef D (instanceRef blk00000a8b))
+ )
+ )
+ (net sig00000acb
+ (joined
+ (portRef Q (instanceRef blk00000a8c))
+ (portRef D (instanceRef blk00000a8d))
+ )
+ )
+ (net sig00000acc
+ (joined
+ (portRef Q (instanceRef blk00000a8e))
+ (portRef D (instanceRef blk00000a8f))
+ )
+ )
+ (net sig00000acd
+ (joined
+ (portRef Q (instanceRef blk00000a90))
+ (portRef D (instanceRef blk00000a91))
+ )
+ )
+ (net sig00000ace
+ (joined
+ (portRef Q (instanceRef blk00000a92))
+ (portRef D (instanceRef blk00000a93))
+ )
+ )
+ (net sig00000acf
+ (joined
+ (portRef Q (instanceRef blk00000a94))
+ (portRef D (instanceRef blk00000a95))
+ )
+ )
+ (net sig00000ad0
+ (joined
+ (portRef Q (instanceRef blk00000a96))
+ (portRef D (instanceRef blk00000a97))
+ )
+ )
+ (net sig00000ad1
+ (joined
+ (portRef Q (instanceRef blk00000a98))
+ (portRef D (instanceRef blk00000a99))
+ )
+ )
+ (net sig00000ad2
+ (joined
+ (portRef Q (instanceRef blk00000a9a))
+ (portRef D (instanceRef blk00000a9b))
+ )
+ )
+ (net sig00000ad3
+ (joined
+ (portRef Q (instanceRef blk00000a9c))
+ (portRef D (instanceRef blk00000a9d))
+ )
+ )
+ (net sig00000ad4
+ (joined
+ (portRef Q (instanceRef blk00000a9e))
+ (portRef D (instanceRef blk00000a9f))
+ )
+ )
+ (net sig00000ad5
+ (joined
+ (portRef Q (instanceRef blk00000aa0))
+ (portRef D (instanceRef blk00000aa1))
+ )
+ )
+ (net sig00000ad6
+ (joined
+ (portRef Q (instanceRef blk00000aa2))
+ (portRef D (instanceRef blk00000aa3))
+ )
+ )
+ (net sig00000ad7
+ (joined
+ (portRef Q (instanceRef blk00000aa4))
+ (portRef D (instanceRef blk00000aa5))
+ )
+ )
+ (net sig00000ad8
+ (joined
+ (portRef Q (instanceRef blk00000aa6))
+ (portRef D (instanceRef blk00000aa7))
+ )
+ )
+ (net sig00000ad9
+ (joined
+ (portRef Q (instanceRef blk00000aa8))
+ (portRef D (instanceRef blk00000aa9))
+ )
+ )
+ (net sig00000ada
+ (joined
+ (portRef Q (instanceRef blk00000aaa))
+ (portRef D (instanceRef blk00000aab))
+ )
+ )
+ (net sig00000adb
+ (joined
+ (portRef Q (instanceRef blk00000aac))
+ (portRef D (instanceRef blk00000aad))
+ )
+ )
+ (net sig00000adc
+ (joined
+ (portRef Q (instanceRef blk00000aae))
+ (portRef D (instanceRef blk00000aaf))
+ )
+ )
+ (net sig00000add
+ (joined
+ (portRef Q (instanceRef blk00000ab0))
+ (portRef D (instanceRef blk00000ab1))
+ )
+ )
+ (net sig00000ade
+ (joined
+ (portRef Q (instanceRef blk00000ab2))
+ (portRef D (instanceRef blk00000ab3))
+ )
+ )
+ (net sig00000adf
+ (joined
+ (portRef Q (instanceRef blk00000ab4))
+ (portRef D (instanceRef blk00000ab5))
+ )
+ )
+ (net sig00000ae0
+ (joined
+ (portRef Q (instanceRef blk00000ab6))
+ (portRef D (instanceRef blk00000ab7))
+ )
+ )
+ (net sig00000ae1
+ (joined
+ (portRef Q (instanceRef blk00000ab8))
+ (portRef D (instanceRef blk00000ab9))
+ )
+ )
+ (net sig00000ae2
+ (joined
+ (portRef Q (instanceRef blk00000aba))
+ (portRef D (instanceRef blk00000abb))
+ )
+ )
+ (net sig00000ae3
+ (joined
+ (portRef Q (instanceRef blk00000abc))
+ (portRef D (instanceRef blk00000abd))
+ )
+ )
+ (net sig00000ae4
+ (joined
+ (portRef Q (instanceRef blk00000abe))
+ (portRef D (instanceRef blk00000abf))
+ )
+ )
+ (net sig00000ae5
+ (joined
+ (portRef Q (instanceRef blk00000ac0))
+ (portRef D (instanceRef blk00000ac1))
+ )
+ )
+ (net sig00000ae6
+ (joined
+ (portRef Q (instanceRef blk00000ac2))
+ (portRef D (instanceRef blk00000ac3))
+ )
+ )
+ (net sig00000ae7
+ (joined
+ (portRef Q (instanceRef blk00000ac4))
+ (portRef D (instanceRef blk00000ac5))
+ )
+ )
+ (net sig00000ae8
+ (joined
+ (portRef Q (instanceRef blk00000ac6))
+ (portRef D (instanceRef blk00000ac7))
+ )
+ )
+ (net sig00000ae9
+ (joined
+ (portRef Q (instanceRef blk00000ac8))
+ (portRef D (instanceRef blk00000ac9))
+ )
+ )
+ (net sig00000aea
+ (joined
+ (portRef Q (instanceRef blk00000aca))
+ (portRef D (instanceRef blk00000acb))
+ )
+ )
+ (net sig00000aeb
+ (joined
+ (portRef Q (instanceRef blk00000acc))
+ (portRef D (instanceRef blk00000acd))
+ )
+ )
+ (net sig00000aec
+ (joined
+ (portRef Q (instanceRef blk00000ace))
+ (portRef D (instanceRef blk00000acf))
+ )
+ )
+ (net sig00000aed
+ (joined
+ (portRef Q (instanceRef blk00000ad0))
+ (portRef D (instanceRef blk00000ad1))
+ )
+ )
+ (net sig00000aee
+ (joined
+ (portRef Q (instanceRef blk00000ad2))
+ (portRef D (instanceRef blk00000ad3))
+ )
+ )
+ (net sig00000aef
+ (joined
+ (portRef Q (instanceRef blk00000ad4))
+ (portRef D (instanceRef blk00000ad5))
+ )
+ )
+ (net sig00000af0
+ (joined
+ (portRef Q (instanceRef blk00000ad6))
+ (portRef D (instanceRef blk00000ad7))
+ )
+ )
+ (net sig00000af1
+ (joined
+ (portRef Q (instanceRef blk00000ad8))
+ (portRef D (instanceRef blk00000ad9))
+ )
+ )
+ (net sig00000af2
+ (joined
+ (portRef Q (instanceRef blk00000ada))
+ (portRef D (instanceRef blk00000adb))
+ )
+ )
+ (net sig00000af3
+ (joined
+ (portRef Q (instanceRef blk00000adc))
+ (portRef D (instanceRef blk00000add))
+ )
+ )
+ (net sig00000af4
+ (joined
+ (portRef Q (instanceRef blk00000ade))
+ (portRef D (instanceRef blk00000adf))
+ )
+ )
+ (net sig00000af5
+ (joined
+ (portRef Q (instanceRef blk00000ae0))
+ (portRef D (instanceRef blk00000ae1))
+ )
+ )
+ (net sig00000af6
+ (joined
+ (portRef Q (instanceRef blk00000ae2))
+ (portRef D (instanceRef blk00000ae3))
+ )
+ )
+ (net sig00000af7
+ (joined
+ (portRef Q (instanceRef blk00000ae4))
+ (portRef D (instanceRef blk00000ae5))
+ )
+ )
+ (net sig00000af8
+ (joined
+ (portRef Q (instanceRef blk00000ae6))
+ (portRef D (instanceRef blk00000ae7))
+ )
+ )
+ (net sig00000af9
+ (joined
+ (portRef Q (instanceRef blk00000ae8))
+ (portRef D (instanceRef blk00000ae9))
+ )
+ )
+ (net sig00000afa
+ (joined
+ (portRef Q (instanceRef blk00000aea))
+ (portRef D (instanceRef blk00000aeb))
+ )
+ )
+ (net sig00000afb
+ (joined
+ (portRef Q (instanceRef blk00000aec))
+ (portRef D (instanceRef blk00000aed))
+ )
+ )
+ (net sig00000afc
+ (joined
+ (portRef Q (instanceRef blk00000aee))
+ (portRef D (instanceRef blk00000aef))
+ )
+ )
+ (net sig00000afd
+ (joined
+ (portRef Q (instanceRef blk00000af0))
+ (portRef D (instanceRef blk00000af1))
+ )
+ )
+ (net sig00000afe
+ (joined
+ (portRef Q (instanceRef blk00000af2))
+ (portRef D (instanceRef blk00000af3))
+ )
+ )
+ (net sig00000aff
+ (joined
+ (portRef Q (instanceRef blk00000af4))
+ (portRef D (instanceRef blk00000af5))
+ )
+ )
+ (net sig00000b00
+ (joined
+ (portRef Q (instanceRef blk00000af6))
+ (portRef D (instanceRef blk00000af7))
+ )
+ )
+ (net sig00000b01
+ (joined
+ (portRef Q (instanceRef blk00000af8))
+ (portRef D (instanceRef blk00000af9))
+ )
+ )
+ (net sig00000b02
+ (joined
+ (portRef Q (instanceRef blk00000afa))
+ (portRef D (instanceRef blk00000afb))
+ )
+ )
+ (net sig00000b03
+ (joined
+ (portRef Q (instanceRef blk00000afc))
+ (portRef D (instanceRef blk00000afd))
+ )
+ )
+ (net sig00000b04
+ (joined
+ (portRef Q (instanceRef blk00000afe))
+ (portRef D (instanceRef blk00000aff))
+ )
+ )
+ (net sig00000b05
+ (joined
+ (portRef Q (instanceRef blk00000b00))
+ (portRef D (instanceRef blk00000b01))
+ )
+ )
+ (net sig00000b06
+ (joined
+ (portRef Q (instanceRef blk00000b02))
+ (portRef D (instanceRef blk00000b03))
+ )
+ )
+ (net sig00000b07
+ (joined
+ (portRef Q (instanceRef blk00000b04))
+ (portRef D (instanceRef blk00000b05))
+ )
+ )
+ (net sig00000b08
+ (joined
+ (portRef Q (instanceRef blk00000b06))
+ (portRef D (instanceRef blk00000b07))
+ )
+ )
+ (net sig00000b09
+ (joined
+ (portRef Q (instanceRef blk00000b08))
+ (portRef D (instanceRef blk00000b09))
+ )
+ )
+ (net sig00000b0a
+ (joined
+ (portRef Q (instanceRef blk00000b0a))
+ (portRef D (instanceRef blk00000b0b))
+ )
+ )
+ (net sig00000b0b
+ (joined
+ (portRef Q (instanceRef blk00000b0c))
+ (portRef D (instanceRef blk00000b0d))
+ )
+ )
+ (net sig00000b0c
+ (joined
+ (portRef Q (instanceRef blk00000b0e))
+ (portRef D (instanceRef blk00000b0f))
+ )
+ )
+ (net sig00000b0d
+ (joined
+ (portRef Q (instanceRef blk00000b10))
+ (portRef D (instanceRef blk00000b11))
+ )
+ )
+ (net sig00000b0e
+ (joined
+ (portRef Q (instanceRef blk00000b12))
+ (portRef D (instanceRef blk00000b13))
+ )
+ )
+ (net sig00000b0f
+ (joined
+ (portRef Q (instanceRef blk00000b14))
+ (portRef D (instanceRef blk00000b15))
+ )
+ )
+ (net sig00000b10
+ (joined
+ (portRef Q (instanceRef blk00000b16))
+ (portRef D (instanceRef blk00000b17))
+ )
+ )
+ (net sig00000b11
+ (joined
+ (portRef Q (instanceRef blk00000b18))
+ (portRef D (instanceRef blk00000b19))
+ )
+ )
+ (net sig00000b12
+ (joined
+ (portRef Q (instanceRef blk00000b1a))
+ (portRef D (instanceRef blk00000b1b))
+ )
+ )
+ (net sig00000b13
+ (joined
+ (portRef Q (instanceRef blk00000b1c))
+ (portRef D (instanceRef blk00000b1d))
+ )
+ )
+ (net sig00000b14
+ (joined
+ (portRef Q (instanceRef blk00000b1e))
+ (portRef D (instanceRef blk00000b1f))
+ )
+ )
+ (net sig00000b15
+ (joined
+ (portRef Q (instanceRef blk00000b20))
+ (portRef D (instanceRef blk00000b21))
+ )
+ )
+ (net sig00000b16
+ (joined
+ (portRef Q (instanceRef blk00000b22))
+ (portRef D (instanceRef blk00000b23))
+ )
+ )
+ (net sig00000b17
+ (joined
+ (portRef Q (instanceRef blk00000b24))
+ (portRef D (instanceRef blk00000b25))
+ )
+ )
+ (net sig00000b18
+ (joined
+ (portRef Q (instanceRef blk00000b26))
+ (portRef D (instanceRef blk00000b27))
+ )
+ )
+ (net sig00000b19
+ (joined
+ (portRef Q (instanceRef blk00000b28))
+ (portRef D (instanceRef blk00000b29))
+ )
+ )
+ (net sig00000b1a
+ (joined
+ (portRef Q (instanceRef blk00000b2a))
+ (portRef D (instanceRef blk00000b2b))
+ )
+ )
+ (net sig00000b1b
+ (joined
+ (portRef Q (instanceRef blk00000b2c))
+ (portRef D (instanceRef blk00000b2d))
+ )
+ )
+ (net sig00000b1c
+ (joined
+ (portRef Q (instanceRef blk00000b2e))
+ (portRef D (instanceRef blk00000b2f))
+ )
+ )
+ (net sig00000b1d
+ (joined
+ (portRef Q (instanceRef blk00000b30))
+ (portRef D (instanceRef blk00000b31))
+ )
+ )
+ (net sig00000b1e
+ (joined
+ (portRef Q (instanceRef blk00000b32))
+ (portRef D (instanceRef blk00000b33))
+ )
+ )
+ (net sig00000b1f
+ (joined
+ (portRef Q (instanceRef blk00000b34))
+ (portRef D (instanceRef blk00000b35))
+ )
+ )
+ (net sig00000b20
+ (joined
+ (portRef Q (instanceRef blk00000b36))
+ (portRef D (instanceRef blk00000b37))
+ )
+ )
+ (net sig00000b21
+ (joined
+ (portRef Q (instanceRef blk00000b38))
+ (portRef D (instanceRef blk00000b39))
+ )
+ )
+ (net sig00000b22
+ (joined
+ (portRef Q (instanceRef blk00000b3a))
+ (portRef D (instanceRef blk00000b3b))
+ )
+ )
+ (net sig00000b23
+ (joined
+ (portRef Q (instanceRef blk00000b3c))
+ (portRef D (instanceRef blk00000b3d))
+ )
+ )
+ (net sig00000b24
+ (joined
+ (portRef Q (instanceRef blk00000b3e))
+ (portRef D (instanceRef blk00000b3f))
+ )
+ )
+ (net sig00000b25
+ (joined
+ (portRef Q (instanceRef blk00000b40))
+ (portRef D (instanceRef blk00000b41))
+ )
+ )
+ (net sig00000b26
+ (joined
+ (portRef Q (instanceRef blk00000b42))
+ (portRef D (instanceRef blk00000b43))
+ )
+ )
+ (net sig00000b27
+ (joined
+ (portRef Q (instanceRef blk00000b44))
+ (portRef D (instanceRef blk00000b45))
+ )
+ )
+ (net sig00000b28
+ (joined
+ (portRef Q (instanceRef blk00000b46))
+ (portRef D (instanceRef blk00000b47))
+ )
+ )
+ (net sig00000b29
+ (joined
+ (portRef Q (instanceRef blk00000b48))
+ (portRef D (instanceRef blk00000b49))
+ )
+ )
+ (net sig00000b2a
+ (joined
+ (portRef Q (instanceRef blk00000b4a))
+ (portRef D (instanceRef blk00000b4b))
+ )
+ )
+ (net sig00000b2b
+ (joined
+ (portRef Q (instanceRef blk00000b4c))
+ (portRef D (instanceRef blk00000b4d))
+ )
+ )
+ (net sig00000b2c
+ (joined
+ (portRef Q (instanceRef blk00000b4e))
+ (portRef D (instanceRef blk00000b4f))
+ )
+ )
+ (net sig00000b2d
+ (joined
+ (portRef Q (instanceRef blk00000b50))
+ (portRef D (instanceRef blk00000b51))
+ )
+ )
+ (net sig00000b2e
+ (joined
+ (portRef Q (instanceRef blk00000b52))
+ (portRef D (instanceRef blk00000b53))
+ )
+ )
+ (net sig00000b2f
+ (joined
+ (portRef Q (instanceRef blk00000b54))
+ (portRef D (instanceRef blk00000b55))
+ )
+ )
+ (net sig00000b30
+ (joined
+ (portRef Q (instanceRef blk00000b56))
+ (portRef D (instanceRef blk00000b57))
+ )
+ )
+ (net sig00000b31
+ (joined
+ (portRef Q (instanceRef blk00000b58))
+ (portRef D (instanceRef blk00000b59))
+ )
+ )
+ (net sig00000b32
+ (joined
+ (portRef Q (instanceRef blk00000b5a))
+ (portRef D (instanceRef blk00000b5b))
+ )
+ )
+ (net sig00000b33
+ (joined
+ (portRef Q (instanceRef blk00000b5c))
+ (portRef D (instanceRef blk00000b5d))
+ )
+ )
+ (net sig00000b34
+ (joined
+ (portRef Q (instanceRef blk00000b5e))
+ (portRef D (instanceRef blk00000b5f))
+ )
+ )
+ (net sig00000b35
+ (joined
+ (portRef Q (instanceRef blk00000b60))
+ (portRef D (instanceRef blk00000b61))
+ )
+ )
+ (net sig00000b36
+ (joined
+ (portRef Q (instanceRef blk00000b62))
+ (portRef D (instanceRef blk00000b63))
+ )
+ )
+ (net sig00000b37
+ (joined
+ (portRef Q (instanceRef blk00000b64))
+ (portRef D (instanceRef blk00000b65))
+ )
+ )
+ (net sig00000b38
+ (joined
+ (portRef Q (instanceRef blk00000b66))
+ (portRef D (instanceRef blk00000b67))
+ )
+ )
+ (net sig00000b39
+ (joined
+ (portRef Q (instanceRef blk00000b68))
+ (portRef D (instanceRef blk00000b69))
+ )
+ )
+ (net sig00000b3a
+ (joined
+ (portRef Q (instanceRef blk00000b6a))
+ (portRef D (instanceRef blk00000b6b))
+ )
+ )
+ (net sig00000b3b
+ (joined
+ (portRef Q (instanceRef blk00000b6c))
+ (portRef D (instanceRef blk00000b6d))
+ )
+ )
+ (net sig00000b3c
+ (joined
+ (portRef Q (instanceRef blk00000b6e))
+ (portRef D (instanceRef blk00000b6f))
+ )
+ )
+ (net sig00000b3d
+ (joined
+ (portRef Q (instanceRef blk00000b70))
+ (portRef D (instanceRef blk00000b71))
+ )
+ )
+ (net sig00000b3e
+ (joined
+ (portRef Q (instanceRef blk00000b72))
+ (portRef D (instanceRef blk00000b73))
+ )
+ )
+ (net sig00000b3f
+ (joined
+ (portRef Q (instanceRef blk00000b74))
+ (portRef D (instanceRef blk00000b75))
+ )
+ )
+ (net sig00000b40
+ (joined
+ (portRef Q (instanceRef blk00000b76))
+ (portRef D (instanceRef blk00000b77))
+ )
+ )
+ (net sig00000b41
+ (joined
+ (portRef Q (instanceRef blk00000b78))
+ (portRef D (instanceRef blk00000b79))
+ )
+ )
+ (net sig00000b42
+ (joined
+ (portRef Q (instanceRef blk00000b7a))
+ (portRef D (instanceRef blk00000b7b))
+ )
+ )
+ (net sig00000b43
+ (joined
+ (portRef Q (instanceRef blk00000b7c))
+ (portRef D (instanceRef blk00000b7d))
+ )
+ )
+ (net sig00000b44
+ (joined
+ (portRef Q (instanceRef blk00000b7e))
+ (portRef D (instanceRef blk00000b7f))
+ )
+ )
+ (net sig00000b45
+ (joined
+ (portRef Q (instanceRef blk00000b80))
+ (portRef D (instanceRef blk00000b81))
+ )
+ )
+ (net sig00000b46
+ (joined
+ (portRef Q (instanceRef blk00000b82))
+ (portRef D (instanceRef blk00000b83))
+ )
+ )
+ (net sig00000b47
+ (joined
+ (portRef Q (instanceRef blk00000b84))
+ (portRef D (instanceRef blk00000b85))
+ )
+ )
+ (net sig00000b48
+ (joined
+ (portRef Q (instanceRef blk00000b86))
+ (portRef D (instanceRef blk00000b87))
+ )
+ )
+ (net sig00000b49
+ (joined
+ (portRef Q (instanceRef blk00000b88))
+ (portRef D (instanceRef blk00000b89))
+ )
+ )
+ (net sig00000b4a
+ (joined
+ (portRef Q (instanceRef blk00000b8a))
+ (portRef D (instanceRef blk00000b8b))
+ )
+ )
+ (net sig00000b4b
+ (joined
+ (portRef Q (instanceRef blk00000b8c))
+ (portRef D (instanceRef blk00000b8d))
+ )
+ )
+ (net sig00000b4c
+ (joined
+ (portRef Q (instanceRef blk00000b8e))
+ (portRef D (instanceRef blk00000b8f))
+ )
+ )
+ (net sig00000b4d
+ (joined
+ (portRef Q (instanceRef blk00000b90))
+ (portRef D (instanceRef blk00000b91))
+ )
+ )
+ (net sig00000b4e
+ (joined
+ (portRef Q (instanceRef blk00000b92))
+ (portRef D (instanceRef blk00000b93))
+ )
+ )
+ (net sig00000b4f
+ (joined
+ (portRef Q (instanceRef blk00000b94))
+ (portRef D (instanceRef blk00000b95))
+ )
+ )
+ (net sig00000b50
+ (joined
+ (portRef Q (instanceRef blk00000b96))
+ (portRef D (instanceRef blk00000b97))
+ )
+ )
+ (net sig00000b51
+ (joined
+ (portRef Q (instanceRef blk00000b98))
+ (portRef D (instanceRef blk00000b99))
+ )
+ )
+ (net sig00000b52
+ (joined
+ (portRef Q (instanceRef blk00000b9a))
+ (portRef D (instanceRef blk00000b9b))
+ )
+ )
+ (net sig00000b53
+ (joined
+ (portRef Q (instanceRef blk00000b9c))
+ (portRef D (instanceRef blk00000b9d))
+ )
+ )
+ (net sig00000b54
+ (joined
+ (portRef Q (instanceRef blk00000b9e))
+ (portRef D (instanceRef blk00000b9f))
+ )
+ )
+ (net sig00000b55
+ (joined
+ (portRef Q (instanceRef blk00000ba0))
+ (portRef D (instanceRef blk00000ba1))
+ )
+ )
+ (net sig00000b56
+ (joined
+ (portRef Q (instanceRef blk00000ba2))
+ (portRef D (instanceRef blk00000ba3))
+ )
+ )
+ (net sig00000b57
+ (joined
+ (portRef Q (instanceRef blk00000ba4))
+ (portRef D (instanceRef blk00000ba5))
+ )
+ )
+ (net sig00000b58
+ (joined
+ (portRef Q (instanceRef blk00000ba6))
+ (portRef D (instanceRef blk00000ba7))
+ )
+ )
+ (net sig00000b59
+ (joined
+ (portRef Q (instanceRef blk00000ba8))
+ (portRef D (instanceRef blk00000ba9))
+ )
+ )
+ (net sig00000b5a
+ (joined
+ (portRef Q (instanceRef blk00000baa))
+ (portRef D (instanceRef blk00000bab))
+ )
+ )
+ (net sig00000b5b
+ (joined
+ (portRef Q (instanceRef blk00000bac))
+ (portRef D (instanceRef blk00000bad))
+ )
+ )
+ (net sig00000b5c
+ (joined
+ (portRef Q (instanceRef blk00000bae))
+ (portRef D (instanceRef blk00000baf))
+ )
+ )
+ (net sig00000b5d
+ (joined
+ (portRef Q (instanceRef blk00000bb0))
+ (portRef D (instanceRef blk00000bb1))
+ )
+ )
+ (net sig00000b5e
+ (joined
+ (portRef Q (instanceRef blk00000bb2))
+ (portRef D (instanceRef blk00000bb3))
+ )
+ )
+ (net sig00000b5f
+ (joined
+ (portRef Q (instanceRef blk00000bb4))
+ (portRef D (instanceRef blk00000bb5))
+ )
+ )
+ (net sig00000b60
+ (joined
+ (portRef Q (instanceRef blk00000bb6))
+ (portRef D (instanceRef blk00000bb7))
+ )
+ )
+ (net sig00000b61
+ (joined
+ (portRef Q (instanceRef blk00000bb8))
+ (portRef D (instanceRef blk00000bb9))
+ )
+ )
+ (net sig00000b62
+ (joined
+ (portRef Q (instanceRef blk00000bba))
+ (portRef D (instanceRef blk00000bbb))
+ )
+ )
+ (net sig00000b63
+ (joined
+ (portRef Q (instanceRef blk00000bbc))
+ (portRef D (instanceRef blk00000bbd))
+ )
+ )
+ (net sig00000b64
+ (joined
+ (portRef Q (instanceRef blk00000bbe))
+ (portRef D (instanceRef blk00000bbf))
+ )
+ )
+ (net sig00000b65
+ (joined
+ (portRef Q (instanceRef blk00000bc0))
+ (portRef D (instanceRef blk00000bc1))
+ )
+ )
+ (net sig00000b66
+ (joined
+ (portRef Q (instanceRef blk00000bc2))
+ (portRef D (instanceRef blk00000bc3))
+ )
+ )
+ (net sig00000b67
+ (joined
+ (portRef Q (instanceRef blk00000bc4))
+ (portRef D (instanceRef blk00000bc5))
+ )
+ )
+ (net sig00000b68
+ (joined
+ (portRef Q (instanceRef blk00000bc6))
+ (portRef D (instanceRef blk00000bc7))
+ )
+ )
+ (net sig00000b69
+ (joined
+ (portRef Q (instanceRef blk00000bc8))
+ (portRef D (instanceRef blk00000bc9))
+ )
+ )
+ (net sig00000b6a
+ (joined
+ (portRef Q (instanceRef blk00000bca))
+ (portRef D (instanceRef blk00000bcb))
+ )
+ )
+ (net sig00000b6b
+ (joined
+ (portRef Q (instanceRef blk00000bcc))
+ (portRef D (instanceRef blk00000bcd))
+ )
+ )
+ (net sig00000b6c
+ (joined
+ (portRef Q (instanceRef blk00000bce))
+ (portRef D (instanceRef blk00000bcf))
+ )
+ )
+ (net sig00000b6d
+ (joined
+ (portRef Q (instanceRef blk00000bd0))
+ (portRef D (instanceRef blk00000bd1))
+ )
+ )
+ (net sig00000b6e
+ (joined
+ (portRef Q (instanceRef blk00000bd2))
+ (portRef D (instanceRef blk00000bd3))
+ )
+ )
+ (net sig00000b6f
+ (joined
+ (portRef Q (instanceRef blk00000bd4))
+ (portRef D (instanceRef blk00000bd5))
+ )
+ )
+ (net sig00000b70
+ (joined
+ (portRef Q (instanceRef blk00000bd6))
+ (portRef D (instanceRef blk00000bd7))
+ )
+ )
+ (net sig00000b71
+ (joined
+ (portRef Q (instanceRef blk00000bd8))
+ (portRef D (instanceRef blk00000bd9))
+ )
+ )
+ (net sig00000b72
+ (joined
+ (portRef Q (instanceRef blk00000bda))
+ (portRef D (instanceRef blk00000bdb))
+ )
+ )
+ (net sig00000b73
+ (joined
+ (portRef Q (instanceRef blk00000bdc))
+ (portRef D (instanceRef blk00000bdd))
+ )
+ )
+ (net sig00000b74
+ (joined
+ (portRef Q (instanceRef blk00000bde))
+ (portRef D (instanceRef blk00000bdf))
+ )
+ )
+ (net sig00000b75
+ (joined
+ (portRef Q (instanceRef blk00000be0))
+ (portRef D (instanceRef blk00000be1))
+ )
+ )
+ (net sig00000b76
+ (joined
+ (portRef Q (instanceRef blk00000be2))
+ (portRef D (instanceRef blk00000be3))
+ )
+ )
+ (net sig00000b77
+ (joined
+ (portRef Q (instanceRef blk00000be4))
+ (portRef D (instanceRef blk00000be5))
+ )
+ )
+ (net sig00000b78
+ (joined
+ (portRef Q (instanceRef blk00000be6))
+ (portRef D (instanceRef blk00000be7))
+ )
+ )
+ (net sig00000b79
+ (joined
+ (portRef Q (instanceRef blk00000be8))
+ (portRef D (instanceRef blk00000be9))
+ )
+ )
+ (net sig00000b7a
+ (joined
+ (portRef Q (instanceRef blk00000bea))
+ (portRef D (instanceRef blk00000beb))
+ )
+ )
+ (net sig00000b7b
+ (joined
+ (portRef Q (instanceRef blk00000bec))
+ (portRef D (instanceRef blk00000bed))
+ )
+ )
+ (net sig00000b7c
+ (joined
+ (portRef Q (instanceRef blk00000bee))
+ (portRef D (instanceRef blk00000bef))
+ )
+ )
+ (net sig00000b7d
+ (joined
+ (portRef Q (instanceRef blk00000bf0))
+ (portRef D (instanceRef blk00000bf1))
+ )
+ )
+ (net sig00000b7e
+ (joined
+ (portRef Q (instanceRef blk00000bf2))
+ (portRef D (instanceRef blk00000bf3))
+ )
+ )
+ (net sig00000b7f
+ (joined
+ (portRef Q (instanceRef blk00000bf4))
+ (portRef D (instanceRef blk00000bf5))
+ )
+ )
+ (net sig00000b80
+ (joined
+ (portRef Q (instanceRef blk00000bf6))
+ (portRef D (instanceRef blk00000bf7))
+ )
+ )
+ (net sig00000b81
+ (joined
+ (portRef Q (instanceRef blk00000bf8))
+ (portRef D (instanceRef blk00000bf9))
+ )
+ )
+ (net sig00000b82
+ (joined
+ (portRef Q (instanceRef blk00000bfa))
+ (portRef D (instanceRef blk00000bfb))
+ )
+ )
+ (net sig00000b83
+ (joined
+ (portRef Q (instanceRef blk00000bfc))
+ (portRef D (instanceRef blk00000bfd))
+ )
+ )
+ (net sig00000b84
+ (joined
+ (portRef Q (instanceRef blk00000bfe))
+ (portRef D (instanceRef blk00000bff))
+ )
+ )
+ (net sig00000b85
+ (joined
+ (portRef Q (instanceRef blk00000c00))
+ (portRef D (instanceRef blk00000c01))
+ )
+ )
+ (net sig00000b86
+ (joined
+ (portRef Q (instanceRef blk00000c02))
+ (portRef D (instanceRef blk00000c03))
+ )
+ )
+ (net sig00000b87
+ (joined
+ (portRef Q (instanceRef blk00000c04))
+ (portRef D (instanceRef blk00000c05))
+ )
+ )
+ (net sig00000b88
+ (joined
+ (portRef Q (instanceRef blk00000c06))
+ (portRef D (instanceRef blk00000c07))
+ )
+ )
+ (net sig00000b89
+ (joined
+ (portRef Q (instanceRef blk00000c08))
+ (portRef D (instanceRef blk00000c09))
+ )
+ )
+ (net sig00000b8a
+ (joined
+ (portRef Q (instanceRef blk00000c0a))
+ (portRef D (instanceRef blk00000c0b))
+ )
+ )
+ (net sig00000b8b
+ (joined
+ (portRef Q (instanceRef blk00000c0c))
+ (portRef D (instanceRef blk00000c0d))
+ )
+ )
+ (net sig00000b8c
+ (joined
+ (portRef Q (instanceRef blk00000c0e))
+ (portRef D (instanceRef blk00000c0f))
+ )
+ )
+ (net sig00000b8d
+ (joined
+ (portRef Q (instanceRef blk00000c10))
+ (portRef D (instanceRef blk00000c11))
+ )
+ )
+ (net sig00000b8e
+ (joined
+ (portRef Q (instanceRef blk00000c12))
+ (portRef D (instanceRef blk00000c13))
+ )
+ )
+ )
+ )
+ )
+ (cell hbdec1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port sclr
+ (direction INPUT)
+ )
+ (port ce
+ (direction INPUT)
+ )
+ (port rfd
+ (direction OUTPUT)
+ )
+ (port rdy
+ (direction OUTPUT)
+ )
+ (port data_valid
+ (direction OUTPUT)
+ )
+ (port coef_we
+ (direction INPUT)
+ )
+ (port nd
+ (direction INPUT)
+ )
+ (port clk
+ (direction INPUT)
+ )
+ (port coef_ld
+ (direction INPUT)
+ )
+ (port (array (rename dout_1 "dout_1<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_2 "dout_2<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_1 "din_1<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_2 "din_2<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename coef_din "coef_din<17:0>") 18)
+ (direction INPUT))
+ (designator "7k325tffg900-2")
+ (property BUS_INFO (string "47:OUTPUT:dout_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property TYPE (string "hbdec1") (owner "Xilinx"))
+ (property X_CORE_INFO (string "fir_compiler_v5_0, Xilinx CORE Generator 14.4") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "hbdec1_hbdec1") (owner "Xilinx"))
+ )
+ (contents
+ (instance blk00000001
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ )
+ (instance blk00000002
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ )
+ (instance blk00000003
+ (viewRef view_1 (cellRef hbdec1_fir_compiler_v5_0_xst_1_blk00000003 (libraryRef hbdec1_lib)))
+ (property BUS_INFO (string "47:OUTPUT:dout_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_16<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:coef_filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_16<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_in<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_out<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:coef_filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_in<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_out<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_16<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_16<46:0>") (owner "Xilinx"))
+ (property CHECK_LICENSE_TYPE (string "hbdec1,fir_compiler_v5_0,NONE,NONE") (owner "Xilinx"))
+ (property CORE_GENERATION_INFO (string "hbdec1,fir_compiler_v5_0,{accum_width=47,allow_approx=0,c_has_ce=1,c_has_data_valid=1,c_has_nd=1,c_has_sclr=1,c_latency=21,c_mem_init_file=hbdec1.mif,c_optimization=1,chan_in_adv=0,chan_sel_width=1,clock_freq=200000000,coef_memtype=0,coef_reload=1,coef_type=0,coef_width=18,col_config=7,col_mode=0,col_pipe_len=4,data_memtype=0,data_type=0,data_width=24,datapath_memtype=0,decim_rate=2,filter_arch=1,filter_sel_width=1,filter_type=6,interp_rate=1,ipbuff_memtype=0,neg_symmetry=0,num_channels=1,num_filts=1,num_paths=2,num_taps=47,odd_symmetry=1,opbuff_memtype=0,output_reg=1,output_width=47,rate_change_type=0,round_mode=0,sample_freq=200000000,sclr_deterministic=1,symmetry=1,zero_packing_factor=1,}") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "-1") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "Yes") (owner "Xilinx"))
+ (property NB_BUSPIN_PROPS (string "OK") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "hbdec1_fir_compiler_v5_0_xst_1_blk00000003") (owner "Xilinx"))
+ )
+ (net sclr
+ (joined
+ (portRef sclr)
+ (portRef sclr (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N2") (owner "Xilinx"))
+ )
+ (net clk
+ (joined
+ (portRef clk)
+ (portRef clk (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N3") (owner "Xilinx"))
+ )
+ (net ce
+ (joined
+ (portRef ce)
+ (portRef ce (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N4") (owner "Xilinx"))
+ )
+ (net nd
+ (joined
+ (portRef nd)
+ (portRef nd (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N5") (owner "Xilinx"))
+ )
+ (net coef_ld
+ (joined
+ (portRef coef_ld)
+ (portRef coef_ld (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N7") (owner "Xilinx"))
+ )
+ (net coef_we
+ (joined
+ (portRef coef_we)
+ (portRef coef_we (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N8") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_17_ "coef_din<17>")
+ (joined
+ (portRef (member coef_din 0))
+ (portRef (member coef_din 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N9") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_16_ "coef_din<16>")
+ (joined
+ (portRef (member coef_din 1))
+ (portRef (member coef_din 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N10") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_15_ "coef_din<15>")
+ (joined
+ (portRef (member coef_din 2))
+ (portRef (member coef_din 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N11") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_14_ "coef_din<14>")
+ (joined
+ (portRef (member coef_din 3))
+ (portRef (member coef_din 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N12") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_13_ "coef_din<13>")
+ (joined
+ (portRef (member coef_din 4))
+ (portRef (member coef_din 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N13") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_12_ "coef_din<12>")
+ (joined
+ (portRef (member coef_din 5))
+ (portRef (member coef_din 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N14") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_11_ "coef_din<11>")
+ (joined
+ (portRef (member coef_din 6))
+ (portRef (member coef_din 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N15") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_10_ "coef_din<10>")
+ (joined
+ (portRef (member coef_din 7))
+ (portRef (member coef_din 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N16") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_9_ "coef_din<9>")
+ (joined
+ (portRef (member coef_din 8))
+ (portRef (member coef_din 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N17") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_8_ "coef_din<8>")
+ (joined
+ (portRef (member coef_din 9))
+ (portRef (member coef_din 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N18") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_7_ "coef_din<7>")
+ (joined
+ (portRef (member coef_din 10))
+ (portRef (member coef_din 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N19") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_6_ "coef_din<6>")
+ (joined
+ (portRef (member coef_din 11))
+ (portRef (member coef_din 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N20") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_5_ "coef_din<5>")
+ (joined
+ (portRef (member coef_din 12))
+ (portRef (member coef_din 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N21") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_4_ "coef_din<4>")
+ (joined
+ (portRef (member coef_din 13))
+ (portRef (member coef_din 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N22") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_3_ "coef_din<3>")
+ (joined
+ (portRef (member coef_din 14))
+ (portRef (member coef_din 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N23") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_2_ "coef_din<2>")
+ (joined
+ (portRef (member coef_din 15))
+ (portRef (member coef_din 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N24") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_1_ "coef_din<1>")
+ (joined
+ (portRef (member coef_din 16))
+ (portRef (member coef_din 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N25") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_0_ "coef_din<0>")
+ (joined
+ (portRef (member coef_din 17))
+ (portRef (member coef_din 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N26") (owner "Xilinx"))
+ )
+ (net rfd
+ (joined
+ (portRef rfd)
+ (portRef rfd (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N28") (owner "Xilinx"))
+ )
+ (net rdy
+ (joined
+ (portRef rdy)
+ (portRef rdy (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N29") (owner "Xilinx"))
+ )
+ (net data_valid
+ (joined
+ (portRef data_valid)
+ (portRef data_valid (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N30") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_23_ "din_1<23>")
+ (joined
+ (portRef (member din_1 0))
+ (portRef (member din_1 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N175") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_22_ "din_1<22>")
+ (joined
+ (portRef (member din_1 1))
+ (portRef (member din_1 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N176") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_21_ "din_1<21>")
+ (joined
+ (portRef (member din_1 2))
+ (portRef (member din_1 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N177") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_20_ "din_1<20>")
+ (joined
+ (portRef (member din_1 3))
+ (portRef (member din_1 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N178") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_19_ "din_1<19>")
+ (joined
+ (portRef (member din_1 4))
+ (portRef (member din_1 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N179") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_18_ "din_1<18>")
+ (joined
+ (portRef (member din_1 5))
+ (portRef (member din_1 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N180") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_17_ "din_1<17>")
+ (joined
+ (portRef (member din_1 6))
+ (portRef (member din_1 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N181") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_16_ "din_1<16>")
+ (joined
+ (portRef (member din_1 7))
+ (portRef (member din_1 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N182") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_15_ "din_1<15>")
+ (joined
+ (portRef (member din_1 8))
+ (portRef (member din_1 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N183") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_14_ "din_1<14>")
+ (joined
+ (portRef (member din_1 9))
+ (portRef (member din_1 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N184") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_13_ "din_1<13>")
+ (joined
+ (portRef (member din_1 10))
+ (portRef (member din_1 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N185") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_12_ "din_1<12>")
+ (joined
+ (portRef (member din_1 11))
+ (portRef (member din_1 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N186") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_11_ "din_1<11>")
+ (joined
+ (portRef (member din_1 12))
+ (portRef (member din_1 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N187") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_10_ "din_1<10>")
+ (joined
+ (portRef (member din_1 13))
+ (portRef (member din_1 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N188") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_9_ "din_1<9>")
+ (joined
+ (portRef (member din_1 14))
+ (portRef (member din_1 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N189") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_8_ "din_1<8>")
+ (joined
+ (portRef (member din_1 15))
+ (portRef (member din_1 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N190") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_7_ "din_1<7>")
+ (joined
+ (portRef (member din_1 16))
+ (portRef (member din_1 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N191") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_6_ "din_1<6>")
+ (joined
+ (portRef (member din_1 17))
+ (portRef (member din_1 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N192") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_5_ "din_1<5>")
+ (joined
+ (portRef (member din_1 18))
+ (portRef (member din_1 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N193") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_4_ "din_1<4>")
+ (joined
+ (portRef (member din_1 19))
+ (portRef (member din_1 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N194") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_3_ "din_1<3>")
+ (joined
+ (portRef (member din_1 20))
+ (portRef (member din_1 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N195") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_2_ "din_1<2>")
+ (joined
+ (portRef (member din_1 21))
+ (portRef (member din_1 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N196") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_1_ "din_1<1>")
+ (joined
+ (portRef (member din_1 22))
+ (portRef (member din_1 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N197") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_0_ "din_1<0>")
+ (joined
+ (portRef (member din_1 23))
+ (portRef (member din_1 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N198") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_23_ "din_2<23>")
+ (joined
+ (portRef (member din_2 0))
+ (portRef (member din_2 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N199") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_22_ "din_2<22>")
+ (joined
+ (portRef (member din_2 1))
+ (portRef (member din_2 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N200") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_21_ "din_2<21>")
+ (joined
+ (portRef (member din_2 2))
+ (portRef (member din_2 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N201") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_20_ "din_2<20>")
+ (joined
+ (portRef (member din_2 3))
+ (portRef (member din_2 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N202") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_19_ "din_2<19>")
+ (joined
+ (portRef (member din_2 4))
+ (portRef (member din_2 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N203") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_18_ "din_2<18>")
+ (joined
+ (portRef (member din_2 5))
+ (portRef (member din_2 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N204") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_17_ "din_2<17>")
+ (joined
+ (portRef (member din_2 6))
+ (portRef (member din_2 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N205") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_16_ "din_2<16>")
+ (joined
+ (portRef (member din_2 7))
+ (portRef (member din_2 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N206") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_15_ "din_2<15>")
+ (joined
+ (portRef (member din_2 8))
+ (portRef (member din_2 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N207") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_14_ "din_2<14>")
+ (joined
+ (portRef (member din_2 9))
+ (portRef (member din_2 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N208") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_13_ "din_2<13>")
+ (joined
+ (portRef (member din_2 10))
+ (portRef (member din_2 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N209") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_12_ "din_2<12>")
+ (joined
+ (portRef (member din_2 11))
+ (portRef (member din_2 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N210") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_11_ "din_2<11>")
+ (joined
+ (portRef (member din_2 12))
+ (portRef (member din_2 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N211") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_10_ "din_2<10>")
+ (joined
+ (portRef (member din_2 13))
+ (portRef (member din_2 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N212") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_9_ "din_2<9>")
+ (joined
+ (portRef (member din_2 14))
+ (portRef (member din_2 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N213") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_8_ "din_2<8>")
+ (joined
+ (portRef (member din_2 15))
+ (portRef (member din_2 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N214") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_7_ "din_2<7>")
+ (joined
+ (portRef (member din_2 16))
+ (portRef (member din_2 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N215") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_6_ "din_2<6>")
+ (joined
+ (portRef (member din_2 17))
+ (portRef (member din_2 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N216") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_5_ "din_2<5>")
+ (joined
+ (portRef (member din_2 18))
+ (portRef (member din_2 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N217") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_4_ "din_2<4>")
+ (joined
+ (portRef (member din_2 19))
+ (portRef (member din_2 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N218") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_3_ "din_2<3>")
+ (joined
+ (portRef (member din_2 20))
+ (portRef (member din_2 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N219") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_2_ "din_2<2>")
+ (joined
+ (portRef (member din_2 21))
+ (portRef (member din_2 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N220") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_1_ "din_2<1>")
+ (joined
+ (portRef (member din_2 22))
+ (portRef (member din_2 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N221") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_0_ "din_2<0>")
+ (joined
+ (portRef (member din_2 23))
+ (portRef (member din_2 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N222") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_46_ "dout_1<46>")
+ (joined
+ (portRef (member dout_1 0))
+ (portRef (member dout_1 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N559") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_45_ "dout_1<45>")
+ (joined
+ (portRef (member dout_1 1))
+ (portRef (member dout_1 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N560") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_44_ "dout_1<44>")
+ (joined
+ (portRef (member dout_1 2))
+ (portRef (member dout_1 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N561") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_43_ "dout_1<43>")
+ (joined
+ (portRef (member dout_1 3))
+ (portRef (member dout_1 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N562") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_42_ "dout_1<42>")
+ (joined
+ (portRef (member dout_1 4))
+ (portRef (member dout_1 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N563") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_41_ "dout_1<41>")
+ (joined
+ (portRef (member dout_1 5))
+ (portRef (member dout_1 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N564") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_40_ "dout_1<40>")
+ (joined
+ (portRef (member dout_1 6))
+ (portRef (member dout_1 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N565") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_39_ "dout_1<39>")
+ (joined
+ (portRef (member dout_1 7))
+ (portRef (member dout_1 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N566") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_38_ "dout_1<38>")
+ (joined
+ (portRef (member dout_1 8))
+ (portRef (member dout_1 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N567") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_37_ "dout_1<37>")
+ (joined
+ (portRef (member dout_1 9))
+ (portRef (member dout_1 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N568") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_36_ "dout_1<36>")
+ (joined
+ (portRef (member dout_1 10))
+ (portRef (member dout_1 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N569") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_35_ "dout_1<35>")
+ (joined
+ (portRef (member dout_1 11))
+ (portRef (member dout_1 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N570") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_34_ "dout_1<34>")
+ (joined
+ (portRef (member dout_1 12))
+ (portRef (member dout_1 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N571") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_33_ "dout_1<33>")
+ (joined
+ (portRef (member dout_1 13))
+ (portRef (member dout_1 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N572") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_32_ "dout_1<32>")
+ (joined
+ (portRef (member dout_1 14))
+ (portRef (member dout_1 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N573") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_31_ "dout_1<31>")
+ (joined
+ (portRef (member dout_1 15))
+ (portRef (member dout_1 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N574") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_30_ "dout_1<30>")
+ (joined
+ (portRef (member dout_1 16))
+ (portRef (member dout_1 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N575") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_29_ "dout_1<29>")
+ (joined
+ (portRef (member dout_1 17))
+ (portRef (member dout_1 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N576") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_28_ "dout_1<28>")
+ (joined
+ (portRef (member dout_1 18))
+ (portRef (member dout_1 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N577") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_27_ "dout_1<27>")
+ (joined
+ (portRef (member dout_1 19))
+ (portRef (member dout_1 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N578") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_26_ "dout_1<26>")
+ (joined
+ (portRef (member dout_1 20))
+ (portRef (member dout_1 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N579") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_25_ "dout_1<25>")
+ (joined
+ (portRef (member dout_1 21))
+ (portRef (member dout_1 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N580") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_24_ "dout_1<24>")
+ (joined
+ (portRef (member dout_1 22))
+ (portRef (member dout_1 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N581") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_23_ "dout_1<23>")
+ (joined
+ (portRef (member dout_1 23))
+ (portRef (member dout_1 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N582") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_22_ "dout_1<22>")
+ (joined
+ (portRef (member dout_1 24))
+ (portRef (member dout_1 24) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N583") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_21_ "dout_1<21>")
+ (joined
+ (portRef (member dout_1 25))
+ (portRef (member dout_1 25) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N584") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_20_ "dout_1<20>")
+ (joined
+ (portRef (member dout_1 26))
+ (portRef (member dout_1 26) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N585") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_19_ "dout_1<19>")
+ (joined
+ (portRef (member dout_1 27))
+ (portRef (member dout_1 27) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N586") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_18_ "dout_1<18>")
+ (joined
+ (portRef (member dout_1 28))
+ (portRef (member dout_1 28) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N587") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_17_ "dout_1<17>")
+ (joined
+ (portRef (member dout_1 29))
+ (portRef (member dout_1 29) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N588") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_16_ "dout_1<16>")
+ (joined
+ (portRef (member dout_1 30))
+ (portRef (member dout_1 30) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N589") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_15_ "dout_1<15>")
+ (joined
+ (portRef (member dout_1 31))
+ (portRef (member dout_1 31) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N590") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_14_ "dout_1<14>")
+ (joined
+ (portRef (member dout_1 32))
+ (portRef (member dout_1 32) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N591") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_13_ "dout_1<13>")
+ (joined
+ (portRef (member dout_1 33))
+ (portRef (member dout_1 33) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N592") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_12_ "dout_1<12>")
+ (joined
+ (portRef (member dout_1 34))
+ (portRef (member dout_1 34) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N593") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_11_ "dout_1<11>")
+ (joined
+ (portRef (member dout_1 35))
+ (portRef (member dout_1 35) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N594") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_10_ "dout_1<10>")
+ (joined
+ (portRef (member dout_1 36))
+ (portRef (member dout_1 36) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N595") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_9_ "dout_1<9>")
+ (joined
+ (portRef (member dout_1 37))
+ (portRef (member dout_1 37) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N596") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_8_ "dout_1<8>")
+ (joined
+ (portRef (member dout_1 38))
+ (portRef (member dout_1 38) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N597") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_7_ "dout_1<7>")
+ (joined
+ (portRef (member dout_1 39))
+ (portRef (member dout_1 39) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N598") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_6_ "dout_1<6>")
+ (joined
+ (portRef (member dout_1 40))
+ (portRef (member dout_1 40) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N599") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_5_ "dout_1<5>")
+ (joined
+ (portRef (member dout_1 41))
+ (portRef (member dout_1 41) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N600") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_4_ "dout_1<4>")
+ (joined
+ (portRef (member dout_1 42))
+ (portRef (member dout_1 42) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N601") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_3_ "dout_1<3>")
+ (joined
+ (portRef (member dout_1 43))
+ (portRef (member dout_1 43) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N602") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_2_ "dout_1<2>")
+ (joined
+ (portRef (member dout_1 44))
+ (portRef (member dout_1 44) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N603") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_1_ "dout_1<1>")
+ (joined
+ (portRef (member dout_1 45))
+ (portRef (member dout_1 45) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N604") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_0_ "dout_1<0>")
+ (joined
+ (portRef (member dout_1 46))
+ (portRef (member dout_1 46) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N605") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_46_ "dout_2<46>")
+ (joined
+ (portRef (member dout_2 0))
+ (portRef (member dout_2 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N677") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_45_ "dout_2<45>")
+ (joined
+ (portRef (member dout_2 1))
+ (portRef (member dout_2 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N678") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_44_ "dout_2<44>")
+ (joined
+ (portRef (member dout_2 2))
+ (portRef (member dout_2 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N679") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_43_ "dout_2<43>")
+ (joined
+ (portRef (member dout_2 3))
+ (portRef (member dout_2 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N680") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_42_ "dout_2<42>")
+ (joined
+ (portRef (member dout_2 4))
+ (portRef (member dout_2 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N681") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_41_ "dout_2<41>")
+ (joined
+ (portRef (member dout_2 5))
+ (portRef (member dout_2 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N682") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_40_ "dout_2<40>")
+ (joined
+ (portRef (member dout_2 6))
+ (portRef (member dout_2 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N683") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_39_ "dout_2<39>")
+ (joined
+ (portRef (member dout_2 7))
+ (portRef (member dout_2 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N684") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_38_ "dout_2<38>")
+ (joined
+ (portRef (member dout_2 8))
+ (portRef (member dout_2 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N685") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_37_ "dout_2<37>")
+ (joined
+ (portRef (member dout_2 9))
+ (portRef (member dout_2 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N686") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_36_ "dout_2<36>")
+ (joined
+ (portRef (member dout_2 10))
+ (portRef (member dout_2 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N687") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_35_ "dout_2<35>")
+ (joined
+ (portRef (member dout_2 11))
+ (portRef (member dout_2 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N688") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_34_ "dout_2<34>")
+ (joined
+ (portRef (member dout_2 12))
+ (portRef (member dout_2 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N689") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_33_ "dout_2<33>")
+ (joined
+ (portRef (member dout_2 13))
+ (portRef (member dout_2 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N690") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_32_ "dout_2<32>")
+ (joined
+ (portRef (member dout_2 14))
+ (portRef (member dout_2 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N691") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_31_ "dout_2<31>")
+ (joined
+ (portRef (member dout_2 15))
+ (portRef (member dout_2 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N692") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_30_ "dout_2<30>")
+ (joined
+ (portRef (member dout_2 16))
+ (portRef (member dout_2 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N693") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_29_ "dout_2<29>")
+ (joined
+ (portRef (member dout_2 17))
+ (portRef (member dout_2 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N694") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_28_ "dout_2<28>")
+ (joined
+ (portRef (member dout_2 18))
+ (portRef (member dout_2 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N695") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_27_ "dout_2<27>")
+ (joined
+ (portRef (member dout_2 19))
+ (portRef (member dout_2 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N696") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_26_ "dout_2<26>")
+ (joined
+ (portRef (member dout_2 20))
+ (portRef (member dout_2 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N697") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_25_ "dout_2<25>")
+ (joined
+ (portRef (member dout_2 21))
+ (portRef (member dout_2 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N698") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_24_ "dout_2<24>")
+ (joined
+ (portRef (member dout_2 22))
+ (portRef (member dout_2 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N699") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_23_ "dout_2<23>")
+ (joined
+ (portRef (member dout_2 23))
+ (portRef (member dout_2 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N700") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_22_ "dout_2<22>")
+ (joined
+ (portRef (member dout_2 24))
+ (portRef (member dout_2 24) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N701") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_21_ "dout_2<21>")
+ (joined
+ (portRef (member dout_2 25))
+ (portRef (member dout_2 25) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N702") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_20_ "dout_2<20>")
+ (joined
+ (portRef (member dout_2 26))
+ (portRef (member dout_2 26) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N703") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_19_ "dout_2<19>")
+ (joined
+ (portRef (member dout_2 27))
+ (portRef (member dout_2 27) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N704") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_18_ "dout_2<18>")
+ (joined
+ (portRef (member dout_2 28))
+ (portRef (member dout_2 28) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N705") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_17_ "dout_2<17>")
+ (joined
+ (portRef (member dout_2 29))
+ (portRef (member dout_2 29) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N706") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_16_ "dout_2<16>")
+ (joined
+ (portRef (member dout_2 30))
+ (portRef (member dout_2 30) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N707") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_15_ "dout_2<15>")
+ (joined
+ (portRef (member dout_2 31))
+ (portRef (member dout_2 31) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N708") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_14_ "dout_2<14>")
+ (joined
+ (portRef (member dout_2 32))
+ (portRef (member dout_2 32) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N709") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_13_ "dout_2<13>")
+ (joined
+ (portRef (member dout_2 33))
+ (portRef (member dout_2 33) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N710") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_12_ "dout_2<12>")
+ (joined
+ (portRef (member dout_2 34))
+ (portRef (member dout_2 34) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N711") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_11_ "dout_2<11>")
+ (joined
+ (portRef (member dout_2 35))
+ (portRef (member dout_2 35) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N712") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_10_ "dout_2<10>")
+ (joined
+ (portRef (member dout_2 36))
+ (portRef (member dout_2 36) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N713") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_9_ "dout_2<9>")
+ (joined
+ (portRef (member dout_2 37))
+ (portRef (member dout_2 37) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N714") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_8_ "dout_2<8>")
+ (joined
+ (portRef (member dout_2 38))
+ (portRef (member dout_2 38) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N715") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_7_ "dout_2<7>")
+ (joined
+ (portRef (member dout_2 39))
+ (portRef (member dout_2 39) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N716") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_6_ "dout_2<6>")
+ (joined
+ (portRef (member dout_2 40))
+ (portRef (member dout_2 40) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N717") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_5_ "dout_2<5>")
+ (joined
+ (portRef (member dout_2 41))
+ (portRef (member dout_2 41) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N718") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_4_ "dout_2<4>")
+ (joined
+ (portRef (member dout_2 42))
+ (portRef (member dout_2 42) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N719") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_3_ "dout_2<3>")
+ (joined
+ (portRef (member dout_2 43))
+ (portRef (member dout_2 43) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N720") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_2_ "dout_2<2>")
+ (joined
+ (portRef (member dout_2 44))
+ (portRef (member dout_2 44) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N721") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_1_ "dout_2<1>")
+ (joined
+ (portRef (member dout_2 45))
+ (portRef (member dout_2 45) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N722") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_0_ "dout_2<0>")
+ (joined
+ (portRef (member dout_2 46))
+ (portRef (member dout_2 46) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N723") (owner "Xilinx"))
+ )
+ )
+ )
+ )
+ )
+
+ (design hbdec1
+ (cellRef hbdec1
+ (libraryRef hbdec1_lib)
+ )
+ (property PART (string "7k325tffg900-2") (owner "Xilinx"))
+ )
+)
+
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec1.v b/fpga/usrp3/top/x400/coregen_dsp/hbdec1.v
new file mode 100644
index 000000000..916d06e13
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec1.v
@@ -0,0 +1,39998 @@
+////////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved.
+////////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor: Xilinx
+// \ \ \/ Version: P.49d
+// \ \ Application: netgen
+// / / Filename: hbdec1.v
+// /___/ /\ Timestamp: Wed Dec 4 13:35:23 2013
+// \ \ / \
+// \___\/\___\
+//
+// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec1.ngc ./tmp/_cg/hbdec1.v
+// Device : 7k325tffg900-2
+// Input file : ./tmp/_cg/hbdec1.ngc
+// Output file : ./tmp/_cg/hbdec1.v
+// # of Modules : 1
+// Design Name : hbdec1
+// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/
+//
+// Purpose:
+// This verilog netlist is a verification model and uses simulation
+// primitives which may not represent the true implementation of the
+// device, however the netlist is functionally correct and should not
+// be modified. This file cannot be synthesized and should only be used
+// with supported simulation tools.
+//
+// Reference:
+// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6
+//
+////////////////////////////////////////////////////////////////////////////////
+
+`timescale 1 ns/1 ps
+
+module hbdec1 (
+ sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din
+)/* synthesis syn_black_box syn_noprune=1 */;
+ input sclr;
+ input ce;
+ output rfd;
+ output rdy;
+ output data_valid;
+ input coef_we;
+ input nd;
+ input clk;
+ input coef_ld;
+ output [46 : 0] dout_1;
+ output [46 : 0] dout_2;
+ input [23 : 0] din_1;
+ input [23 : 0] din_2;
+ input [17 : 0] coef_din;
+
+ // synthesis translate_off
+
+ wire NlwRenamedSig_OI_rfd;
+ wire \blk00000003/sig00000b8e ;
+ wire \blk00000003/sig00000b8d ;
+ wire \blk00000003/sig00000b8c ;
+ wire \blk00000003/sig00000b8b ;
+ wire \blk00000003/sig00000b8a ;
+ wire \blk00000003/sig00000b89 ;
+ wire \blk00000003/sig00000b88 ;
+ wire \blk00000003/sig00000b87 ;
+ wire \blk00000003/sig00000b86 ;
+ wire \blk00000003/sig00000b85 ;
+ wire \blk00000003/sig00000b84 ;
+ wire \blk00000003/sig00000b83 ;
+ wire \blk00000003/sig00000b82 ;
+ wire \blk00000003/sig00000b81 ;
+ wire \blk00000003/sig00000b80 ;
+ wire \blk00000003/sig00000b7f ;
+ wire \blk00000003/sig00000b7e ;
+ wire \blk00000003/sig00000b7d ;
+ wire \blk00000003/sig00000b7c ;
+ wire \blk00000003/sig00000b7b ;
+ wire \blk00000003/sig00000b7a ;
+ wire \blk00000003/sig00000b79 ;
+ wire \blk00000003/sig00000b78 ;
+ wire \blk00000003/sig00000b77 ;
+ wire \blk00000003/sig00000b76 ;
+ wire \blk00000003/sig00000b75 ;
+ wire \blk00000003/sig00000b74 ;
+ wire \blk00000003/sig00000b73 ;
+ wire \blk00000003/sig00000b72 ;
+ wire \blk00000003/sig00000b71 ;
+ wire \blk00000003/sig00000b70 ;
+ wire \blk00000003/sig00000b6f ;
+ wire \blk00000003/sig00000b6e ;
+ wire \blk00000003/sig00000b6d ;
+ wire \blk00000003/sig00000b6c ;
+ wire \blk00000003/sig00000b6b ;
+ wire \blk00000003/sig00000b6a ;
+ wire \blk00000003/sig00000b69 ;
+ wire \blk00000003/sig00000b68 ;
+ wire \blk00000003/sig00000b67 ;
+ wire \blk00000003/sig00000b66 ;
+ wire \blk00000003/sig00000b65 ;
+ wire \blk00000003/sig00000b64 ;
+ wire \blk00000003/sig00000b63 ;
+ wire \blk00000003/sig00000b62 ;
+ wire \blk00000003/sig00000b61 ;
+ wire \blk00000003/sig00000b60 ;
+ wire \blk00000003/sig00000b5f ;
+ wire \blk00000003/sig00000b5e ;
+ wire \blk00000003/sig00000b5d ;
+ wire \blk00000003/sig00000b5c ;
+ wire \blk00000003/sig00000b5b ;
+ wire \blk00000003/sig00000b5a ;
+ wire \blk00000003/sig00000b59 ;
+ wire \blk00000003/sig00000b58 ;
+ wire \blk00000003/sig00000b57 ;
+ wire \blk00000003/sig00000b56 ;
+ wire \blk00000003/sig00000b55 ;
+ wire \blk00000003/sig00000b54 ;
+ wire \blk00000003/sig00000b53 ;
+ wire \blk00000003/sig00000b52 ;
+ wire \blk00000003/sig00000b51 ;
+ wire \blk00000003/sig00000b50 ;
+ wire \blk00000003/sig00000b4f ;
+ wire \blk00000003/sig00000b4e ;
+ wire \blk00000003/sig00000b4d ;
+ wire \blk00000003/sig00000b4c ;
+ wire \blk00000003/sig00000b4b ;
+ wire \blk00000003/sig00000b4a ;
+ wire \blk00000003/sig00000b49 ;
+ wire \blk00000003/sig00000b48 ;
+ wire \blk00000003/sig00000b47 ;
+ wire \blk00000003/sig00000b46 ;
+ wire \blk00000003/sig00000b45 ;
+ wire \blk00000003/sig00000b44 ;
+ wire \blk00000003/sig00000b43 ;
+ wire \blk00000003/sig00000b42 ;
+ wire \blk00000003/sig00000b41 ;
+ wire \blk00000003/sig00000b40 ;
+ wire \blk00000003/sig00000b3f ;
+ wire \blk00000003/sig00000b3e ;
+ wire \blk00000003/sig00000b3d ;
+ wire \blk00000003/sig00000b3c ;
+ wire \blk00000003/sig00000b3b ;
+ wire \blk00000003/sig00000b3a ;
+ wire \blk00000003/sig00000b39 ;
+ wire \blk00000003/sig00000b38 ;
+ wire \blk00000003/sig00000b37 ;
+ wire \blk00000003/sig00000b36 ;
+ wire \blk00000003/sig00000b35 ;
+ wire \blk00000003/sig00000b34 ;
+ wire \blk00000003/sig00000b33 ;
+ wire \blk00000003/sig00000b32 ;
+ wire \blk00000003/sig00000b31 ;
+ wire \blk00000003/sig00000b30 ;
+ wire \blk00000003/sig00000b2f ;
+ wire \blk00000003/sig00000b2e ;
+ wire \blk00000003/sig00000b2d ;
+ wire \blk00000003/sig00000b2c ;
+ wire \blk00000003/sig00000b2b ;
+ wire \blk00000003/sig00000b2a ;
+ wire \blk00000003/sig00000b29 ;
+ wire \blk00000003/sig00000b28 ;
+ wire \blk00000003/sig00000b27 ;
+ wire \blk00000003/sig00000b26 ;
+ wire \blk00000003/sig00000b25 ;
+ wire \blk00000003/sig00000b24 ;
+ wire \blk00000003/sig00000b23 ;
+ wire \blk00000003/sig00000b22 ;
+ wire \blk00000003/sig00000b21 ;
+ wire \blk00000003/sig00000b20 ;
+ wire \blk00000003/sig00000b1f ;
+ wire \blk00000003/sig00000b1e ;
+ wire \blk00000003/sig00000b1d ;
+ wire \blk00000003/sig00000b1c ;
+ wire \blk00000003/sig00000b1b ;
+ wire \blk00000003/sig00000b1a ;
+ wire \blk00000003/sig00000b19 ;
+ wire \blk00000003/sig00000b18 ;
+ wire \blk00000003/sig00000b17 ;
+ wire \blk00000003/sig00000b16 ;
+ wire \blk00000003/sig00000b15 ;
+ wire \blk00000003/sig00000b14 ;
+ wire \blk00000003/sig00000b13 ;
+ wire \blk00000003/sig00000b12 ;
+ wire \blk00000003/sig00000b11 ;
+ wire \blk00000003/sig00000b10 ;
+ wire \blk00000003/sig00000b0f ;
+ wire \blk00000003/sig00000b0e ;
+ wire \blk00000003/sig00000b0d ;
+ wire \blk00000003/sig00000b0c ;
+ wire \blk00000003/sig00000b0b ;
+ wire \blk00000003/sig00000b0a ;
+ wire \blk00000003/sig00000b09 ;
+ wire \blk00000003/sig00000b08 ;
+ wire \blk00000003/sig00000b07 ;
+ wire \blk00000003/sig00000b06 ;
+ wire \blk00000003/sig00000b05 ;
+ wire \blk00000003/sig00000b04 ;
+ wire \blk00000003/sig00000b03 ;
+ wire \blk00000003/sig00000b02 ;
+ wire \blk00000003/sig00000b01 ;
+ wire \blk00000003/sig00000b00 ;
+ wire \blk00000003/sig00000aff ;
+ wire \blk00000003/sig00000afe ;
+ wire \blk00000003/sig00000afd ;
+ wire \blk00000003/sig00000afc ;
+ wire \blk00000003/sig00000afb ;
+ wire \blk00000003/sig00000afa ;
+ wire \blk00000003/sig00000af9 ;
+ wire \blk00000003/sig00000af8 ;
+ wire \blk00000003/sig00000af7 ;
+ wire \blk00000003/sig00000af6 ;
+ wire \blk00000003/sig00000af5 ;
+ wire \blk00000003/sig00000af4 ;
+ wire \blk00000003/sig00000af3 ;
+ wire \blk00000003/sig00000af2 ;
+ wire \blk00000003/sig00000af1 ;
+ wire \blk00000003/sig00000af0 ;
+ wire \blk00000003/sig00000aef ;
+ wire \blk00000003/sig00000aee ;
+ wire \blk00000003/sig00000aed ;
+ wire \blk00000003/sig00000aec ;
+ wire \blk00000003/sig00000aeb ;
+ wire \blk00000003/sig00000aea ;
+ wire \blk00000003/sig00000ae9 ;
+ wire \blk00000003/sig00000ae8 ;
+ wire \blk00000003/sig00000ae7 ;
+ wire \blk00000003/sig00000ae6 ;
+ wire \blk00000003/sig00000ae5 ;
+ wire \blk00000003/sig00000ae4 ;
+ wire \blk00000003/sig00000ae3 ;
+ wire \blk00000003/sig00000ae2 ;
+ wire \blk00000003/sig00000ae1 ;
+ wire \blk00000003/sig00000ae0 ;
+ wire \blk00000003/sig00000adf ;
+ wire \blk00000003/sig00000ade ;
+ wire \blk00000003/sig00000add ;
+ wire \blk00000003/sig00000adc ;
+ wire \blk00000003/sig00000adb ;
+ wire \blk00000003/sig00000ada ;
+ wire \blk00000003/sig00000ad9 ;
+ wire \blk00000003/sig00000ad8 ;
+ wire \blk00000003/sig00000ad7 ;
+ wire \blk00000003/sig00000ad6 ;
+ wire \blk00000003/sig00000ad5 ;
+ wire \blk00000003/sig00000ad4 ;
+ wire \blk00000003/sig00000ad3 ;
+ wire \blk00000003/sig00000ad2 ;
+ wire \blk00000003/sig00000ad1 ;
+ wire \blk00000003/sig00000ad0 ;
+ wire \blk00000003/sig00000acf ;
+ wire \blk00000003/sig00000ace ;
+ wire \blk00000003/sig00000acd ;
+ wire \blk00000003/sig00000acc ;
+ wire \blk00000003/sig00000acb ;
+ wire \blk00000003/sig00000aca ;
+ wire \blk00000003/sig00000ac9 ;
+ wire \blk00000003/sig00000ac8 ;
+ wire \blk00000003/sig00000ac7 ;
+ wire \blk00000003/sig00000ac6 ;
+ wire \blk00000003/sig00000ac5 ;
+ wire \blk00000003/sig00000ac4 ;
+ wire \blk00000003/sig00000ac3 ;
+ wire \blk00000003/sig00000ac2 ;
+ wire \blk00000003/sig00000ac1 ;
+ wire \blk00000003/sig00000ac0 ;
+ wire \blk00000003/sig00000abf ;
+ wire \blk00000003/sig00000abe ;
+ wire \blk00000003/sig00000abd ;
+ wire \blk00000003/sig00000abc ;
+ wire \blk00000003/sig00000abb ;
+ wire \blk00000003/sig00000aba ;
+ wire \blk00000003/sig00000ab9 ;
+ wire \blk00000003/sig00000ab8 ;
+ wire \blk00000003/sig00000ab7 ;
+ wire \blk00000003/sig00000ab6 ;
+ wire \blk00000003/sig00000ab5 ;
+ wire \blk00000003/sig00000ab4 ;
+ wire \blk00000003/sig00000ab3 ;
+ wire \blk00000003/sig00000ab2 ;
+ wire \blk00000003/sig00000ab1 ;
+ wire \blk00000003/sig00000ab0 ;
+ wire \blk00000003/sig00000aaf ;
+ wire \blk00000003/sig00000aae ;
+ wire \blk00000003/sig00000aad ;
+ wire \blk00000003/sig00000aac ;
+ wire \blk00000003/sig00000aab ;
+ wire \blk00000003/sig00000aaa ;
+ wire \blk00000003/sig00000aa9 ;
+ wire \blk00000003/sig00000aa8 ;
+ wire \blk00000003/sig00000aa7 ;
+ wire \blk00000003/sig00000aa6 ;
+ wire \blk00000003/sig00000aa5 ;
+ wire \blk00000003/sig00000aa4 ;
+ wire \blk00000003/sig00000aa3 ;
+ wire \blk00000003/sig00000aa2 ;
+ wire \blk00000003/sig00000aa1 ;
+ wire \blk00000003/sig00000aa0 ;
+ wire \blk00000003/sig00000a9f ;
+ wire \blk00000003/sig00000a9e ;
+ wire \blk00000003/sig00000a9d ;
+ wire \blk00000003/sig00000a9c ;
+ wire \blk00000003/sig00000a9b ;
+ wire \blk00000003/sig00000a9a ;
+ wire \blk00000003/sig00000a99 ;
+ wire \blk00000003/sig00000a98 ;
+ wire \blk00000003/sig00000a97 ;
+ wire \blk00000003/sig00000a96 ;
+ wire \blk00000003/sig00000a95 ;
+ wire \blk00000003/sig00000a94 ;
+ wire \blk00000003/sig00000a93 ;
+ wire \blk00000003/sig00000a92 ;
+ wire \blk00000003/sig00000a91 ;
+ wire \blk00000003/sig00000a90 ;
+ wire \blk00000003/sig00000a8f ;
+ wire \blk00000003/sig00000a8e ;
+ wire \blk00000003/sig00000a8d ;
+ wire \blk00000003/sig00000a8c ;
+ wire \blk00000003/sig00000a8b ;
+ wire \blk00000003/sig00000a8a ;
+ wire \blk00000003/sig00000a89 ;
+ wire \blk00000003/sig00000a88 ;
+ wire \blk00000003/sig00000a87 ;
+ wire \blk00000003/sig00000a86 ;
+ wire \blk00000003/sig00000a85 ;
+ wire \blk00000003/sig00000a84 ;
+ wire \blk00000003/sig00000a83 ;
+ wire \blk00000003/sig00000a82 ;
+ wire \blk00000003/sig00000a81 ;
+ wire \blk00000003/sig00000a80 ;
+ wire \blk00000003/sig00000a7f ;
+ wire \blk00000003/sig00000a7e ;
+ wire \blk00000003/sig00000a7d ;
+ wire \blk00000003/sig00000a7c ;
+ wire \blk00000003/sig00000a7b ;
+ wire \blk00000003/sig00000a7a ;
+ wire \blk00000003/sig00000a79 ;
+ wire \blk00000003/sig00000a78 ;
+ wire \blk00000003/sig00000a77 ;
+ wire \blk00000003/sig00000a76 ;
+ wire \blk00000003/sig00000a75 ;
+ wire \blk00000003/sig00000a74 ;
+ wire \blk00000003/sig00000a73 ;
+ wire \blk00000003/sig00000a72 ;
+ wire \blk00000003/sig00000a71 ;
+ wire \blk00000003/sig00000a70 ;
+ wire \blk00000003/sig00000a6f ;
+ wire \blk00000003/sig00000a6e ;
+ wire \blk00000003/sig00000a6d ;
+ wire \blk00000003/sig00000a6c ;
+ wire \blk00000003/sig00000a6b ;
+ wire \blk00000003/sig00000a6a ;
+ wire \blk00000003/sig00000a69 ;
+ wire \blk00000003/sig00000a68 ;
+ wire \blk00000003/sig00000a67 ;
+ wire \blk00000003/sig00000a66 ;
+ wire \blk00000003/sig00000a65 ;
+ wire \blk00000003/sig00000a64 ;
+ wire \blk00000003/sig00000a63 ;
+ wire \blk00000003/sig00000a62 ;
+ wire \blk00000003/sig00000a61 ;
+ wire \blk00000003/sig00000a60 ;
+ wire \blk00000003/sig00000a5f ;
+ wire \blk00000003/sig00000a5e ;
+ wire \blk00000003/sig00000a5d ;
+ wire \blk00000003/sig00000a5c ;
+ wire \blk00000003/sig00000a5b ;
+ wire \blk00000003/sig00000a5a ;
+ wire \blk00000003/sig00000a59 ;
+ wire \blk00000003/sig00000a58 ;
+ wire \blk00000003/sig00000a57 ;
+ wire \blk00000003/sig00000a56 ;
+ wire \blk00000003/sig00000a55 ;
+ wire \blk00000003/sig00000a54 ;
+ wire \blk00000003/sig00000a53 ;
+ wire \blk00000003/sig00000a52 ;
+ wire \blk00000003/sig00000a51 ;
+ wire \blk00000003/sig00000a50 ;
+ wire \blk00000003/sig00000a4f ;
+ wire \blk00000003/sig00000a4e ;
+ wire \blk00000003/sig00000a4d ;
+ wire \blk00000003/sig00000a4c ;
+ wire \blk00000003/sig00000a4b ;
+ wire \blk00000003/sig00000a4a ;
+ wire \blk00000003/sig00000a49 ;
+ wire \blk00000003/sig00000a48 ;
+ wire \blk00000003/sig00000a47 ;
+ wire \blk00000003/sig00000a46 ;
+ wire \blk00000003/sig00000a45 ;
+ wire \blk00000003/sig00000a44 ;
+ wire \blk00000003/sig00000a43 ;
+ wire \blk00000003/sig00000a42 ;
+ wire \blk00000003/sig00000a41 ;
+ wire \blk00000003/sig00000a40 ;
+ wire \blk00000003/sig00000a3f ;
+ wire \blk00000003/sig00000a3e ;
+ wire \blk00000003/sig00000a3d ;
+ wire \blk00000003/sig00000a3c ;
+ wire \blk00000003/sig00000a3b ;
+ wire \blk00000003/sig00000a3a ;
+ wire \blk00000003/sig00000a39 ;
+ wire \blk00000003/sig00000a38 ;
+ wire \blk00000003/sig00000a37 ;
+ wire \blk00000003/sig00000a36 ;
+ wire \blk00000003/sig00000a35 ;
+ wire \blk00000003/sig00000a34 ;
+ wire \blk00000003/sig00000a33 ;
+ wire \blk00000003/sig00000a32 ;
+ wire \blk00000003/sig00000a31 ;
+ wire \blk00000003/sig00000a30 ;
+ wire \blk00000003/sig00000a2f ;
+ wire \blk00000003/sig00000a2e ;
+ wire \blk00000003/sig00000a2d ;
+ wire \blk00000003/sig00000a2c ;
+ wire \blk00000003/sig00000a2b ;
+ wire \blk00000003/sig00000a2a ;
+ wire \blk00000003/sig00000a29 ;
+ wire \blk00000003/sig00000a28 ;
+ wire \blk00000003/sig00000a27 ;
+ wire \blk00000003/sig00000a26 ;
+ wire \blk00000003/sig00000a25 ;
+ wire \blk00000003/sig00000a24 ;
+ wire \blk00000003/sig00000a23 ;
+ wire \blk00000003/sig00000a22 ;
+ wire \blk00000003/sig00000a21 ;
+ wire \blk00000003/sig00000a20 ;
+ wire \blk00000003/sig00000a1f ;
+ wire \blk00000003/sig00000a1e ;
+ wire \blk00000003/sig00000a1d ;
+ wire \blk00000003/sig00000a1c ;
+ wire \blk00000003/sig00000a1b ;
+ wire \blk00000003/sig00000a1a ;
+ wire \blk00000003/sig00000a19 ;
+ wire \blk00000003/sig00000a18 ;
+ wire \blk00000003/sig00000a17 ;
+ wire \blk00000003/sig00000a16 ;
+ wire \blk00000003/sig00000a15 ;
+ wire \blk00000003/sig00000a14 ;
+ wire \blk00000003/sig00000a13 ;
+ wire \blk00000003/sig00000a12 ;
+ wire \blk00000003/sig00000a11 ;
+ wire \blk00000003/sig00000a10 ;
+ wire \blk00000003/sig00000a0f ;
+ wire \blk00000003/sig00000a0e ;
+ wire \blk00000003/sig00000a0d ;
+ wire \blk00000003/sig00000a0c ;
+ wire \blk00000003/sig00000a0b ;
+ wire \blk00000003/sig00000a0a ;
+ wire \blk00000003/sig00000a09 ;
+ wire \blk00000003/sig00000a08 ;
+ wire \blk00000003/sig00000a07 ;
+ wire \blk00000003/sig00000a06 ;
+ wire \blk00000003/sig00000a05 ;
+ wire \blk00000003/sig00000a04 ;
+ wire \blk00000003/sig00000a03 ;
+ wire \blk00000003/sig00000a02 ;
+ wire \blk00000003/sig00000a01 ;
+ wire \blk00000003/sig00000a00 ;
+ wire \blk00000003/sig000009ff ;
+ wire \blk00000003/sig000009fe ;
+ wire \blk00000003/sig000009fd ;
+ wire \blk00000003/sig000009fc ;
+ wire \blk00000003/sig000009fb ;
+ wire \blk00000003/sig000009fa ;
+ wire \blk00000003/sig000009f9 ;
+ wire \blk00000003/sig000009f8 ;
+ wire \blk00000003/sig000009f7 ;
+ wire \blk00000003/sig000009f6 ;
+ wire \blk00000003/sig000009f5 ;
+ wire \blk00000003/sig000009f4 ;
+ wire \blk00000003/sig000009f3 ;
+ wire \blk00000003/sig000009f2 ;
+ wire \blk00000003/sig000009f1 ;
+ wire \blk00000003/sig000009f0 ;
+ wire \blk00000003/sig000009ef ;
+ wire \blk00000003/sig000009ee ;
+ wire \blk00000003/sig000009ed ;
+ wire \blk00000003/sig000009ec ;
+ wire \blk00000003/sig000009eb ;
+ wire \blk00000003/sig000009ea ;
+ wire \blk00000003/sig000009e9 ;
+ wire \blk00000003/sig000009e8 ;
+ wire \blk00000003/sig000009e7 ;
+ wire \blk00000003/sig000009e6 ;
+ wire \blk00000003/sig000009e5 ;
+ wire \blk00000003/sig000009e4 ;
+ wire \blk00000003/sig000009e3 ;
+ wire \blk00000003/sig000009e2 ;
+ wire \blk00000003/sig000009e1 ;
+ wire \blk00000003/sig000009e0 ;
+ wire \blk00000003/sig000009df ;
+ wire \blk00000003/sig000009de ;
+ wire \blk00000003/sig000009dd ;
+ wire \blk00000003/sig000009dc ;
+ wire \blk00000003/sig000009db ;
+ wire \blk00000003/sig000009da ;
+ wire \blk00000003/sig000009d9 ;
+ wire \blk00000003/sig000009d8 ;
+ wire \blk00000003/sig000009d7 ;
+ wire \blk00000003/sig000009d6 ;
+ wire \blk00000003/sig000009d5 ;
+ wire \blk00000003/sig000009d4 ;
+ wire \blk00000003/sig000009d3 ;
+ wire \blk00000003/sig000009d2 ;
+ wire \blk00000003/sig000009d1 ;
+ wire \blk00000003/sig000009d0 ;
+ wire \blk00000003/sig000009cf ;
+ wire \blk00000003/sig000009ce ;
+ wire \blk00000003/sig000009cd ;
+ wire \blk00000003/sig000009cc ;
+ wire \blk00000003/sig000009cb ;
+ wire \blk00000003/sig000009ca ;
+ wire \blk00000003/sig000009c9 ;
+ wire \blk00000003/sig000009c8 ;
+ wire \blk00000003/sig000009c7 ;
+ wire \blk00000003/sig000009c6 ;
+ wire \blk00000003/sig000009c5 ;
+ wire \blk00000003/sig000009c4 ;
+ wire \blk00000003/sig000009c3 ;
+ wire \blk00000003/sig000009c2 ;
+ wire \blk00000003/sig000009c1 ;
+ wire \blk00000003/sig000009c0 ;
+ wire \blk00000003/sig000009bf ;
+ wire \blk00000003/sig000009be ;
+ wire \blk00000003/sig000009bd ;
+ wire \blk00000003/sig000009bc ;
+ wire \blk00000003/sig000009bb ;
+ wire \blk00000003/sig000009ba ;
+ wire \blk00000003/sig000009b9 ;
+ wire \blk00000003/sig000009b8 ;
+ wire \blk00000003/sig000009b7 ;
+ wire \blk00000003/sig000009b6 ;
+ wire \blk00000003/sig000009b5 ;
+ wire \blk00000003/sig000009b4 ;
+ wire \blk00000003/sig000009b3 ;
+ wire \blk00000003/sig000009b2 ;
+ wire \blk00000003/sig000009b1 ;
+ wire \blk00000003/sig000009b0 ;
+ wire \blk00000003/sig000009af ;
+ wire \blk00000003/sig000009ae ;
+ wire \blk00000003/sig000009ad ;
+ wire \blk00000003/sig000009ac ;
+ wire \blk00000003/sig000009ab ;
+ wire \blk00000003/sig000009aa ;
+ wire \blk00000003/sig000009a9 ;
+ wire \blk00000003/sig000009a8 ;
+ wire \blk00000003/sig000009a7 ;
+ wire \blk00000003/sig000009a6 ;
+ wire \blk00000003/sig000009a5 ;
+ wire \blk00000003/sig000009a4 ;
+ wire \blk00000003/sig000009a3 ;
+ wire \blk00000003/sig000009a2 ;
+ wire \blk00000003/sig000009a1 ;
+ wire \blk00000003/sig000009a0 ;
+ wire \blk00000003/sig0000099f ;
+ wire \blk00000003/sig0000099e ;
+ wire \blk00000003/sig0000099d ;
+ wire \blk00000003/sig0000099c ;
+ wire \blk00000003/sig0000099b ;
+ wire \blk00000003/sig0000099a ;
+ wire \blk00000003/sig00000999 ;
+ wire \blk00000003/sig00000998 ;
+ wire \blk00000003/sig00000997 ;
+ wire \blk00000003/sig00000996 ;
+ wire \blk00000003/sig00000995 ;
+ wire \blk00000003/sig00000994 ;
+ wire \blk00000003/sig00000993 ;
+ wire \blk00000003/sig00000992 ;
+ wire \blk00000003/sig00000991 ;
+ wire \blk00000003/sig00000990 ;
+ wire \blk00000003/sig0000098f ;
+ wire \blk00000003/sig0000098e ;
+ wire \blk00000003/sig0000098d ;
+ wire \blk00000003/sig0000098c ;
+ wire \blk00000003/sig0000098b ;
+ wire \blk00000003/sig0000098a ;
+ wire \blk00000003/sig00000989 ;
+ wire \blk00000003/sig00000988 ;
+ wire \blk00000003/sig00000987 ;
+ wire \blk00000003/sig00000986 ;
+ wire \blk00000003/sig00000985 ;
+ wire \blk00000003/sig00000984 ;
+ wire \blk00000003/sig00000983 ;
+ wire \blk00000003/sig00000982 ;
+ wire \blk00000003/sig00000981 ;
+ wire \blk00000003/sig00000980 ;
+ wire \blk00000003/sig0000097f ;
+ wire \blk00000003/sig0000097e ;
+ wire \blk00000003/sig0000097d ;
+ wire \blk00000003/sig0000097c ;
+ wire \blk00000003/sig0000097b ;
+ wire \blk00000003/sig0000097a ;
+ wire \blk00000003/sig00000979 ;
+ wire \blk00000003/sig00000978 ;
+ wire \blk00000003/sig00000977 ;
+ wire \blk00000003/sig00000976 ;
+ wire \blk00000003/sig00000975 ;
+ wire \blk00000003/sig00000974 ;
+ wire \blk00000003/sig00000973 ;
+ wire \blk00000003/sig00000972 ;
+ wire \blk00000003/sig00000971 ;
+ wire \blk00000003/sig00000970 ;
+ wire \blk00000003/sig0000096f ;
+ wire \blk00000003/sig0000096e ;
+ wire \blk00000003/sig0000096d ;
+ wire \blk00000003/sig0000096c ;
+ wire \blk00000003/sig0000096b ;
+ wire \blk00000003/sig0000096a ;
+ wire \blk00000003/sig00000969 ;
+ wire \blk00000003/sig00000968 ;
+ wire \blk00000003/sig00000967 ;
+ wire \blk00000003/sig00000966 ;
+ wire \blk00000003/sig00000965 ;
+ wire \blk00000003/sig00000964 ;
+ wire \blk00000003/sig00000963 ;
+ wire \blk00000003/sig00000962 ;
+ wire \blk00000003/sig00000961 ;
+ wire \blk00000003/sig00000960 ;
+ wire \blk00000003/sig0000095f ;
+ wire \blk00000003/sig0000095e ;
+ wire \blk00000003/sig0000095d ;
+ wire \blk00000003/sig0000095c ;
+ wire \blk00000003/sig0000095b ;
+ wire \blk00000003/sig0000095a ;
+ wire \blk00000003/sig00000959 ;
+ wire \blk00000003/sig00000958 ;
+ wire \blk00000003/sig00000957 ;
+ wire \blk00000003/sig00000956 ;
+ wire \blk00000003/sig00000955 ;
+ wire \blk00000003/sig00000954 ;
+ wire \blk00000003/sig00000953 ;
+ wire \blk00000003/sig00000952 ;
+ wire \blk00000003/sig00000951 ;
+ wire \blk00000003/sig00000950 ;
+ wire \blk00000003/sig0000094f ;
+ wire \blk00000003/sig0000094e ;
+ wire \blk00000003/sig0000094d ;
+ wire \blk00000003/sig0000094c ;
+ wire \blk00000003/sig0000094b ;
+ wire \blk00000003/sig0000094a ;
+ wire \blk00000003/sig00000949 ;
+ wire \blk00000003/sig00000948 ;
+ wire \blk00000003/sig00000947 ;
+ wire \blk00000003/sig00000946 ;
+ wire \blk00000003/sig00000945 ;
+ wire \blk00000003/sig00000944 ;
+ wire \blk00000003/sig00000943 ;
+ wire \blk00000003/sig00000942 ;
+ wire \blk00000003/sig00000941 ;
+ wire \blk00000003/sig00000940 ;
+ wire \blk00000003/sig0000093f ;
+ wire \blk00000003/sig0000093e ;
+ wire \blk00000003/sig0000093d ;
+ wire \blk00000003/sig0000093c ;
+ wire \blk00000003/sig0000093b ;
+ wire \blk00000003/sig0000093a ;
+ wire \blk00000003/sig00000939 ;
+ wire \blk00000003/sig00000938 ;
+ wire \blk00000003/sig00000937 ;
+ wire \blk00000003/sig00000936 ;
+ wire \blk00000003/sig00000935 ;
+ wire \blk00000003/sig00000934 ;
+ wire \blk00000003/sig00000933 ;
+ wire \blk00000003/sig00000932 ;
+ wire \blk00000003/sig00000931 ;
+ wire \blk00000003/sig00000930 ;
+ wire \blk00000003/sig0000092f ;
+ wire \blk00000003/sig0000092e ;
+ wire \blk00000003/sig0000092d ;
+ wire \blk00000003/sig0000092c ;
+ wire \blk00000003/sig0000092b ;
+ wire \blk00000003/sig0000092a ;
+ wire \blk00000003/sig00000929 ;
+ wire \blk00000003/sig00000928 ;
+ wire \blk00000003/sig00000927 ;
+ wire \blk00000003/sig00000926 ;
+ wire \blk00000003/sig00000925 ;
+ wire \blk00000003/sig00000924 ;
+ wire \blk00000003/sig00000923 ;
+ wire \blk00000003/sig00000922 ;
+ wire \blk00000003/sig00000921 ;
+ wire \blk00000003/sig00000920 ;
+ wire \blk00000003/sig0000091f ;
+ wire \blk00000003/sig0000091e ;
+ wire \blk00000003/sig0000091d ;
+ wire \blk00000003/sig0000091c ;
+ wire \blk00000003/sig0000091b ;
+ wire \blk00000003/sig0000091a ;
+ wire \blk00000003/sig00000919 ;
+ wire \blk00000003/sig00000918 ;
+ wire \blk00000003/sig00000917 ;
+ wire \blk00000003/sig00000916 ;
+ wire \blk00000003/sig00000915 ;
+ wire \blk00000003/sig00000914 ;
+ wire \blk00000003/sig00000913 ;
+ wire \blk00000003/sig00000912 ;
+ wire \blk00000003/sig00000911 ;
+ wire \blk00000003/sig00000910 ;
+ wire \blk00000003/sig0000090f ;
+ wire \blk00000003/sig0000090e ;
+ wire \blk00000003/sig0000090d ;
+ wire \blk00000003/sig0000090c ;
+ wire \blk00000003/sig0000090b ;
+ wire \blk00000003/sig0000090a ;
+ wire \blk00000003/sig00000909 ;
+ wire \blk00000003/sig00000908 ;
+ wire \blk00000003/sig00000907 ;
+ wire \blk00000003/sig00000906 ;
+ wire \blk00000003/sig00000905 ;
+ wire \blk00000003/sig00000904 ;
+ wire \blk00000003/sig00000903 ;
+ wire \blk00000003/sig00000902 ;
+ wire \blk00000003/sig00000901 ;
+ wire \blk00000003/sig00000900 ;
+ wire \blk00000003/sig000008ff ;
+ wire \blk00000003/sig000008fe ;
+ wire \blk00000003/sig000008fd ;
+ wire \blk00000003/sig000008fc ;
+ wire \blk00000003/sig000008fb ;
+ wire \blk00000003/sig000008fa ;
+ wire \blk00000003/sig000008f9 ;
+ wire \blk00000003/sig000008f8 ;
+ wire \blk00000003/sig000008f7 ;
+ wire \blk00000003/sig000008f6 ;
+ wire \blk00000003/sig000008f5 ;
+ wire \blk00000003/sig000008f4 ;
+ wire \blk00000003/sig000008f3 ;
+ wire \blk00000003/sig000008f2 ;
+ wire \blk00000003/sig000008f1 ;
+ wire \blk00000003/sig000008f0 ;
+ wire \blk00000003/sig000008ef ;
+ wire \blk00000003/sig000008ee ;
+ wire \blk00000003/sig000008ed ;
+ wire \blk00000003/sig000008ec ;
+ wire \blk00000003/sig000008eb ;
+ wire \blk00000003/sig000008ea ;
+ wire \blk00000003/sig000008e9 ;
+ wire \blk00000003/sig000008e8 ;
+ wire \blk00000003/sig000008e7 ;
+ wire \blk00000003/sig000008e6 ;
+ wire \blk00000003/sig000008e5 ;
+ wire \blk00000003/sig000008e4 ;
+ wire \blk00000003/sig000008e3 ;
+ wire \blk00000003/sig000008e2 ;
+ wire \blk00000003/sig000008e1 ;
+ wire \blk00000003/sig000008e0 ;
+ wire \blk00000003/sig000008df ;
+ wire \blk00000003/sig000008de ;
+ wire \blk00000003/sig000008dd ;
+ wire \blk00000003/sig000008dc ;
+ wire \blk00000003/sig000008db ;
+ wire \blk00000003/sig000008da ;
+ wire \blk00000003/sig000008d9 ;
+ wire \blk00000003/sig000008d8 ;
+ wire \blk00000003/sig000008d7 ;
+ wire \blk00000003/sig000008d6 ;
+ wire \blk00000003/sig000008d5 ;
+ wire \blk00000003/sig000008d4 ;
+ wire \blk00000003/sig000008d3 ;
+ wire \blk00000003/sig000008d2 ;
+ wire \blk00000003/sig000008d1 ;
+ wire \blk00000003/sig000008d0 ;
+ wire \blk00000003/sig000008cf ;
+ wire \blk00000003/sig000008ce ;
+ wire \blk00000003/sig000008cd ;
+ wire \blk00000003/sig000008cc ;
+ wire \blk00000003/sig000008cb ;
+ wire \blk00000003/sig000008ca ;
+ wire \blk00000003/sig000008c9 ;
+ wire \blk00000003/sig000008c8 ;
+ wire \blk00000003/sig000008c7 ;
+ wire \blk00000003/sig000008c6 ;
+ wire \blk00000003/sig000008c5 ;
+ wire \blk00000003/sig000008c4 ;
+ wire \blk00000003/sig000008c3 ;
+ wire \blk00000003/sig000008c2 ;
+ wire \blk00000003/sig000008c1 ;
+ wire \blk00000003/sig000008c0 ;
+ wire \blk00000003/sig000008bf ;
+ wire \blk00000003/sig000008be ;
+ wire \blk00000003/sig000008bd ;
+ wire \blk00000003/sig000008bc ;
+ wire \blk00000003/sig000008bb ;
+ wire \blk00000003/sig000008ba ;
+ wire \blk00000003/sig000008b9 ;
+ wire \blk00000003/sig000008b8 ;
+ wire \blk00000003/sig000008b7 ;
+ wire \blk00000003/sig000008b6 ;
+ wire \blk00000003/sig000008b5 ;
+ wire \blk00000003/sig000008b4 ;
+ wire \blk00000003/sig000008b3 ;
+ wire \blk00000003/sig000008b2 ;
+ wire \blk00000003/sig000008b1 ;
+ wire \blk00000003/sig000008b0 ;
+ wire \blk00000003/sig000008af ;
+ wire \blk00000003/sig000008ae ;
+ wire \blk00000003/sig000008ad ;
+ wire \blk00000003/sig000008ac ;
+ wire \blk00000003/sig000008ab ;
+ wire \blk00000003/sig000008aa ;
+ wire \blk00000003/sig000008a9 ;
+ wire \blk00000003/sig000008a8 ;
+ wire \blk00000003/sig000008a7 ;
+ wire \blk00000003/sig000008a6 ;
+ wire \blk00000003/sig000008a5 ;
+ wire \blk00000003/sig000008a4 ;
+ wire \blk00000003/sig000008a3 ;
+ wire \blk00000003/sig000008a2 ;
+ wire \blk00000003/sig000008a1 ;
+ wire \blk00000003/sig000008a0 ;
+ wire \blk00000003/sig0000089f ;
+ wire \blk00000003/sig0000089e ;
+ wire \blk00000003/sig0000089d ;
+ wire \blk00000003/sig0000089c ;
+ wire \blk00000003/sig0000089b ;
+ wire \blk00000003/sig0000089a ;
+ wire \blk00000003/sig00000899 ;
+ wire \blk00000003/sig00000898 ;
+ wire \blk00000003/sig00000897 ;
+ wire \blk00000003/sig00000896 ;
+ wire \blk00000003/sig00000895 ;
+ wire \blk00000003/sig00000894 ;
+ wire \blk00000003/sig00000893 ;
+ wire \blk00000003/sig00000892 ;
+ wire \blk00000003/sig00000891 ;
+ wire \blk00000003/sig00000890 ;
+ wire \blk00000003/sig0000088f ;
+ wire \blk00000003/sig0000088e ;
+ wire \blk00000003/sig0000088d ;
+ wire \blk00000003/sig0000088c ;
+ wire \blk00000003/sig0000088b ;
+ wire \blk00000003/sig0000088a ;
+ wire \blk00000003/sig00000889 ;
+ wire \blk00000003/sig00000888 ;
+ wire \blk00000003/sig00000887 ;
+ wire \blk00000003/sig00000886 ;
+ wire \blk00000003/sig00000885 ;
+ wire \blk00000003/sig00000884 ;
+ wire \blk00000003/sig00000883 ;
+ wire \blk00000003/sig00000882 ;
+ wire \blk00000003/sig00000881 ;
+ wire \blk00000003/sig00000880 ;
+ wire \blk00000003/sig0000087f ;
+ wire \blk00000003/sig0000087e ;
+ wire \blk00000003/sig0000087d ;
+ wire \blk00000003/sig0000087c ;
+ wire \blk00000003/sig0000087b ;
+ wire \blk00000003/sig0000087a ;
+ wire \blk00000003/sig00000879 ;
+ wire \blk00000003/sig00000878 ;
+ wire \blk00000003/sig00000877 ;
+ wire \blk00000003/sig00000876 ;
+ wire \blk00000003/sig00000875 ;
+ wire \blk00000003/sig00000874 ;
+ wire \blk00000003/sig00000873 ;
+ wire \blk00000003/sig00000872 ;
+ wire \blk00000003/sig00000871 ;
+ wire \blk00000003/sig00000870 ;
+ wire \blk00000003/sig0000086f ;
+ wire \blk00000003/sig0000086e ;
+ wire \blk00000003/sig0000086d ;
+ wire \blk00000003/sig0000086c ;
+ wire \blk00000003/sig0000086b ;
+ wire \blk00000003/sig0000086a ;
+ wire \blk00000003/sig00000869 ;
+ wire \blk00000003/sig00000868 ;
+ wire \blk00000003/sig00000867 ;
+ wire \blk00000003/sig00000866 ;
+ wire \blk00000003/sig00000865 ;
+ wire \blk00000003/sig00000864 ;
+ wire \blk00000003/sig00000863 ;
+ wire \blk00000003/sig00000862 ;
+ wire \blk00000003/sig00000861 ;
+ wire \blk00000003/sig00000860 ;
+ wire \blk00000003/sig0000085f ;
+ wire \blk00000003/sig0000085e ;
+ wire \blk00000003/sig0000085d ;
+ wire \blk00000003/sig0000085c ;
+ wire \blk00000003/sig0000085b ;
+ wire \blk00000003/sig0000085a ;
+ wire \blk00000003/sig00000859 ;
+ wire \blk00000003/sig00000858 ;
+ wire \blk00000003/sig00000857 ;
+ wire \blk00000003/sig00000856 ;
+ wire \blk00000003/sig00000855 ;
+ wire \blk00000003/sig00000854 ;
+ wire \blk00000003/sig00000853 ;
+ wire \blk00000003/sig00000852 ;
+ wire \blk00000003/sig00000851 ;
+ wire \blk00000003/sig00000850 ;
+ wire \blk00000003/sig0000084f ;
+ wire \blk00000003/sig0000084e ;
+ wire \blk00000003/sig0000084d ;
+ wire \blk00000003/sig0000084c ;
+ wire \blk00000003/sig0000084b ;
+ wire \blk00000003/sig0000084a ;
+ wire \blk00000003/sig00000849 ;
+ wire \blk00000003/sig00000848 ;
+ wire \blk00000003/sig00000847 ;
+ wire \blk00000003/sig00000846 ;
+ wire \blk00000003/sig00000845 ;
+ wire \blk00000003/sig00000844 ;
+ wire \blk00000003/sig00000843 ;
+ wire \blk00000003/sig00000842 ;
+ wire \blk00000003/sig00000841 ;
+ wire \blk00000003/sig00000840 ;
+ wire \blk00000003/sig0000083f ;
+ wire \blk00000003/sig0000083e ;
+ wire \blk00000003/sig0000083d ;
+ wire \blk00000003/sig0000083c ;
+ wire \blk00000003/sig0000083b ;
+ wire \blk00000003/sig0000083a ;
+ wire \blk00000003/sig00000839 ;
+ wire \blk00000003/sig00000838 ;
+ wire \blk00000003/sig00000837 ;
+ wire \blk00000003/sig00000836 ;
+ wire \blk00000003/sig00000835 ;
+ wire \blk00000003/sig00000834 ;
+ wire \blk00000003/sig00000833 ;
+ wire \blk00000003/sig00000832 ;
+ wire \blk00000003/sig00000831 ;
+ wire \blk00000003/sig00000830 ;
+ wire \blk00000003/sig0000082f ;
+ wire \blk00000003/sig0000082e ;
+ wire \blk00000003/sig0000082d ;
+ wire \blk00000003/sig0000082c ;
+ wire \blk00000003/sig0000082b ;
+ wire \blk00000003/sig0000082a ;
+ wire \blk00000003/sig00000829 ;
+ wire \blk00000003/sig00000828 ;
+ wire \blk00000003/sig00000827 ;
+ wire \blk00000003/sig00000826 ;
+ wire \blk00000003/sig00000825 ;
+ wire \blk00000003/sig00000824 ;
+ wire \blk00000003/sig00000823 ;
+ wire \blk00000003/sig00000822 ;
+ wire \blk00000003/sig00000821 ;
+ wire \blk00000003/sig00000820 ;
+ wire \blk00000003/sig0000081f ;
+ wire \blk00000003/sig0000081e ;
+ wire \blk00000003/sig0000081d ;
+ wire \blk00000003/sig0000081c ;
+ wire \blk00000003/sig0000081b ;
+ wire \blk00000003/sig0000081a ;
+ wire \blk00000003/sig00000819 ;
+ wire \blk00000003/sig00000818 ;
+ wire \blk00000003/sig00000817 ;
+ wire \blk00000003/sig00000816 ;
+ wire \blk00000003/sig00000815 ;
+ wire \blk00000003/sig00000814 ;
+ wire \blk00000003/sig00000813 ;
+ wire \blk00000003/sig00000812 ;
+ wire \blk00000003/sig00000811 ;
+ wire \blk00000003/sig00000810 ;
+ wire \blk00000003/sig0000080f ;
+ wire \blk00000003/sig0000080e ;
+ wire \blk00000003/sig0000080d ;
+ wire \blk00000003/sig0000080c ;
+ wire \blk00000003/sig0000080b ;
+ wire \blk00000003/sig0000080a ;
+ wire \blk00000003/sig00000809 ;
+ wire \blk00000003/sig00000808 ;
+ wire \blk00000003/sig00000807 ;
+ wire \blk00000003/sig00000806 ;
+ wire \blk00000003/sig00000805 ;
+ wire \blk00000003/sig00000804 ;
+ wire \blk00000003/sig00000803 ;
+ wire \blk00000003/sig00000802 ;
+ wire \blk00000003/sig00000801 ;
+ wire \blk00000003/sig00000800 ;
+ wire \blk00000003/sig000007ff ;
+ wire \blk00000003/sig000007fe ;
+ wire \blk00000003/sig000007fd ;
+ wire \blk00000003/sig000007fc ;
+ wire \blk00000003/sig000007fb ;
+ wire \blk00000003/sig000007fa ;
+ wire \blk00000003/sig000007f9 ;
+ wire \blk00000003/sig000007f8 ;
+ wire \blk00000003/sig000007f7 ;
+ wire \blk00000003/sig000007f6 ;
+ wire \blk00000003/sig000007f5 ;
+ wire \blk00000003/sig000007f4 ;
+ wire \blk00000003/sig000007f3 ;
+ wire \blk00000003/sig000007f2 ;
+ wire \blk00000003/sig000007f1 ;
+ wire \blk00000003/sig000007f0 ;
+ wire \blk00000003/sig000007ef ;
+ wire \blk00000003/sig000007ee ;
+ wire \blk00000003/sig000007ed ;
+ wire \blk00000003/sig000007ec ;
+ wire \blk00000003/sig000007eb ;
+ wire \blk00000003/sig000007ea ;
+ wire \blk00000003/sig000007e9 ;
+ wire \blk00000003/sig000007e8 ;
+ wire \blk00000003/sig000007e7 ;
+ wire \blk00000003/sig000007e6 ;
+ wire \blk00000003/sig000007e5 ;
+ wire \blk00000003/sig000007e4 ;
+ wire \blk00000003/sig000007e3 ;
+ wire \blk00000003/sig000007e2 ;
+ wire \blk00000003/sig000007e1 ;
+ wire \blk00000003/sig000007e0 ;
+ wire \blk00000003/sig000007df ;
+ wire \blk00000003/sig000007de ;
+ wire \blk00000003/sig000007dd ;
+ wire \blk00000003/sig000007dc ;
+ wire \blk00000003/sig000007db ;
+ wire \blk00000003/sig000007da ;
+ wire \blk00000003/sig000007d9 ;
+ wire \blk00000003/sig000007d8 ;
+ wire \blk00000003/sig000007d7 ;
+ wire \blk00000003/sig000007d6 ;
+ wire \blk00000003/sig000007d5 ;
+ wire \blk00000003/sig000007d4 ;
+ wire \blk00000003/sig000007d3 ;
+ wire \blk00000003/sig000007d2 ;
+ wire \blk00000003/sig000007d1 ;
+ wire \blk00000003/sig000007d0 ;
+ wire \blk00000003/sig000007cf ;
+ wire \blk00000003/sig000007ce ;
+ wire \blk00000003/sig000007cd ;
+ wire \blk00000003/sig000007cc ;
+ wire \blk00000003/sig000007cb ;
+ wire \blk00000003/sig000007ca ;
+ wire \blk00000003/sig000007c9 ;
+ wire \blk00000003/sig000007c8 ;
+ wire \blk00000003/sig000007c7 ;
+ wire \blk00000003/sig000007c6 ;
+ wire \blk00000003/sig000007c5 ;
+ wire \blk00000003/sig000007c4 ;
+ wire \blk00000003/sig000007c3 ;
+ wire \blk00000003/sig000007c2 ;
+ wire \blk00000003/sig000007c1 ;
+ wire \blk00000003/sig000007c0 ;
+ wire \blk00000003/sig000007bf ;
+ wire \blk00000003/sig000007be ;
+ wire \blk00000003/sig000007bd ;
+ wire \blk00000003/sig000007bc ;
+ wire \blk00000003/sig000007bb ;
+ wire \blk00000003/sig000007ba ;
+ wire \blk00000003/sig000007b9 ;
+ wire \blk00000003/sig000007b8 ;
+ wire \blk00000003/sig000007b7 ;
+ wire \blk00000003/sig000007b6 ;
+ wire \blk00000003/sig000007b5 ;
+ wire \blk00000003/sig000007b4 ;
+ wire \blk00000003/sig000007b3 ;
+ wire \blk00000003/sig000007b2 ;
+ wire \blk00000003/sig000007b1 ;
+ wire \blk00000003/sig000007b0 ;
+ wire \blk00000003/sig000007af ;
+ wire \blk00000003/sig000007ae ;
+ wire \blk00000003/sig000007ad ;
+ wire \blk00000003/sig000007ac ;
+ wire \blk00000003/sig000007ab ;
+ wire \blk00000003/sig000007aa ;
+ wire \blk00000003/sig000007a9 ;
+ wire \blk00000003/sig000007a8 ;
+ wire \blk00000003/sig000007a7 ;
+ wire \blk00000003/sig000007a6 ;
+ wire \blk00000003/sig000007a5 ;
+ wire \blk00000003/sig000007a4 ;
+ wire \blk00000003/sig000007a3 ;
+ wire \blk00000003/sig000007a2 ;
+ wire \blk00000003/sig000007a1 ;
+ wire \blk00000003/sig000007a0 ;
+ wire \blk00000003/sig0000079f ;
+ wire \blk00000003/sig0000079e ;
+ wire \blk00000003/sig0000079d ;
+ wire \blk00000003/sig0000079c ;
+ wire \blk00000003/sig0000079b ;
+ wire \blk00000003/sig0000079a ;
+ wire \blk00000003/sig00000799 ;
+ wire \blk00000003/sig00000798 ;
+ wire \blk00000003/sig00000797 ;
+ wire \blk00000003/sig00000796 ;
+ wire \blk00000003/sig00000795 ;
+ wire \blk00000003/sig00000794 ;
+ wire \blk00000003/sig00000793 ;
+ wire \blk00000003/sig00000792 ;
+ wire \blk00000003/sig00000791 ;
+ wire \blk00000003/sig00000790 ;
+ wire \blk00000003/sig0000078f ;
+ wire \blk00000003/sig0000078e ;
+ wire \blk00000003/sig0000078d ;
+ wire \blk00000003/sig0000078c ;
+ wire \blk00000003/sig0000078b ;
+ wire \blk00000003/sig0000078a ;
+ wire \blk00000003/sig00000789 ;
+ wire \blk00000003/sig00000788 ;
+ wire \blk00000003/sig00000787 ;
+ wire \blk00000003/sig00000786 ;
+ wire \blk00000003/sig00000785 ;
+ wire \blk00000003/sig00000784 ;
+ wire \blk00000003/sig00000783 ;
+ wire \blk00000003/sig00000782 ;
+ wire \blk00000003/sig00000781 ;
+ wire \blk00000003/sig00000780 ;
+ wire \blk00000003/sig0000077f ;
+ wire \blk00000003/sig0000077e ;
+ wire \blk00000003/sig0000077d ;
+ wire \blk00000003/sig0000077c ;
+ wire \blk00000003/sig0000077b ;
+ wire \blk00000003/sig0000077a ;
+ wire \blk00000003/sig00000779 ;
+ wire \blk00000003/sig00000778 ;
+ wire \blk00000003/sig00000777 ;
+ wire \blk00000003/sig00000776 ;
+ wire \blk00000003/sig00000775 ;
+ wire \blk00000003/sig00000774 ;
+ wire \blk00000003/sig00000773 ;
+ wire \blk00000003/sig00000772 ;
+ wire \blk00000003/sig00000771 ;
+ wire \blk00000003/sig00000770 ;
+ wire \blk00000003/sig0000076f ;
+ wire \blk00000003/sig0000076e ;
+ wire \blk00000003/sig0000076d ;
+ wire \blk00000003/sig0000076c ;
+ wire \blk00000003/sig0000076b ;
+ wire \blk00000003/sig0000076a ;
+ wire \blk00000003/sig00000769 ;
+ wire \blk00000003/sig00000768 ;
+ wire \blk00000003/sig00000767 ;
+ wire \blk00000003/sig00000766 ;
+ wire \blk00000003/sig00000765 ;
+ wire \blk00000003/sig00000764 ;
+ wire \blk00000003/sig00000763 ;
+ wire \blk00000003/sig00000762 ;
+ wire \blk00000003/sig00000761 ;
+ wire \blk00000003/sig00000760 ;
+ wire \blk00000003/sig0000075f ;
+ wire \blk00000003/sig0000075e ;
+ wire \blk00000003/sig0000075d ;
+ wire \blk00000003/sig0000075c ;
+ wire \blk00000003/sig0000075b ;
+ wire \blk00000003/sig0000075a ;
+ wire \blk00000003/sig00000759 ;
+ wire \blk00000003/sig00000758 ;
+ wire \blk00000003/sig00000757 ;
+ wire \blk00000003/sig00000756 ;
+ wire \blk00000003/sig00000755 ;
+ wire \blk00000003/sig00000754 ;
+ wire \blk00000003/sig00000753 ;
+ wire \blk00000003/sig00000752 ;
+ wire \blk00000003/sig00000751 ;
+ wire \blk00000003/sig00000750 ;
+ wire \blk00000003/sig0000074f ;
+ wire \blk00000003/sig0000074e ;
+ wire \blk00000003/sig0000074d ;
+ wire \blk00000003/sig0000074c ;
+ wire \blk00000003/sig0000074b ;
+ wire \blk00000003/sig0000074a ;
+ wire \blk00000003/sig00000749 ;
+ wire \blk00000003/sig00000748 ;
+ wire \blk00000003/sig00000747 ;
+ wire \blk00000003/sig00000746 ;
+ wire \blk00000003/sig00000745 ;
+ wire \blk00000003/sig00000744 ;
+ wire \blk00000003/sig00000743 ;
+ wire \blk00000003/sig00000742 ;
+ wire \blk00000003/sig00000741 ;
+ wire \blk00000003/sig00000740 ;
+ wire \blk00000003/sig0000073f ;
+ wire \blk00000003/sig0000073e ;
+ wire \blk00000003/sig0000073d ;
+ wire \blk00000003/sig0000073c ;
+ wire \blk00000003/sig0000073b ;
+ wire \blk00000003/sig0000073a ;
+ wire \blk00000003/sig00000739 ;
+ wire \blk00000003/sig00000738 ;
+ wire \blk00000003/sig00000737 ;
+ wire \blk00000003/sig00000736 ;
+ wire \blk00000003/sig00000735 ;
+ wire \blk00000003/sig00000734 ;
+ wire \blk00000003/sig00000733 ;
+ wire \blk00000003/sig00000732 ;
+ wire \blk00000003/sig00000731 ;
+ wire \blk00000003/sig00000730 ;
+ wire \blk00000003/sig0000072f ;
+ wire \blk00000003/sig0000072e ;
+ wire \blk00000003/sig0000072d ;
+ wire \blk00000003/sig0000072c ;
+ wire \blk00000003/sig0000072b ;
+ wire \blk00000003/sig0000072a ;
+ wire \blk00000003/sig00000729 ;
+ wire \blk00000003/sig00000728 ;
+ wire \blk00000003/sig00000727 ;
+ wire \blk00000003/sig00000726 ;
+ wire \blk00000003/sig00000725 ;
+ wire \blk00000003/sig00000724 ;
+ wire \blk00000003/sig00000723 ;
+ wire \blk00000003/sig00000722 ;
+ wire \blk00000003/sig00000721 ;
+ wire \blk00000003/sig00000720 ;
+ wire \blk00000003/sig0000071f ;
+ wire \blk00000003/sig0000071e ;
+ wire \blk00000003/sig0000071d ;
+ wire \blk00000003/sig0000071c ;
+ wire \blk00000003/sig0000071b ;
+ wire \blk00000003/sig0000071a ;
+ wire \blk00000003/sig00000719 ;
+ wire \blk00000003/sig00000718 ;
+ wire \blk00000003/sig00000717 ;
+ wire \blk00000003/sig00000716 ;
+ wire \blk00000003/sig00000715 ;
+ wire \blk00000003/sig00000714 ;
+ wire \blk00000003/sig00000713 ;
+ wire \blk00000003/sig00000712 ;
+ wire \blk00000003/sig00000711 ;
+ wire \blk00000003/sig00000710 ;
+ wire \blk00000003/sig0000070f ;
+ wire \blk00000003/sig0000070e ;
+ wire \blk00000003/sig0000070d ;
+ wire \blk00000003/sig0000070c ;
+ wire \blk00000003/sig0000070b ;
+ wire \blk00000003/sig0000070a ;
+ wire \blk00000003/sig00000709 ;
+ wire \blk00000003/sig00000708 ;
+ wire \blk00000003/sig00000707 ;
+ wire \blk00000003/sig00000706 ;
+ wire \blk00000003/sig00000705 ;
+ wire \blk00000003/sig00000704 ;
+ wire \blk00000003/sig00000703 ;
+ wire \blk00000003/sig00000702 ;
+ wire \blk00000003/sig00000701 ;
+ wire \blk00000003/sig00000700 ;
+ wire \blk00000003/sig000006ff ;
+ wire \blk00000003/sig000006fe ;
+ wire \blk00000003/sig000006fd ;
+ wire \blk00000003/sig000006fc ;
+ wire \blk00000003/sig000006fb ;
+ wire \blk00000003/sig000006fa ;
+ wire \blk00000003/sig000006f9 ;
+ wire \blk00000003/sig000006f8 ;
+ wire \blk00000003/sig000006f7 ;
+ wire \blk00000003/sig000006f6 ;
+ wire \blk00000003/sig000006f5 ;
+ wire \blk00000003/sig000006f4 ;
+ wire \blk00000003/sig000006f3 ;
+ wire \blk00000003/sig000006f2 ;
+ wire \blk00000003/sig000006f1 ;
+ wire \blk00000003/sig000006f0 ;
+ wire \blk00000003/sig000006ef ;
+ wire \blk00000003/sig000006ee ;
+ wire \blk00000003/sig000006ed ;
+ wire \blk00000003/sig000006ec ;
+ wire \blk00000003/sig000006eb ;
+ wire \blk00000003/sig000006ea ;
+ wire \blk00000003/sig000006e9 ;
+ wire \blk00000003/sig000006e8 ;
+ wire \blk00000003/sig000006e7 ;
+ wire \blk00000003/sig000006e6 ;
+ wire \blk00000003/sig000006e5 ;
+ wire \blk00000003/sig000006e4 ;
+ wire \blk00000003/sig000006e3 ;
+ wire \blk00000003/sig000006e2 ;
+ wire \blk00000003/sig000006e1 ;
+ wire \blk00000003/sig000006e0 ;
+ wire \blk00000003/sig000006df ;
+ wire \blk00000003/sig000006de ;
+ wire \blk00000003/sig000006dd ;
+ wire \blk00000003/sig000006dc ;
+ wire \blk00000003/sig000006db ;
+ wire \blk00000003/sig000006da ;
+ wire \blk00000003/sig000006d9 ;
+ wire \blk00000003/sig000006d8 ;
+ wire \blk00000003/sig000006d7 ;
+ wire \blk00000003/sig000006d6 ;
+ wire \blk00000003/sig000006d5 ;
+ wire \blk00000003/sig000006d4 ;
+ wire \blk00000003/sig000006d3 ;
+ wire \blk00000003/sig000006d2 ;
+ wire \blk00000003/sig000006d1 ;
+ wire \blk00000003/sig000006d0 ;
+ wire \blk00000003/sig000006cf ;
+ wire \blk00000003/sig000006ce ;
+ wire \blk00000003/sig000006cd ;
+ wire \blk00000003/sig000006cc ;
+ wire \blk00000003/sig000006cb ;
+ wire \blk00000003/sig000006ca ;
+ wire \blk00000003/sig000006c9 ;
+ wire \blk00000003/sig000006c8 ;
+ wire \blk00000003/sig000006c7 ;
+ wire \blk00000003/sig000006c6 ;
+ wire \blk00000003/sig000006c5 ;
+ wire \blk00000003/sig000006c4 ;
+ wire \blk00000003/sig000006c3 ;
+ wire \blk00000003/sig000006c2 ;
+ wire \blk00000003/sig000006c1 ;
+ wire \blk00000003/sig000006c0 ;
+ wire \blk00000003/sig000006bf ;
+ wire \blk00000003/sig000006be ;
+ wire \blk00000003/sig000006bd ;
+ wire \blk00000003/sig000006bc ;
+ wire \blk00000003/sig000006bb ;
+ wire \blk00000003/sig000006ba ;
+ wire \blk00000003/sig000006b9 ;
+ wire \blk00000003/sig000006b8 ;
+ wire \blk00000003/sig000006b7 ;
+ wire \blk00000003/sig000006b6 ;
+ wire \blk00000003/sig000006b5 ;
+ wire \blk00000003/sig000006b4 ;
+ wire \blk00000003/sig000006b3 ;
+ wire \blk00000003/sig000006b2 ;
+ wire \blk00000003/sig000006b1 ;
+ wire \blk00000003/sig000006b0 ;
+ wire \blk00000003/sig000006af ;
+ wire \blk00000003/sig000006ae ;
+ wire \blk00000003/sig000006ad ;
+ wire \blk00000003/sig000006ac ;
+ wire \blk00000003/sig000006ab ;
+ wire \blk00000003/sig000006aa ;
+ wire \blk00000003/sig000006a9 ;
+ wire \blk00000003/sig000006a8 ;
+ wire \blk00000003/sig000006a7 ;
+ wire \blk00000003/sig000006a6 ;
+ wire \blk00000003/sig000006a5 ;
+ wire \blk00000003/sig000006a4 ;
+ wire \blk00000003/sig000006a3 ;
+ wire \blk00000003/sig000006a2 ;
+ wire \blk00000003/sig000006a1 ;
+ wire \blk00000003/sig000006a0 ;
+ wire \blk00000003/sig0000069f ;
+ wire \blk00000003/sig0000069e ;
+ wire \blk00000003/sig0000069d ;
+ wire \blk00000003/sig0000069c ;
+ wire \blk00000003/sig0000069b ;
+ wire \blk00000003/sig0000069a ;
+ wire \blk00000003/sig00000699 ;
+ wire \blk00000003/sig00000698 ;
+ wire \blk00000003/sig00000697 ;
+ wire \blk00000003/sig00000696 ;
+ wire \blk00000003/sig00000695 ;
+ wire \blk00000003/sig00000694 ;
+ wire \blk00000003/sig00000693 ;
+ wire \blk00000003/sig00000692 ;
+ wire \blk00000003/sig00000691 ;
+ wire \blk00000003/sig00000690 ;
+ wire \blk00000003/sig0000068f ;
+ wire \blk00000003/sig0000068e ;
+ wire \blk00000003/sig0000068d ;
+ wire \blk00000003/sig0000068c ;
+ wire \blk00000003/sig0000068b ;
+ wire \blk00000003/sig0000068a ;
+ wire \blk00000003/sig00000689 ;
+ wire \blk00000003/sig00000688 ;
+ wire \blk00000003/sig00000687 ;
+ wire \blk00000003/sig00000686 ;
+ wire \blk00000003/sig00000685 ;
+ wire \blk00000003/sig00000684 ;
+ wire \blk00000003/sig00000683 ;
+ wire \blk00000003/sig00000682 ;
+ wire \blk00000003/sig00000681 ;
+ wire \blk00000003/sig00000680 ;
+ wire \blk00000003/sig0000067f ;
+ wire \blk00000003/sig0000067e ;
+ wire \blk00000003/sig0000067d ;
+ wire \blk00000003/sig0000067c ;
+ wire \blk00000003/sig0000067b ;
+ wire \blk00000003/sig0000067a ;
+ wire \blk00000003/sig00000679 ;
+ wire \blk00000003/sig00000678 ;
+ wire \blk00000003/sig00000677 ;
+ wire \blk00000003/sig00000676 ;
+ wire \blk00000003/sig00000675 ;
+ wire \blk00000003/sig00000674 ;
+ wire \blk00000003/sig00000673 ;
+ wire \blk00000003/sig00000672 ;
+ wire \blk00000003/sig00000671 ;
+ wire \blk00000003/sig00000670 ;
+ wire \blk00000003/sig0000066f ;
+ wire \blk00000003/sig0000066e ;
+ wire \blk00000003/sig0000066d ;
+ wire \blk00000003/sig0000066c ;
+ wire \blk00000003/sig0000066b ;
+ wire \blk00000003/sig0000066a ;
+ wire \blk00000003/sig00000669 ;
+ wire \blk00000003/sig00000668 ;
+ wire \blk00000003/sig00000667 ;
+ wire \blk00000003/sig00000666 ;
+ wire \blk00000003/sig00000665 ;
+ wire \blk00000003/sig00000664 ;
+ wire \blk00000003/sig00000663 ;
+ wire \blk00000003/sig00000662 ;
+ wire \blk00000003/sig00000661 ;
+ wire \blk00000003/sig00000660 ;
+ wire \blk00000003/sig0000065f ;
+ wire \blk00000003/sig0000065e ;
+ wire \blk00000003/sig0000065d ;
+ wire \blk00000003/sig0000065c ;
+ wire \blk00000003/sig0000065b ;
+ wire \blk00000003/sig0000065a ;
+ wire \blk00000003/sig00000659 ;
+ wire \blk00000003/sig00000658 ;
+ wire \blk00000003/sig00000657 ;
+ wire \blk00000003/sig00000656 ;
+ wire \blk00000003/sig00000655 ;
+ wire \blk00000003/sig00000654 ;
+ wire \blk00000003/sig00000653 ;
+ wire \blk00000003/sig00000652 ;
+ wire \blk00000003/sig00000651 ;
+ wire \blk00000003/sig00000650 ;
+ wire \blk00000003/sig0000064f ;
+ wire \blk00000003/sig0000064e ;
+ wire \blk00000003/sig0000064d ;
+ wire \blk00000003/sig0000064c ;
+ wire \blk00000003/sig0000064b ;
+ wire \blk00000003/sig0000064a ;
+ wire \blk00000003/sig00000649 ;
+ wire \blk00000003/sig00000648 ;
+ wire \blk00000003/sig00000647 ;
+ wire \blk00000003/sig00000646 ;
+ wire \blk00000003/sig00000645 ;
+ wire \blk00000003/sig00000644 ;
+ wire \blk00000003/sig00000643 ;
+ wire \blk00000003/sig00000642 ;
+ wire \blk00000003/sig00000641 ;
+ wire \blk00000003/sig00000640 ;
+ wire \blk00000003/sig0000063f ;
+ wire \blk00000003/sig0000063e ;
+ wire \blk00000003/sig0000063d ;
+ wire \blk00000003/sig0000063c ;
+ wire \blk00000003/sig0000063b ;
+ wire \blk00000003/sig0000063a ;
+ wire \blk00000003/sig00000639 ;
+ wire \blk00000003/sig00000638 ;
+ wire \blk00000003/sig00000637 ;
+ wire \blk00000003/sig00000636 ;
+ wire \blk00000003/sig00000635 ;
+ wire \blk00000003/sig00000634 ;
+ wire \blk00000003/sig00000633 ;
+ wire \blk00000003/sig00000632 ;
+ wire \blk00000003/sig00000631 ;
+ wire \blk00000003/sig00000630 ;
+ wire \blk00000003/sig0000062f ;
+ wire \blk00000003/sig0000062e ;
+ wire \blk00000003/sig0000062d ;
+ wire \blk00000003/sig0000062c ;
+ wire \blk00000003/sig0000062b ;
+ wire \blk00000003/sig0000062a ;
+ wire \blk00000003/sig00000629 ;
+ wire \blk00000003/sig00000628 ;
+ wire \blk00000003/sig00000627 ;
+ wire \blk00000003/sig00000626 ;
+ wire \blk00000003/sig00000625 ;
+ wire \blk00000003/sig00000624 ;
+ wire \blk00000003/sig00000623 ;
+ wire \blk00000003/sig00000622 ;
+ wire \blk00000003/sig00000621 ;
+ wire \blk00000003/sig00000620 ;
+ wire \blk00000003/sig0000061f ;
+ wire \blk00000003/sig0000061e ;
+ wire \blk00000003/sig0000061d ;
+ wire \blk00000003/sig0000061c ;
+ wire \blk00000003/sig0000061b ;
+ wire \blk00000003/sig0000061a ;
+ wire \blk00000003/sig00000619 ;
+ wire \blk00000003/sig00000618 ;
+ wire \blk00000003/sig00000617 ;
+ wire \blk00000003/sig00000616 ;
+ wire \blk00000003/sig00000615 ;
+ wire \blk00000003/sig00000614 ;
+ wire \blk00000003/sig00000613 ;
+ wire \blk00000003/sig00000612 ;
+ wire \blk00000003/sig00000611 ;
+ wire \blk00000003/sig00000610 ;
+ wire \blk00000003/sig0000060f ;
+ wire \blk00000003/sig0000060e ;
+ wire \blk00000003/sig0000060d ;
+ wire \blk00000003/sig0000060c ;
+ wire \blk00000003/sig0000060b ;
+ wire \blk00000003/sig0000060a ;
+ wire \blk00000003/sig00000609 ;
+ wire \blk00000003/sig00000608 ;
+ wire \blk00000003/sig00000607 ;
+ wire \blk00000003/sig00000606 ;
+ wire \blk00000003/sig00000605 ;
+ wire \blk00000003/sig00000604 ;
+ wire \blk00000003/sig00000603 ;
+ wire \blk00000003/sig00000602 ;
+ wire \blk00000003/sig00000601 ;
+ wire \blk00000003/sig00000600 ;
+ wire \blk00000003/sig000005ff ;
+ wire \blk00000003/sig000005fe ;
+ wire \blk00000003/sig000005fd ;
+ wire \blk00000003/sig000005fc ;
+ wire \blk00000003/sig000005fb ;
+ wire \blk00000003/sig000005fa ;
+ wire \blk00000003/sig000005f9 ;
+ wire \blk00000003/sig000005f8 ;
+ wire \blk00000003/sig000005f7 ;
+ wire \blk00000003/sig000005f6 ;
+ wire \blk00000003/sig000005f5 ;
+ wire \blk00000003/sig000005f4 ;
+ wire \blk00000003/sig000005f3 ;
+ wire \blk00000003/sig000005f2 ;
+ wire \blk00000003/sig000005f1 ;
+ wire \blk00000003/sig000005f0 ;
+ wire \blk00000003/sig000005ef ;
+ wire \blk00000003/sig000005ee ;
+ wire \blk00000003/sig000005ed ;
+ wire \blk00000003/sig000005ec ;
+ wire \blk00000003/sig000005eb ;
+ wire \blk00000003/sig000005ea ;
+ wire \blk00000003/sig000005e9 ;
+ wire \blk00000003/sig000005e8 ;
+ wire \blk00000003/sig000005e7 ;
+ wire \blk00000003/sig000005e6 ;
+ wire \blk00000003/sig000005e5 ;
+ wire \blk00000003/sig000005e4 ;
+ wire \blk00000003/sig000005e3 ;
+ wire \blk00000003/sig000005e2 ;
+ wire \blk00000003/sig000005e1 ;
+ wire \blk00000003/sig000005e0 ;
+ wire \blk00000003/sig000005df ;
+ wire \blk00000003/sig000005de ;
+ wire \blk00000003/sig000005dd ;
+ wire \blk00000003/sig000005dc ;
+ wire \blk00000003/sig000005db ;
+ wire \blk00000003/sig000005da ;
+ wire \blk00000003/sig000005d9 ;
+ wire \blk00000003/sig000005d8 ;
+ wire \blk00000003/sig000005d7 ;
+ wire \blk00000003/sig000005d6 ;
+ wire \blk00000003/sig000005d5 ;
+ wire \blk00000003/sig000005d4 ;
+ wire \blk00000003/sig000005d3 ;
+ wire \blk00000003/sig000005d2 ;
+ wire \blk00000003/sig000005d1 ;
+ wire \blk00000003/sig000005d0 ;
+ wire \blk00000003/sig000005cf ;
+ wire \blk00000003/sig000005ce ;
+ wire \blk00000003/sig000005cd ;
+ wire \blk00000003/sig000005cc ;
+ wire \blk00000003/sig000005cb ;
+ wire \blk00000003/sig000005ca ;
+ wire \blk00000003/sig000005c9 ;
+ wire \blk00000003/sig000005c8 ;
+ wire \blk00000003/sig000005c7 ;
+ wire \blk00000003/sig000005c6 ;
+ wire \blk00000003/sig000005c5 ;
+ wire \blk00000003/sig000005c4 ;
+ wire \blk00000003/sig000005c3 ;
+ wire \blk00000003/sig000005c2 ;
+ wire \blk00000003/sig000005c1 ;
+ wire \blk00000003/sig000005c0 ;
+ wire \blk00000003/sig000005bf ;
+ wire \blk00000003/sig000005be ;
+ wire \blk00000003/sig000005bd ;
+ wire \blk00000003/sig000005bc ;
+ wire \blk00000003/sig000005bb ;
+ wire \blk00000003/sig000005ba ;
+ wire \blk00000003/sig000005b9 ;
+ wire \blk00000003/sig000005b8 ;
+ wire \blk00000003/sig000005b7 ;
+ wire \blk00000003/sig000005b6 ;
+ wire \blk00000003/sig000005b5 ;
+ wire \blk00000003/sig000005b4 ;
+ wire \blk00000003/sig000005b3 ;
+ wire \blk00000003/sig000005b2 ;
+ wire \blk00000003/sig000005b1 ;
+ wire \blk00000003/sig000005b0 ;
+ wire \blk00000003/sig000005af ;
+ wire \blk00000003/sig000005ae ;
+ wire \blk00000003/sig000005ad ;
+ wire \blk00000003/sig000005ac ;
+ wire \blk00000003/sig000005ab ;
+ wire \blk00000003/sig000005aa ;
+ wire \blk00000003/sig000005a9 ;
+ wire \blk00000003/sig000005a8 ;
+ wire \blk00000003/sig000005a7 ;
+ wire \blk00000003/sig000005a6 ;
+ wire \blk00000003/sig000005a5 ;
+ wire \blk00000003/sig000005a4 ;
+ wire \blk00000003/sig000005a3 ;
+ wire \blk00000003/sig000005a2 ;
+ wire \blk00000003/sig000005a1 ;
+ wire \blk00000003/sig000005a0 ;
+ wire \blk00000003/sig0000059f ;
+ wire \blk00000003/sig0000059e ;
+ wire \blk00000003/sig0000059d ;
+ wire \blk00000003/sig0000059c ;
+ wire \blk00000003/sig0000059b ;
+ wire \blk00000003/sig0000059a ;
+ wire \blk00000003/sig00000599 ;
+ wire \blk00000003/sig00000598 ;
+ wire \blk00000003/sig00000597 ;
+ wire \blk00000003/sig00000596 ;
+ wire \blk00000003/sig00000595 ;
+ wire \blk00000003/sig00000594 ;
+ wire \blk00000003/sig00000593 ;
+ wire \blk00000003/sig00000592 ;
+ wire \blk00000003/sig00000591 ;
+ wire \blk00000003/sig00000590 ;
+ wire \blk00000003/sig0000058f ;
+ wire \blk00000003/sig0000058e ;
+ wire \blk00000003/sig0000058d ;
+ wire \blk00000003/sig0000058c ;
+ wire \blk00000003/sig0000058b ;
+ wire \blk00000003/sig0000058a ;
+ wire \blk00000003/sig00000589 ;
+ wire \blk00000003/sig00000588 ;
+ wire \blk00000003/sig00000587 ;
+ wire \blk00000003/sig00000586 ;
+ wire \blk00000003/sig00000585 ;
+ wire \blk00000003/sig00000584 ;
+ wire \blk00000003/sig00000583 ;
+ wire \blk00000003/sig00000582 ;
+ wire \blk00000003/sig00000581 ;
+ wire \blk00000003/sig00000580 ;
+ wire \blk00000003/sig0000057f ;
+ wire \blk00000003/sig0000057e ;
+ wire \blk00000003/sig0000057d ;
+ wire \blk00000003/sig0000057c ;
+ wire \blk00000003/sig0000057b ;
+ wire \blk00000003/sig0000057a ;
+ wire \blk00000003/sig00000579 ;
+ wire \blk00000003/sig00000578 ;
+ wire \blk00000003/sig00000577 ;
+ wire \blk00000003/sig00000576 ;
+ wire \blk00000003/sig00000575 ;
+ wire \blk00000003/sig00000574 ;
+ wire \blk00000003/sig00000573 ;
+ wire \blk00000003/sig00000572 ;
+ wire \blk00000003/sig00000571 ;
+ wire \blk00000003/sig00000570 ;
+ wire \blk00000003/sig0000056f ;
+ wire \blk00000003/sig0000056e ;
+ wire \blk00000003/sig0000056d ;
+ wire \blk00000003/sig0000056c ;
+ wire \blk00000003/sig0000056b ;
+ wire \blk00000003/sig0000056a ;
+ wire \blk00000003/sig00000569 ;
+ wire \blk00000003/sig00000568 ;
+ wire \blk00000003/sig00000567 ;
+ wire \blk00000003/sig00000566 ;
+ wire \blk00000003/sig00000565 ;
+ wire \blk00000003/sig00000564 ;
+ wire \blk00000003/sig00000563 ;
+ wire \blk00000003/sig00000562 ;
+ wire \blk00000003/sig00000561 ;
+ wire \blk00000003/sig00000560 ;
+ wire \blk00000003/sig0000055f ;
+ wire \blk00000003/sig0000055e ;
+ wire \blk00000003/sig0000055d ;
+ wire \blk00000003/sig0000055c ;
+ wire \blk00000003/sig0000055b ;
+ wire \blk00000003/sig0000055a ;
+ wire \blk00000003/sig00000559 ;
+ wire \blk00000003/sig00000558 ;
+ wire \blk00000003/sig00000557 ;
+ wire \blk00000003/sig00000556 ;
+ wire \blk00000003/sig00000555 ;
+ wire \blk00000003/sig00000554 ;
+ wire \blk00000003/sig00000553 ;
+ wire \blk00000003/sig00000552 ;
+ wire \blk00000003/sig00000551 ;
+ wire \blk00000003/sig00000550 ;
+ wire \blk00000003/sig0000054f ;
+ wire \blk00000003/sig0000054e ;
+ wire \blk00000003/sig0000054d ;
+ wire \blk00000003/sig0000054c ;
+ wire \blk00000003/sig0000054b ;
+ wire \blk00000003/sig0000054a ;
+ wire \blk00000003/sig00000549 ;
+ wire \blk00000003/sig00000548 ;
+ wire \blk00000003/sig00000547 ;
+ wire \blk00000003/sig00000546 ;
+ wire \blk00000003/sig00000545 ;
+ wire \blk00000003/sig00000544 ;
+ wire \blk00000003/sig00000543 ;
+ wire \blk00000003/sig00000542 ;
+ wire \blk00000003/sig00000541 ;
+ wire \blk00000003/sig00000540 ;
+ wire \blk00000003/sig0000053f ;
+ wire \blk00000003/sig0000053e ;
+ wire \blk00000003/sig0000053d ;
+ wire \blk00000003/sig0000053c ;
+ wire \blk00000003/sig0000053b ;
+ wire \blk00000003/sig0000053a ;
+ wire \blk00000003/sig00000539 ;
+ wire \blk00000003/sig00000538 ;
+ wire \blk00000003/sig00000537 ;
+ wire \blk00000003/sig00000536 ;
+ wire \blk00000003/sig00000535 ;
+ wire \blk00000003/sig00000534 ;
+ wire \blk00000003/sig00000533 ;
+ wire \blk00000003/sig00000532 ;
+ wire \blk00000003/sig00000531 ;
+ wire \blk00000003/sig00000530 ;
+ wire \blk00000003/sig0000052f ;
+ wire \blk00000003/sig0000052e ;
+ wire \blk00000003/sig0000052d ;
+ wire \blk00000003/sig0000052c ;
+ wire \blk00000003/sig0000052b ;
+ wire \blk00000003/sig0000052a ;
+ wire \blk00000003/sig00000529 ;
+ wire \blk00000003/sig00000528 ;
+ wire \blk00000003/sig00000527 ;
+ wire \blk00000003/sig00000526 ;
+ wire \blk00000003/sig00000525 ;
+ wire \blk00000003/sig00000524 ;
+ wire \blk00000003/sig00000523 ;
+ wire \blk00000003/sig00000522 ;
+ wire \blk00000003/sig00000521 ;
+ wire \blk00000003/sig00000520 ;
+ wire \blk00000003/sig0000051f ;
+ wire \blk00000003/sig0000051e ;
+ wire \blk00000003/sig0000051d ;
+ wire \blk00000003/sig0000051c ;
+ wire \blk00000003/sig0000051b ;
+ wire \blk00000003/sig0000051a ;
+ wire \blk00000003/sig00000519 ;
+ wire \blk00000003/sig00000518 ;
+ wire \blk00000003/sig00000517 ;
+ wire \blk00000003/sig00000516 ;
+ wire \blk00000003/sig00000515 ;
+ wire \blk00000003/sig00000514 ;
+ wire \blk00000003/sig00000513 ;
+ wire \blk00000003/sig00000512 ;
+ wire \blk00000003/sig00000511 ;
+ wire \blk00000003/sig00000510 ;
+ wire \blk00000003/sig0000050f ;
+ wire \blk00000003/sig0000050e ;
+ wire \blk00000003/sig0000050d ;
+ wire \blk00000003/sig0000050c ;
+ wire \blk00000003/sig0000050b ;
+ wire \blk00000003/sig0000050a ;
+ wire \blk00000003/sig00000509 ;
+ wire \blk00000003/sig00000508 ;
+ wire \blk00000003/sig00000507 ;
+ wire \blk00000003/sig00000506 ;
+ wire \blk00000003/sig00000505 ;
+ wire \blk00000003/sig00000504 ;
+ wire \blk00000003/sig00000503 ;
+ wire \blk00000003/sig00000502 ;
+ wire \blk00000003/sig00000501 ;
+ wire \blk00000003/sig00000500 ;
+ wire \blk00000003/sig000004ff ;
+ wire \blk00000003/sig000004fe ;
+ wire \blk00000003/sig000004fd ;
+ wire \blk00000003/sig000004fc ;
+ wire \blk00000003/sig000004fb ;
+ wire \blk00000003/sig000004fa ;
+ wire \blk00000003/sig000004f9 ;
+ wire \blk00000003/sig000004f8 ;
+ wire \blk00000003/sig000004f7 ;
+ wire \blk00000003/sig000004f6 ;
+ wire \blk00000003/sig000004f5 ;
+ wire \blk00000003/sig000004f4 ;
+ wire \blk00000003/sig000004f3 ;
+ wire \blk00000003/sig000004f2 ;
+ wire \blk00000003/sig000004f1 ;
+ wire \blk00000003/sig000004f0 ;
+ wire \blk00000003/sig000004ef ;
+ wire \blk00000003/sig000004ee ;
+ wire \blk00000003/sig000004ed ;
+ wire \blk00000003/sig000004ec ;
+ wire \blk00000003/sig000004eb ;
+ wire \blk00000003/sig000004ea ;
+ wire \blk00000003/sig000004e9 ;
+ wire \blk00000003/sig000004e8 ;
+ wire \blk00000003/sig000004e7 ;
+ wire \blk00000003/sig000004e6 ;
+ wire \blk00000003/sig000004e5 ;
+ wire \blk00000003/sig000004e4 ;
+ wire \blk00000003/sig000004e3 ;
+ wire \blk00000003/sig000004e2 ;
+ wire \blk00000003/sig000004e1 ;
+ wire \blk00000003/sig000004e0 ;
+ wire \blk00000003/sig000004df ;
+ wire \blk00000003/sig000004de ;
+ wire \blk00000003/sig000004dd ;
+ wire \blk00000003/sig000004dc ;
+ wire \blk00000003/sig000004db ;
+ wire \blk00000003/sig000004da ;
+ wire \blk00000003/sig000004d9 ;
+ wire \blk00000003/sig000004d8 ;
+ wire \blk00000003/sig000004d7 ;
+ wire \blk00000003/sig000004d6 ;
+ wire \blk00000003/sig000004d5 ;
+ wire \blk00000003/sig000004d4 ;
+ wire \blk00000003/sig000004d3 ;
+ wire \blk00000003/sig000004d2 ;
+ wire \blk00000003/sig000004d1 ;
+ wire \blk00000003/sig000004d0 ;
+ wire \blk00000003/sig000004cf ;
+ wire \blk00000003/sig000004ce ;
+ wire \blk00000003/sig000004cd ;
+ wire \blk00000003/sig000004cc ;
+ wire \blk00000003/sig000004cb ;
+ wire \blk00000003/sig000004ca ;
+ wire \blk00000003/sig000004c9 ;
+ wire \blk00000003/sig000004c8 ;
+ wire \blk00000003/sig000004c7 ;
+ wire \blk00000003/sig000004c6 ;
+ wire \blk00000003/sig000004c5 ;
+ wire \blk00000003/sig000004c4 ;
+ wire \blk00000003/sig000004c3 ;
+ wire \blk00000003/sig000004c2 ;
+ wire \blk00000003/sig000004c1 ;
+ wire \blk00000003/sig000004c0 ;
+ wire \blk00000003/sig000004bf ;
+ wire \blk00000003/sig000004be ;
+ wire \blk00000003/sig000004bd ;
+ wire \blk00000003/sig000004bc ;
+ wire \blk00000003/sig000004bb ;
+ wire \blk00000003/sig000004ba ;
+ wire \blk00000003/sig000004b9 ;
+ wire \blk00000003/sig000004b8 ;
+ wire \blk00000003/sig000004b7 ;
+ wire \blk00000003/sig000004b6 ;
+ wire \blk00000003/sig000004b5 ;
+ wire \blk00000003/sig000004b4 ;
+ wire \blk00000003/sig000004b3 ;
+ wire \blk00000003/sig000004b2 ;
+ wire \blk00000003/sig000004b1 ;
+ wire \blk00000003/sig000004b0 ;
+ wire \blk00000003/sig000004af ;
+ wire \blk00000003/sig000004ae ;
+ wire \blk00000003/sig000004ad ;
+ wire \blk00000003/sig000004ac ;
+ wire \blk00000003/sig000004ab ;
+ wire \blk00000003/sig000004aa ;
+ wire \blk00000003/sig000004a9 ;
+ wire \blk00000003/sig000004a8 ;
+ wire \blk00000003/sig000004a7 ;
+ wire \blk00000003/sig000004a6 ;
+ wire \blk00000003/sig000004a5 ;
+ wire \blk00000003/sig000004a4 ;
+ wire \blk00000003/sig000004a3 ;
+ wire \blk00000003/sig000004a2 ;
+ wire \blk00000003/sig000004a1 ;
+ wire \blk00000003/sig000004a0 ;
+ wire \blk00000003/sig0000049f ;
+ wire \blk00000003/sig0000049e ;
+ wire \blk00000003/sig0000049d ;
+ wire \blk00000003/sig0000049c ;
+ wire \blk00000003/sig0000049b ;
+ wire \blk00000003/sig0000049a ;
+ wire \blk00000003/sig00000499 ;
+ wire \blk00000003/sig00000498 ;
+ wire \blk00000003/sig00000497 ;
+ wire \blk00000003/sig00000496 ;
+ wire \blk00000003/sig00000495 ;
+ wire \blk00000003/sig00000494 ;
+ wire \blk00000003/sig00000493 ;
+ wire \blk00000003/sig00000492 ;
+ wire \blk00000003/sig00000491 ;
+ wire \blk00000003/sig00000490 ;
+ wire \blk00000003/sig0000048f ;
+ wire \blk00000003/sig0000048e ;
+ wire \blk00000003/sig0000048d ;
+ wire \blk00000003/sig0000048c ;
+ wire \blk00000003/sig0000048b ;
+ wire \blk00000003/sig0000048a ;
+ wire \blk00000003/sig00000489 ;
+ wire \blk00000003/sig00000488 ;
+ wire \blk00000003/sig00000487 ;
+ wire \blk00000003/sig00000486 ;
+ wire \blk00000003/sig00000485 ;
+ wire \blk00000003/sig00000484 ;
+ wire \blk00000003/sig00000483 ;
+ wire \blk00000003/sig00000482 ;
+ wire \blk00000003/sig00000481 ;
+ wire \blk00000003/sig00000480 ;
+ wire \blk00000003/sig0000047f ;
+ wire \blk00000003/sig0000047e ;
+ wire \blk00000003/sig0000047d ;
+ wire \blk00000003/sig0000047c ;
+ wire \blk00000003/sig0000047b ;
+ wire \blk00000003/sig0000047a ;
+ wire \blk00000003/sig00000479 ;
+ wire \blk00000003/sig00000478 ;
+ wire \blk00000003/sig00000477 ;
+ wire \blk00000003/sig00000476 ;
+ wire \blk00000003/sig00000475 ;
+ wire \blk00000003/sig00000474 ;
+ wire \blk00000003/sig00000473 ;
+ wire \blk00000003/sig00000472 ;
+ wire \blk00000003/sig00000471 ;
+ wire \blk00000003/sig00000470 ;
+ wire \blk00000003/sig0000046f ;
+ wire \blk00000003/sig0000046e ;
+ wire \blk00000003/sig0000046d ;
+ wire \blk00000003/sig0000046c ;
+ wire \blk00000003/sig0000046b ;
+ wire \blk00000003/sig0000046a ;
+ wire \blk00000003/sig00000469 ;
+ wire \blk00000003/sig00000468 ;
+ wire \blk00000003/sig00000467 ;
+ wire \blk00000003/sig00000466 ;
+ wire \blk00000003/sig00000465 ;
+ wire \blk00000003/sig00000464 ;
+ wire \blk00000003/sig00000463 ;
+ wire \blk00000003/sig00000462 ;
+ wire \blk00000003/sig00000461 ;
+ wire \blk00000003/sig00000460 ;
+ wire \blk00000003/sig0000045f ;
+ wire \blk00000003/sig0000045e ;
+ wire \blk00000003/sig0000045d ;
+ wire \blk00000003/sig0000045c ;
+ wire \blk00000003/sig0000045b ;
+ wire \blk00000003/sig0000045a ;
+ wire \blk00000003/sig00000459 ;
+ wire \blk00000003/sig00000458 ;
+ wire \blk00000003/sig00000457 ;
+ wire \blk00000003/sig00000456 ;
+ wire \blk00000003/sig00000455 ;
+ wire \blk00000003/sig00000454 ;
+ wire \blk00000003/sig00000453 ;
+ wire \blk00000003/sig00000452 ;
+ wire \blk00000003/sig00000451 ;
+ wire \blk00000003/sig00000450 ;
+ wire \blk00000003/sig0000044f ;
+ wire \blk00000003/sig0000044e ;
+ wire \blk00000003/sig0000044d ;
+ wire \blk00000003/sig0000044c ;
+ wire \blk00000003/sig0000044b ;
+ wire \blk00000003/sig0000044a ;
+ wire \blk00000003/sig00000449 ;
+ wire \blk00000003/sig00000448 ;
+ wire \blk00000003/sig00000447 ;
+ wire \blk00000003/sig00000446 ;
+ wire \blk00000003/sig00000445 ;
+ wire \blk00000003/sig00000444 ;
+ wire \blk00000003/sig00000443 ;
+ wire \blk00000003/sig00000442 ;
+ wire \blk00000003/sig00000441 ;
+ wire \blk00000003/sig00000440 ;
+ wire \blk00000003/sig0000043f ;
+ wire \blk00000003/sig0000043e ;
+ wire \blk00000003/sig0000043d ;
+ wire \blk00000003/sig0000043c ;
+ wire \blk00000003/sig0000043b ;
+ wire \blk00000003/sig0000043a ;
+ wire \blk00000003/sig00000439 ;
+ wire \blk00000003/sig00000438 ;
+ wire \blk00000003/sig00000437 ;
+ wire \blk00000003/sig00000436 ;
+ wire \blk00000003/sig00000435 ;
+ wire \blk00000003/sig00000434 ;
+ wire \blk00000003/sig00000433 ;
+ wire \blk00000003/sig00000432 ;
+ wire \blk00000003/sig00000431 ;
+ wire \blk00000003/sig00000430 ;
+ wire \blk00000003/sig0000042f ;
+ wire \blk00000003/sig0000042e ;
+ wire \blk00000003/sig0000042d ;
+ wire \blk00000003/sig0000042c ;
+ wire \blk00000003/sig0000042b ;
+ wire \blk00000003/sig0000042a ;
+ wire \blk00000003/sig00000429 ;
+ wire \blk00000003/sig00000428 ;
+ wire \blk00000003/sig00000427 ;
+ wire \blk00000003/sig00000426 ;
+ wire \blk00000003/sig00000425 ;
+ wire \blk00000003/sig00000424 ;
+ wire \blk00000003/sig00000423 ;
+ wire \blk00000003/sig00000422 ;
+ wire \blk00000003/sig00000421 ;
+ wire \blk00000003/sig00000420 ;
+ wire \blk00000003/sig0000041f ;
+ wire \blk00000003/sig0000041e ;
+ wire \blk00000003/sig0000041d ;
+ wire \blk00000003/sig0000041c ;
+ wire \blk00000003/sig0000041b ;
+ wire \blk00000003/sig0000041a ;
+ wire \blk00000003/sig00000419 ;
+ wire \blk00000003/sig00000418 ;
+ wire \blk00000003/sig00000417 ;
+ wire \blk00000003/sig00000416 ;
+ wire \blk00000003/sig00000415 ;
+ wire \blk00000003/sig00000414 ;
+ wire \blk00000003/sig00000413 ;
+ wire \blk00000003/sig00000412 ;
+ wire \blk00000003/sig00000411 ;
+ wire \blk00000003/sig00000410 ;
+ wire \blk00000003/sig0000040f ;
+ wire \blk00000003/sig0000040e ;
+ wire \blk00000003/sig0000040d ;
+ wire \blk00000003/sig0000040c ;
+ wire \blk00000003/sig0000040b ;
+ wire \blk00000003/sig0000040a ;
+ wire \blk00000003/sig00000409 ;
+ wire \blk00000003/sig00000408 ;
+ wire \blk00000003/sig00000407 ;
+ wire \blk00000003/sig00000406 ;
+ wire \blk00000003/sig00000405 ;
+ wire \blk00000003/sig00000404 ;
+ wire \blk00000003/sig00000403 ;
+ wire \blk00000003/sig00000402 ;
+ wire \blk00000003/sig00000401 ;
+ wire \blk00000003/sig00000400 ;
+ wire \blk00000003/sig000003ff ;
+ wire \blk00000003/sig000003fe ;
+ wire \blk00000003/sig000003fd ;
+ wire \blk00000003/sig000003fc ;
+ wire \blk00000003/sig000003fb ;
+ wire \blk00000003/sig000003fa ;
+ wire \blk00000003/sig000003f9 ;
+ wire \blk00000003/sig000003f8 ;
+ wire \blk00000003/sig000003f7 ;
+ wire \blk00000003/sig000003f6 ;
+ wire \blk00000003/sig000003f5 ;
+ wire \blk00000003/sig000003f4 ;
+ wire \blk00000003/sig000003f3 ;
+ wire \blk00000003/sig000003f2 ;
+ wire \blk00000003/sig000003f1 ;
+ wire \blk00000003/sig000003f0 ;
+ wire \blk00000003/sig000003ef ;
+ wire \blk00000003/sig000003ee ;
+ wire \blk00000003/sig000003ed ;
+ wire \blk00000003/sig000003ec ;
+ wire \blk00000003/sig000003eb ;
+ wire \blk00000003/sig000003ea ;
+ wire \blk00000003/sig000003e9 ;
+ wire \blk00000003/sig000003e8 ;
+ wire \blk00000003/sig000003e7 ;
+ wire \blk00000003/sig000003e6 ;
+ wire \blk00000003/sig000003e5 ;
+ wire \blk00000003/sig000003e4 ;
+ wire \blk00000003/sig000003e3 ;
+ wire \blk00000003/sig000003e2 ;
+ wire \blk00000003/sig000003e1 ;
+ wire \blk00000003/sig000003e0 ;
+ wire \blk00000003/sig000003df ;
+ wire \blk00000003/sig000003de ;
+ wire \blk00000003/sig000003dd ;
+ wire \blk00000003/sig000003dc ;
+ wire \blk00000003/sig000003db ;
+ wire \blk00000003/sig000003da ;
+ wire \blk00000003/sig000003d9 ;
+ wire \blk00000003/sig000003d8 ;
+ wire \blk00000003/sig000003d7 ;
+ wire \blk00000003/sig000003d6 ;
+ wire \blk00000003/sig000003d5 ;
+ wire \blk00000003/sig000003d4 ;
+ wire \blk00000003/sig000003d3 ;
+ wire \blk00000003/sig000003d2 ;
+ wire \blk00000003/sig000003d1 ;
+ wire \blk00000003/sig000003d0 ;
+ wire \blk00000003/sig000003cf ;
+ wire \blk00000003/sig000003ce ;
+ wire \blk00000003/sig000003cd ;
+ wire \blk00000003/sig000003cc ;
+ wire \blk00000003/sig000003cb ;
+ wire \blk00000003/sig000003ca ;
+ wire \blk00000003/sig000003c9 ;
+ wire \blk00000003/sig000003c8 ;
+ wire \blk00000003/sig000003c7 ;
+ wire \blk00000003/sig000003c6 ;
+ wire \blk00000003/sig000003c5 ;
+ wire \blk00000003/sig000003c4 ;
+ wire \blk00000003/sig000003c3 ;
+ wire \blk00000003/sig000003c2 ;
+ wire \blk00000003/sig000003c1 ;
+ wire \blk00000003/sig000003c0 ;
+ wire \blk00000003/sig000003bf ;
+ wire \blk00000003/sig000003be ;
+ wire \blk00000003/sig000003bd ;
+ wire \blk00000003/sig000003bc ;
+ wire \blk00000003/sig000003bb ;
+ wire \blk00000003/sig000003ba ;
+ wire \blk00000003/sig000003b9 ;
+ wire \blk00000003/sig000003b8 ;
+ wire \blk00000003/sig000003b7 ;
+ wire \blk00000003/sig000003b6 ;
+ wire \blk00000003/sig000003b5 ;
+ wire \blk00000003/sig000003b4 ;
+ wire \blk00000003/sig000003b3 ;
+ wire \blk00000003/sig000003b2 ;
+ wire \blk00000003/sig000003b1 ;
+ wire \blk00000003/sig000003b0 ;
+ wire \blk00000003/sig000003af ;
+ wire \blk00000003/sig000003ae ;
+ wire \blk00000003/sig000003ad ;
+ wire \blk00000003/sig000003ac ;
+ wire \blk00000003/sig000003ab ;
+ wire \blk00000003/sig000003aa ;
+ wire \blk00000003/sig000003a9 ;
+ wire \blk00000003/sig000003a8 ;
+ wire \blk00000003/sig000003a7 ;
+ wire \blk00000003/sig000003a6 ;
+ wire \blk00000003/sig000003a5 ;
+ wire \blk00000003/sig000003a4 ;
+ wire \blk00000003/sig000003a3 ;
+ wire \blk00000003/sig000003a2 ;
+ wire \blk00000003/sig000003a1 ;
+ wire \blk00000003/sig000003a0 ;
+ wire \blk00000003/sig0000039f ;
+ wire \blk00000003/sig0000039e ;
+ wire \blk00000003/sig0000039d ;
+ wire \blk00000003/sig0000039c ;
+ wire \blk00000003/sig0000039b ;
+ wire \blk00000003/sig0000039a ;
+ wire \blk00000003/sig00000399 ;
+ wire \blk00000003/sig00000398 ;
+ wire \blk00000003/sig00000397 ;
+ wire \blk00000003/sig00000396 ;
+ wire \blk00000003/sig00000395 ;
+ wire \blk00000003/sig00000394 ;
+ wire \blk00000003/sig00000393 ;
+ wire \blk00000003/sig00000392 ;
+ wire \blk00000003/sig00000391 ;
+ wire \blk00000003/sig00000390 ;
+ wire \blk00000003/sig0000038f ;
+ wire \blk00000003/sig0000038e ;
+ wire \blk00000003/sig0000038d ;
+ wire \blk00000003/sig0000038c ;
+ wire \blk00000003/sig0000038b ;
+ wire \blk00000003/sig0000038a ;
+ wire \blk00000003/sig00000389 ;
+ wire \blk00000003/sig00000388 ;
+ wire \blk00000003/sig00000387 ;
+ wire \blk00000003/sig00000386 ;
+ wire \blk00000003/sig00000385 ;
+ wire \blk00000003/sig00000384 ;
+ wire \blk00000003/sig00000383 ;
+ wire \blk00000003/sig00000382 ;
+ wire \blk00000003/sig00000381 ;
+ wire \blk00000003/sig00000380 ;
+ wire \blk00000003/sig0000037f ;
+ wire \blk00000003/sig0000037e ;
+ wire \blk00000003/sig0000037d ;
+ wire \blk00000003/sig0000037c ;
+ wire \blk00000003/sig0000037b ;
+ wire \blk00000003/sig0000037a ;
+ wire \blk00000003/sig00000379 ;
+ wire \blk00000003/sig00000378 ;
+ wire \blk00000003/sig00000377 ;
+ wire \blk00000003/sig00000376 ;
+ wire \blk00000003/sig00000375 ;
+ wire \blk00000003/sig00000374 ;
+ wire \blk00000003/sig00000373 ;
+ wire \blk00000003/sig00000372 ;
+ wire \blk00000003/sig00000371 ;
+ wire \blk00000003/sig00000370 ;
+ wire \blk00000003/sig0000036f ;
+ wire \blk00000003/sig0000036e ;
+ wire \blk00000003/sig0000036d ;
+ wire \blk00000003/sig0000036c ;
+ wire \blk00000003/sig0000036b ;
+ wire \blk00000003/sig0000036a ;
+ wire \blk00000003/sig00000369 ;
+ wire \blk00000003/sig00000368 ;
+ wire \blk00000003/sig00000367 ;
+ wire \blk00000003/sig00000366 ;
+ wire \blk00000003/sig00000365 ;
+ wire \blk00000003/sig00000364 ;
+ wire \blk00000003/sig00000363 ;
+ wire \blk00000003/sig00000362 ;
+ wire \blk00000003/sig00000361 ;
+ wire \blk00000003/sig00000360 ;
+ wire \blk00000003/sig0000035f ;
+ wire \blk00000003/sig0000035e ;
+ wire \blk00000003/sig0000035d ;
+ wire \blk00000003/sig0000035c ;
+ wire \blk00000003/sig0000035b ;
+ wire \blk00000003/sig0000035a ;
+ wire \blk00000003/sig00000359 ;
+ wire \blk00000003/sig00000358 ;
+ wire \blk00000003/sig00000357 ;
+ wire \blk00000003/sig00000356 ;
+ wire \blk00000003/sig00000355 ;
+ wire \blk00000003/sig00000354 ;
+ wire \blk00000003/sig00000353 ;
+ wire \blk00000003/sig00000352 ;
+ wire \blk00000003/sig00000351 ;
+ wire \blk00000003/sig00000350 ;
+ wire \blk00000003/sig0000034f ;
+ wire \blk00000003/sig0000034e ;
+ wire \blk00000003/sig0000034d ;
+ wire \blk00000003/sig0000034c ;
+ wire \blk00000003/sig0000034b ;
+ wire \blk00000003/sig0000034a ;
+ wire \blk00000003/sig00000349 ;
+ wire \blk00000003/sig00000348 ;
+ wire \blk00000003/sig00000347 ;
+ wire \blk00000003/sig00000346 ;
+ wire \blk00000003/sig00000345 ;
+ wire \blk00000003/sig00000344 ;
+ wire \blk00000003/sig00000343 ;
+ wire \blk00000003/sig00000342 ;
+ wire \blk00000003/sig00000341 ;
+ wire \blk00000003/sig00000340 ;
+ wire \blk00000003/sig0000033f ;
+ wire \blk00000003/sig0000033e ;
+ wire \blk00000003/sig0000033d ;
+ wire \blk00000003/sig0000033c ;
+ wire \blk00000003/sig0000033b ;
+ wire \blk00000003/sig0000033a ;
+ wire \blk00000003/sig00000339 ;
+ wire \blk00000003/sig00000338 ;
+ wire \blk00000003/sig00000337 ;
+ wire \blk00000003/sig00000336 ;
+ wire \blk00000003/sig00000335 ;
+ wire \blk00000003/sig00000334 ;
+ wire \blk00000003/sig00000333 ;
+ wire \blk00000003/sig00000332 ;
+ wire \blk00000003/sig00000331 ;
+ wire \blk00000003/sig00000330 ;
+ wire \blk00000003/sig0000032f ;
+ wire \blk00000003/sig0000032e ;
+ wire \blk00000003/sig0000032d ;
+ wire \blk00000003/sig0000032c ;
+ wire \blk00000003/sig0000032b ;
+ wire \blk00000003/sig0000032a ;
+ wire \blk00000003/sig00000329 ;
+ wire \blk00000003/sig00000328 ;
+ wire \blk00000003/sig00000327 ;
+ wire \blk00000003/sig00000326 ;
+ wire \blk00000003/sig00000325 ;
+ wire \blk00000003/sig00000324 ;
+ wire \blk00000003/sig00000323 ;
+ wire \blk00000003/sig00000322 ;
+ wire \blk00000003/sig00000321 ;
+ wire \blk00000003/sig00000320 ;
+ wire \blk00000003/sig0000031f ;
+ wire \blk00000003/sig0000031e ;
+ wire \blk00000003/sig0000031d ;
+ wire \blk00000003/sig0000031c ;
+ wire \blk00000003/sig0000031b ;
+ wire \blk00000003/sig0000031a ;
+ wire \blk00000003/sig00000319 ;
+ wire \blk00000003/sig00000318 ;
+ wire \blk00000003/sig00000317 ;
+ wire \blk00000003/sig00000316 ;
+ wire \blk00000003/sig00000315 ;
+ wire \blk00000003/sig00000314 ;
+ wire \blk00000003/sig00000313 ;
+ wire \blk00000003/sig00000312 ;
+ wire \blk00000003/sig00000311 ;
+ wire \blk00000003/sig00000310 ;
+ wire \blk00000003/sig0000030f ;
+ wire \blk00000003/sig0000030e ;
+ wire \blk00000003/sig0000030d ;
+ wire \blk00000003/sig0000030c ;
+ wire \blk00000003/sig0000030b ;
+ wire \blk00000003/sig0000030a ;
+ wire \blk00000003/sig00000309 ;
+ wire \blk00000003/sig00000308 ;
+ wire \blk00000003/sig00000307 ;
+ wire \blk00000003/sig00000306 ;
+ wire \blk00000003/sig00000305 ;
+ wire \blk00000003/sig00000304 ;
+ wire \blk00000003/sig00000303 ;
+ wire \blk00000003/sig00000302 ;
+ wire \blk00000003/sig00000301 ;
+ wire \blk00000003/sig00000300 ;
+ wire \blk00000003/sig000002ff ;
+ wire \blk00000003/sig000002fe ;
+ wire \blk00000003/sig000002fd ;
+ wire \blk00000003/sig000002fc ;
+ wire \blk00000003/sig000002fb ;
+ wire \blk00000003/sig000002fa ;
+ wire \blk00000003/sig000002f9 ;
+ wire \blk00000003/sig000002f8 ;
+ wire \blk00000003/sig000002f7 ;
+ wire \blk00000003/sig000002f6 ;
+ wire \blk00000003/sig000002f5 ;
+ wire \blk00000003/sig000002f4 ;
+ wire \blk00000003/sig000002f3 ;
+ wire \blk00000003/sig000002f2 ;
+ wire \blk00000003/sig000002f1 ;
+ wire \blk00000003/sig000002f0 ;
+ wire \blk00000003/sig000002ef ;
+ wire \blk00000003/sig000002ee ;
+ wire \blk00000003/sig000002ed ;
+ wire \blk00000003/sig000002ec ;
+ wire \blk00000003/sig000002eb ;
+ wire \blk00000003/sig000002ea ;
+ wire \blk00000003/sig000002e9 ;
+ wire \blk00000003/sig000002e8 ;
+ wire \blk00000003/sig000002e7 ;
+ wire \blk00000003/sig000002e6 ;
+ wire \blk00000003/sig000002e5 ;
+ wire \blk00000003/sig000002e4 ;
+ wire \blk00000003/sig000002e3 ;
+ wire \blk00000003/sig000002e2 ;
+ wire \blk00000003/sig000002e1 ;
+ wire \blk00000003/sig000002e0 ;
+ wire \blk00000003/sig000002df ;
+ wire \blk00000003/sig000002de ;
+ wire \blk00000003/sig000002dd ;
+ wire \blk00000003/sig000002dc ;
+ wire \blk00000003/sig000002db ;
+ wire \blk00000003/sig000002da ;
+ wire \blk00000003/sig000002d9 ;
+ wire \blk00000003/sig000002d8 ;
+ wire \blk00000003/sig000002d7 ;
+ wire \blk00000003/sig000002d6 ;
+ wire \blk00000003/sig000002d5 ;
+ wire \blk00000003/sig000002d4 ;
+ wire \blk00000003/sig000002d3 ;
+ wire \blk00000003/sig000002d2 ;
+ wire \blk00000003/sig000002d1 ;
+ wire \blk00000003/sig000002d0 ;
+ wire \blk00000003/sig000002cf ;
+ wire \blk00000003/sig000002ce ;
+ wire \blk00000003/sig000002cd ;
+ wire \blk00000003/sig000002cc ;
+ wire \blk00000003/sig000002cb ;
+ wire \blk00000003/sig000002ca ;
+ wire \blk00000003/sig000002c9 ;
+ wire \blk00000003/sig000002c8 ;
+ wire \blk00000003/sig000002c7 ;
+ wire \blk00000003/sig000002c6 ;
+ wire \blk00000003/sig000002c5 ;
+ wire \blk00000003/sig000002c4 ;
+ wire \blk00000003/sig000002c3 ;
+ wire \blk00000003/sig000002c2 ;
+ wire \blk00000003/sig000002c1 ;
+ wire \blk00000003/sig000002c0 ;
+ wire \blk00000003/sig000002bf ;
+ wire \blk00000003/sig000002be ;
+ wire \blk00000003/sig000002bd ;
+ wire \blk00000003/sig000002bc ;
+ wire \blk00000003/sig000002bb ;
+ wire \blk00000003/sig000002ba ;
+ wire \blk00000003/sig000002b9 ;
+ wire \blk00000003/sig000002b8 ;
+ wire \blk00000003/sig000002b7 ;
+ wire \blk00000003/sig000002b6 ;
+ wire \blk00000003/sig000002b5 ;
+ wire \blk00000003/sig000002b4 ;
+ wire \blk00000003/sig000002b3 ;
+ wire \blk00000003/sig000002b2 ;
+ wire \blk00000003/sig000002b1 ;
+ wire \blk00000003/sig000002b0 ;
+ wire \blk00000003/sig000002af ;
+ wire \blk00000003/sig000002ae ;
+ wire \blk00000003/sig000002ad ;
+ wire \blk00000003/sig000002ac ;
+ wire \blk00000003/sig000002ab ;
+ wire \blk00000003/sig000002aa ;
+ wire \blk00000003/sig000002a9 ;
+ wire \blk00000003/sig000002a8 ;
+ wire \blk00000003/sig000002a7 ;
+ wire \blk00000003/sig000002a6 ;
+ wire \blk00000003/sig000002a5 ;
+ wire \blk00000003/sig000002a4 ;
+ wire \blk00000003/sig000002a3 ;
+ wire \blk00000003/sig000002a2 ;
+ wire \blk00000003/sig000002a1 ;
+ wire \blk00000003/sig000002a0 ;
+ wire \blk00000003/sig0000029f ;
+ wire \blk00000003/sig0000029e ;
+ wire \blk00000003/sig0000029d ;
+ wire \blk00000003/sig0000029c ;
+ wire \blk00000003/sig0000029b ;
+ wire \blk00000003/sig0000029a ;
+ wire \blk00000003/sig00000299 ;
+ wire \blk00000003/sig00000298 ;
+ wire \blk00000003/sig00000297 ;
+ wire \blk00000003/sig00000296 ;
+ wire \blk00000003/sig00000295 ;
+ wire \blk00000003/sig00000294 ;
+ wire \blk00000003/sig00000293 ;
+ wire \blk00000003/sig00000292 ;
+ wire \blk00000003/sig00000291 ;
+ wire \blk00000003/sig00000290 ;
+ wire \blk00000003/sig0000028f ;
+ wire \blk00000003/sig0000028e ;
+ wire \blk00000003/sig0000028d ;
+ wire \blk00000003/sig0000028c ;
+ wire \blk00000003/sig0000028b ;
+ wire \blk00000003/sig0000028a ;
+ wire \blk00000003/sig00000289 ;
+ wire \blk00000003/sig00000288 ;
+ wire \blk00000003/sig00000287 ;
+ wire \blk00000003/sig00000286 ;
+ wire \blk00000003/sig00000285 ;
+ wire \blk00000003/sig00000284 ;
+ wire \blk00000003/sig00000283 ;
+ wire \blk00000003/sig00000282 ;
+ wire \blk00000003/sig00000281 ;
+ wire \blk00000003/sig00000280 ;
+ wire \blk00000003/sig0000027f ;
+ wire \blk00000003/sig0000027e ;
+ wire \blk00000003/sig0000027d ;
+ wire \blk00000003/sig0000027c ;
+ wire \blk00000003/sig0000027b ;
+ wire \blk00000003/sig0000027a ;
+ wire \blk00000003/sig00000279 ;
+ wire \blk00000003/sig00000278 ;
+ wire \blk00000003/sig00000277 ;
+ wire \blk00000003/sig00000276 ;
+ wire \blk00000003/sig00000275 ;
+ wire \blk00000003/sig00000274 ;
+ wire \blk00000003/sig00000273 ;
+ wire \blk00000003/sig00000272 ;
+ wire \blk00000003/sig00000271 ;
+ wire \blk00000003/sig00000270 ;
+ wire \blk00000003/sig0000026f ;
+ wire \blk00000003/sig0000026e ;
+ wire \blk00000003/sig0000026d ;
+ wire \blk00000003/sig0000026c ;
+ wire \blk00000003/sig0000026b ;
+ wire \blk00000003/sig0000026a ;
+ wire \blk00000003/sig00000269 ;
+ wire \blk00000003/sig00000268 ;
+ wire \blk00000003/sig00000267 ;
+ wire \blk00000003/sig00000266 ;
+ wire \blk00000003/sig00000265 ;
+ wire \blk00000003/sig00000264 ;
+ wire \blk00000003/sig00000263 ;
+ wire \blk00000003/sig00000262 ;
+ wire \blk00000003/sig00000261 ;
+ wire \blk00000003/sig00000260 ;
+ wire \blk00000003/sig0000025f ;
+ wire \blk00000003/sig0000025e ;
+ wire \blk00000003/sig0000025d ;
+ wire \blk00000003/sig0000025c ;
+ wire \blk00000003/sig0000025b ;
+ wire \blk00000003/sig0000025a ;
+ wire \blk00000003/sig00000259 ;
+ wire \blk00000003/sig00000258 ;
+ wire \blk00000003/sig00000257 ;
+ wire \blk00000003/sig00000256 ;
+ wire \blk00000003/sig00000255 ;
+ wire \blk00000003/sig00000254 ;
+ wire \blk00000003/sig00000253 ;
+ wire \blk00000003/sig00000252 ;
+ wire \blk00000003/sig00000251 ;
+ wire \blk00000003/sig00000250 ;
+ wire \blk00000003/sig0000024f ;
+ wire \blk00000003/sig0000024e ;
+ wire \blk00000003/sig0000024d ;
+ wire \blk00000003/sig0000024c ;
+ wire \blk00000003/sig0000024b ;
+ wire \blk00000003/sig0000024a ;
+ wire \blk00000003/sig00000249 ;
+ wire \blk00000003/sig00000248 ;
+ wire \blk00000003/sig00000247 ;
+ wire \blk00000003/sig00000246 ;
+ wire \blk00000003/sig00000245 ;
+ wire \blk00000003/sig00000244 ;
+ wire \blk00000003/sig00000243 ;
+ wire \blk00000003/sig00000242 ;
+ wire \blk00000003/sig00000241 ;
+ wire \blk00000003/sig00000240 ;
+ wire \blk00000003/sig0000023f ;
+ wire \blk00000003/sig0000023e ;
+ wire \blk00000003/sig0000023d ;
+ wire \blk00000003/sig0000023c ;
+ wire \blk00000003/sig0000023b ;
+ wire \blk00000003/sig0000023a ;
+ wire \blk00000003/sig00000239 ;
+ wire \blk00000003/sig00000238 ;
+ wire \blk00000003/sig00000237 ;
+ wire \blk00000003/sig00000236 ;
+ wire \blk00000003/sig00000235 ;
+ wire \blk00000003/sig00000234 ;
+ wire \blk00000003/sig00000233 ;
+ wire \blk00000003/sig00000232 ;
+ wire \blk00000003/sig00000231 ;
+ wire \blk00000003/sig00000230 ;
+ wire \blk00000003/sig0000022f ;
+ wire \blk00000003/sig0000022e ;
+ wire \blk00000003/sig0000022d ;
+ wire \blk00000003/sig0000022c ;
+ wire \blk00000003/sig0000022b ;
+ wire \blk00000003/sig0000022a ;
+ wire \blk00000003/sig00000229 ;
+ wire \blk00000003/sig00000228 ;
+ wire \blk00000003/sig00000227 ;
+ wire \blk00000003/sig00000226 ;
+ wire \blk00000003/sig00000225 ;
+ wire \blk00000003/sig00000224 ;
+ wire \blk00000003/sig00000223 ;
+ wire \blk00000003/sig00000222 ;
+ wire \blk00000003/sig00000221 ;
+ wire \blk00000003/sig00000220 ;
+ wire \blk00000003/sig0000021f ;
+ wire \blk00000003/sig0000021e ;
+ wire \blk00000003/sig0000021d ;
+ wire \blk00000003/sig0000021c ;
+ wire \blk00000003/sig0000021b ;
+ wire \blk00000003/sig0000021a ;
+ wire \blk00000003/sig00000219 ;
+ wire \blk00000003/sig00000218 ;
+ wire \blk00000003/sig00000217 ;
+ wire \blk00000003/sig00000216 ;
+ wire \blk00000003/sig00000215 ;
+ wire \blk00000003/sig00000214 ;
+ wire \blk00000003/sig00000213 ;
+ wire \blk00000003/sig00000212 ;
+ wire \blk00000003/sig00000211 ;
+ wire \blk00000003/sig00000210 ;
+ wire \blk00000003/sig0000020f ;
+ wire \blk00000003/sig0000020e ;
+ wire \blk00000003/sig0000020d ;
+ wire \blk00000003/sig0000020c ;
+ wire \blk00000003/sig0000020b ;
+ wire \blk00000003/sig0000020a ;
+ wire \blk00000003/sig00000209 ;
+ wire \blk00000003/sig00000208 ;
+ wire \blk00000003/sig00000207 ;
+ wire \blk00000003/sig00000206 ;
+ wire \blk00000003/sig00000205 ;
+ wire \blk00000003/sig00000204 ;
+ wire \blk00000003/sig00000203 ;
+ wire \blk00000003/sig00000202 ;
+ wire \blk00000003/sig00000201 ;
+ wire \blk00000003/sig00000200 ;
+ wire \blk00000003/sig000001ff ;
+ wire \blk00000003/sig000001fe ;
+ wire \blk00000003/sig000001fd ;
+ wire \blk00000003/sig000001fc ;
+ wire \blk00000003/sig000001fb ;
+ wire \blk00000003/sig000001fa ;
+ wire \blk00000003/sig000001f9 ;
+ wire \blk00000003/sig000001f8 ;
+ wire \blk00000003/sig000001f7 ;
+ wire \blk00000003/sig000001f6 ;
+ wire \blk00000003/sig000001f5 ;
+ wire \blk00000003/sig000001f4 ;
+ wire \blk00000003/sig000001f3 ;
+ wire \blk00000003/sig000001f2 ;
+ wire \blk00000003/sig000001f1 ;
+ wire \blk00000003/sig000001f0 ;
+ wire \blk00000003/sig000001ef ;
+ wire \blk00000003/sig000001ee ;
+ wire \blk00000003/sig000001ed ;
+ wire \blk00000003/sig000001ec ;
+ wire \blk00000003/sig000001eb ;
+ wire \blk00000003/sig000001ea ;
+ wire \blk00000003/sig000001e9 ;
+ wire \blk00000003/sig000001e8 ;
+ wire \blk00000003/sig000001e7 ;
+ wire \blk00000003/sig000001e6 ;
+ wire \blk00000003/sig000001e5 ;
+ wire \blk00000003/sig000001e4 ;
+ wire \blk00000003/sig000001e3 ;
+ wire \blk00000003/sig000001e2 ;
+ wire \blk00000003/sig000001e1 ;
+ wire \blk00000003/sig000001e0 ;
+ wire \blk00000003/sig000001df ;
+ wire \blk00000003/sig000001de ;
+ wire \blk00000003/sig000001dd ;
+ wire \blk00000003/sig000001dc ;
+ wire \blk00000003/sig000001db ;
+ wire \blk00000003/sig000001da ;
+ wire \blk00000003/sig000001d9 ;
+ wire \blk00000003/sig000001d8 ;
+ wire \blk00000003/sig000001d7 ;
+ wire \blk00000003/sig000001d6 ;
+ wire \blk00000003/sig000001d5 ;
+ wire \blk00000003/sig000001d4 ;
+ wire \blk00000003/sig000001d3 ;
+ wire \blk00000003/sig000001d2 ;
+ wire \blk00000003/sig000001d1 ;
+ wire \blk00000003/sig000001d0 ;
+ wire \blk00000003/sig000001cf ;
+ wire \blk00000003/sig000001ce ;
+ wire \blk00000003/sig000001cd ;
+ wire \blk00000003/sig000001cc ;
+ wire \blk00000003/sig000001cb ;
+ wire \blk00000003/sig000001ca ;
+ wire \blk00000003/sig000001c9 ;
+ wire \blk00000003/sig000001c8 ;
+ wire \blk00000003/sig000001c7 ;
+ wire \blk00000003/sig000001c6 ;
+ wire \blk00000003/sig000001c5 ;
+ wire \blk00000003/sig000001c4 ;
+ wire \blk00000003/sig000001c3 ;
+ wire \blk00000003/sig000001c2 ;
+ wire \blk00000003/sig000001c1 ;
+ wire \blk00000003/sig000001c0 ;
+ wire \blk00000003/sig000001bf ;
+ wire \blk00000003/sig000001be ;
+ wire \blk00000003/sig000001bd ;
+ wire \blk00000003/sig000001bc ;
+ wire \blk00000003/sig000001bb ;
+ wire \blk00000003/sig000001ba ;
+ wire \blk00000003/sig000001b9 ;
+ wire \blk00000003/sig000001b8 ;
+ wire \blk00000003/sig000001b7 ;
+ wire \blk00000003/sig000001b6 ;
+ wire \blk00000003/sig000001b5 ;
+ wire \blk00000003/sig000001b4 ;
+ wire \blk00000003/sig000001b3 ;
+ wire \blk00000003/sig000001b2 ;
+ wire \blk00000003/sig000001b1 ;
+ wire \blk00000003/sig000001b0 ;
+ wire \blk00000003/sig000001af ;
+ wire \blk00000003/sig000001ae ;
+ wire \blk00000003/sig000001ad ;
+ wire \blk00000003/sig000001ac ;
+ wire \blk00000003/sig000001ab ;
+ wire \blk00000003/sig000001aa ;
+ wire \blk00000003/sig000001a9 ;
+ wire \blk00000003/sig000001a8 ;
+ wire \blk00000003/sig000001a7 ;
+ wire \blk00000003/sig000001a6 ;
+ wire \blk00000003/sig000001a5 ;
+ wire \blk00000003/sig000001a4 ;
+ wire \blk00000003/sig000001a3 ;
+ wire \blk00000003/sig000001a2 ;
+ wire \blk00000003/sig000001a1 ;
+ wire \blk00000003/sig000001a0 ;
+ wire \blk00000003/sig0000019f ;
+ wire \blk00000003/sig0000019e ;
+ wire \blk00000003/sig0000019d ;
+ wire \blk00000003/sig0000019c ;
+ wire \blk00000003/sig0000019b ;
+ wire \blk00000003/sig0000019a ;
+ wire \blk00000003/sig00000199 ;
+ wire \blk00000003/sig00000198 ;
+ wire \blk00000003/sig00000197 ;
+ wire \blk00000003/sig00000196 ;
+ wire \blk00000003/sig00000195 ;
+ wire \blk00000003/sig00000194 ;
+ wire \blk00000003/sig00000193 ;
+ wire \blk00000003/sig00000192 ;
+ wire \blk00000003/sig00000191 ;
+ wire \blk00000003/sig00000190 ;
+ wire \blk00000003/sig0000018f ;
+ wire \blk00000003/sig0000018e ;
+ wire \blk00000003/sig0000018d ;
+ wire \blk00000003/sig0000018c ;
+ wire \blk00000003/sig0000018b ;
+ wire \blk00000003/sig0000018a ;
+ wire \blk00000003/sig00000189 ;
+ wire \blk00000003/sig00000188 ;
+ wire \blk00000003/sig00000187 ;
+ wire \blk00000003/sig00000186 ;
+ wire \blk00000003/sig00000185 ;
+ wire \blk00000003/sig00000184 ;
+ wire \blk00000003/sig00000183 ;
+ wire \blk00000003/sig00000182 ;
+ wire \blk00000003/sig00000181 ;
+ wire \blk00000003/sig00000180 ;
+ wire \blk00000003/sig0000017f ;
+ wire \blk00000003/sig0000017e ;
+ wire \blk00000003/sig0000017d ;
+ wire \blk00000003/sig0000017c ;
+ wire \blk00000003/sig0000017b ;
+ wire \blk00000003/sig0000017a ;
+ wire \blk00000003/sig00000179 ;
+ wire \blk00000003/sig00000178 ;
+ wire \blk00000003/sig00000177 ;
+ wire \blk00000003/sig00000176 ;
+ wire \blk00000003/sig00000175 ;
+ wire \blk00000003/sig00000174 ;
+ wire \blk00000003/sig00000173 ;
+ wire \blk00000003/sig00000172 ;
+ wire \blk00000003/sig00000171 ;
+ wire \blk00000003/sig00000170 ;
+ wire \blk00000003/sig0000016f ;
+ wire \blk00000003/sig0000016e ;
+ wire \blk00000003/sig0000016d ;
+ wire \blk00000003/sig0000016c ;
+ wire \blk00000003/sig0000016b ;
+ wire \blk00000003/sig0000016a ;
+ wire \blk00000003/sig00000169 ;
+ wire \blk00000003/sig00000168 ;
+ wire \blk00000003/sig00000167 ;
+ wire \blk00000003/sig00000166 ;
+ wire \blk00000003/sig00000165 ;
+ wire \blk00000003/sig00000164 ;
+ wire \blk00000003/sig00000163 ;
+ wire \blk00000003/sig00000162 ;
+ wire \blk00000003/sig00000161 ;
+ wire \blk00000003/sig00000160 ;
+ wire \blk00000003/sig0000015f ;
+ wire \blk00000003/sig0000015e ;
+ wire \blk00000003/sig0000015d ;
+ wire \blk00000003/sig0000015c ;
+ wire \blk00000003/sig0000015b ;
+ wire \blk00000003/sig0000015a ;
+ wire \blk00000003/sig00000159 ;
+ wire \blk00000003/sig00000158 ;
+ wire \blk00000003/sig00000157 ;
+ wire \blk00000003/sig00000156 ;
+ wire \blk00000003/sig00000155 ;
+ wire \blk00000003/sig00000154 ;
+ wire \blk00000003/sig00000153 ;
+ wire \blk00000003/sig00000152 ;
+ wire \blk00000003/sig00000151 ;
+ wire \blk00000003/sig00000150 ;
+ wire \blk00000003/sig0000014f ;
+ wire \blk00000003/sig0000014e ;
+ wire \blk00000003/sig0000014d ;
+ wire \blk00000003/sig0000014c ;
+ wire \blk00000003/sig0000014b ;
+ wire \blk00000003/sig0000014a ;
+ wire \blk00000003/sig00000149 ;
+ wire \blk00000003/sig00000148 ;
+ wire \blk00000003/sig00000147 ;
+ wire \blk00000003/sig00000146 ;
+ wire \blk00000003/sig00000145 ;
+ wire \blk00000003/sig00000144 ;
+ wire \blk00000003/sig00000143 ;
+ wire \blk00000003/sig00000142 ;
+ wire \blk00000003/sig00000141 ;
+ wire \blk00000003/sig00000140 ;
+ wire \blk00000003/sig0000013f ;
+ wire \blk00000003/sig0000013e ;
+ wire \blk00000003/sig0000013d ;
+ wire \blk00000003/sig0000013c ;
+ wire \blk00000003/sig0000013b ;
+ wire \blk00000003/sig0000013a ;
+ wire \blk00000003/sig00000139 ;
+ wire \blk00000003/sig00000138 ;
+ wire \blk00000003/sig00000137 ;
+ wire \blk00000003/sig00000136 ;
+ wire \blk00000003/sig00000135 ;
+ wire \blk00000003/sig00000134 ;
+ wire \blk00000003/sig00000133 ;
+ wire \blk00000003/sig00000132 ;
+ wire \blk00000003/sig00000131 ;
+ wire \blk00000003/sig00000130 ;
+ wire \blk00000003/sig0000012f ;
+ wire \blk00000003/sig0000012e ;
+ wire \blk00000003/sig0000012d ;
+ wire \blk00000003/sig0000012c ;
+ wire \blk00000003/sig0000012b ;
+ wire \blk00000003/sig0000012a ;
+ wire \blk00000003/sig00000129 ;
+ wire \blk00000003/sig00000128 ;
+ wire \blk00000003/sig00000127 ;
+ wire \blk00000003/sig00000126 ;
+ wire \blk00000003/sig00000125 ;
+ wire \blk00000003/sig00000124 ;
+ wire \blk00000003/sig00000123 ;
+ wire \blk00000003/sig00000122 ;
+ wire \blk00000003/sig00000121 ;
+ wire \blk00000003/sig00000120 ;
+ wire \blk00000003/sig0000011f ;
+ wire \blk00000003/sig0000011e ;
+ wire \blk00000003/sig0000011d ;
+ wire \blk00000003/sig0000011c ;
+ wire \blk00000003/sig0000011b ;
+ wire \blk00000003/sig0000011a ;
+ wire \blk00000003/sig00000119 ;
+ wire \blk00000003/sig00000118 ;
+ wire \blk00000003/sig00000117 ;
+ wire \blk00000003/sig00000116 ;
+ wire \blk00000003/sig00000115 ;
+ wire \blk00000003/sig00000114 ;
+ wire \blk00000003/sig00000113 ;
+ wire \blk00000003/sig00000112 ;
+ wire \blk00000003/sig00000111 ;
+ wire \blk00000003/sig00000110 ;
+ wire \blk00000003/sig0000010f ;
+ wire \blk00000003/sig0000010e ;
+ wire \blk00000003/sig0000010d ;
+ wire \blk00000003/sig0000010c ;
+ wire \blk00000003/sig0000010b ;
+ wire \blk00000003/sig0000010a ;
+ wire \blk00000003/sig00000109 ;
+ wire \blk00000003/sig00000108 ;
+ wire \blk00000003/sig00000107 ;
+ wire \blk00000003/sig00000106 ;
+ wire \blk00000003/sig00000105 ;
+ wire \blk00000003/sig00000104 ;
+ wire \blk00000003/sig00000103 ;
+ wire \blk00000003/sig00000102 ;
+ wire \blk00000003/sig00000101 ;
+ wire \blk00000003/sig00000100 ;
+ wire \blk00000003/sig000000ff ;
+ wire \blk00000003/sig000000fe ;
+ wire \blk00000003/sig000000fd ;
+ wire \blk00000003/sig000000fc ;
+ wire \blk00000003/sig000000fb ;
+ wire \blk00000003/sig000000fa ;
+ wire \blk00000003/sig000000f9 ;
+ wire \blk00000003/sig000000f8 ;
+ wire \blk00000003/sig000000f7 ;
+ wire \blk00000003/sig000000f6 ;
+ wire \blk00000003/sig000000f5 ;
+ wire \blk00000003/sig000000f4 ;
+ wire \blk00000003/sig000000f3 ;
+ wire \blk00000003/sig000000f2 ;
+ wire \blk00000003/sig000000f1 ;
+ wire \blk00000003/sig000000f0 ;
+ wire \blk00000003/sig000000ef ;
+ wire \blk00000003/sig000000ee ;
+ wire \blk00000003/sig000000ed ;
+ wire \blk00000003/sig000000ec ;
+ wire \blk00000003/sig000000eb ;
+ wire \blk00000003/sig000000ea ;
+ wire \blk00000003/sig000000e9 ;
+ wire \blk00000003/sig000000e8 ;
+ wire \blk00000003/sig000000e7 ;
+ wire \blk00000003/sig000000e6 ;
+ wire \blk00000003/sig000000e5 ;
+ wire \blk00000003/sig000000e4 ;
+ wire \blk00000003/sig000000e3 ;
+ wire \blk00000003/sig000000e2 ;
+ wire \blk00000003/sig000000e1 ;
+ wire \blk00000003/sig000000e0 ;
+ wire \blk00000003/sig000000df ;
+ wire \blk00000003/sig000000de ;
+ wire \blk00000003/sig000000dd ;
+ wire \blk00000003/sig000000dc ;
+ wire \blk00000003/sig000000db ;
+ wire \blk00000003/sig000000da ;
+ wire \blk00000003/sig000000d9 ;
+ wire \blk00000003/sig000000d8 ;
+ wire \blk00000003/sig000000d7 ;
+ wire \blk00000003/sig000000d6 ;
+ wire \blk00000003/sig000000d5 ;
+ wire \blk00000003/sig000000d4 ;
+ wire \blk00000003/sig000000d3 ;
+ wire \blk00000003/sig000000d2 ;
+ wire \blk00000003/sig000000d1 ;
+ wire \blk00000003/sig000000d0 ;
+ wire \blk00000003/sig000000cf ;
+ wire \blk00000003/sig000000ce ;
+ wire \blk00000003/sig000000cd ;
+ wire \blk00000003/sig000000cc ;
+ wire \blk00000003/sig000000cb ;
+ wire \blk00000003/sig000000ca ;
+ wire \blk00000003/sig000000c9 ;
+ wire \blk00000003/sig000000c8 ;
+ wire \blk00000003/sig000000c7 ;
+ wire \blk00000003/sig000000c6 ;
+ wire \blk00000003/sig000000c5 ;
+ wire \blk00000003/sig000000c4 ;
+ wire \blk00000003/sig000000c3 ;
+ wire \blk00000003/sig000000c2 ;
+ wire \blk00000003/sig000000c1 ;
+ wire \blk00000003/sig000000c0 ;
+ wire \blk00000003/sig000000bf ;
+ wire \blk00000003/sig000000be ;
+ wire \blk00000003/sig000000bd ;
+ wire \blk00000003/sig000000bc ;
+ wire \blk00000003/sig000000bb ;
+ wire \blk00000003/sig000000ba ;
+ wire \blk00000003/sig000000b9 ;
+ wire \blk00000003/sig000000b8 ;
+ wire \blk00000003/sig000000b7 ;
+ wire \blk00000003/sig000000b6 ;
+ wire \blk00000003/sig000000b5 ;
+ wire \blk00000003/sig000000b4 ;
+ wire \blk00000003/sig000000b3 ;
+ wire \blk00000003/sig000000b2 ;
+ wire \blk00000003/sig000000b1 ;
+ wire \blk00000003/sig000000b0 ;
+ wire \blk00000003/sig000000af ;
+ wire \blk00000003/sig000000ae ;
+ wire \blk00000003/sig000000ad ;
+ wire \blk00000003/sig000000ac ;
+ wire \blk00000003/sig0000004a ;
+ wire \blk00000003/sig00000049 ;
+ wire \blk00000003/blk0000002c/sig00000c29 ;
+ wire \blk00000003/blk0000002c/sig00000c28 ;
+ wire \blk00000003/blk0000002c/sig00000c27 ;
+ wire \blk00000003/blk0000002c/sig00000c26 ;
+ wire \blk00000003/blk0000002c/sig00000c25 ;
+ wire \blk00000003/blk0000002c/sig00000c24 ;
+ wire \blk00000003/blk0000002c/sig00000c23 ;
+ wire \blk00000003/blk0000002c/sig00000c22 ;
+ wire \blk00000003/blk0000002c/sig00000c21 ;
+ wire \blk00000003/blk0000002c/sig00000c20 ;
+ wire \blk00000003/blk0000002c/sig00000c1f ;
+ wire \blk00000003/blk0000002c/sig00000c1e ;
+ wire \blk00000003/blk0000002c/sig00000c1d ;
+ wire \blk00000003/blk0000002c/sig00000c1c ;
+ wire \blk00000003/blk0000002c/sig00000c1b ;
+ wire \blk00000003/blk0000002c/sig00000c1a ;
+ wire \blk00000003/blk0000002c/sig00000c19 ;
+ wire \blk00000003/blk0000002c/sig00000c18 ;
+ wire \blk00000003/blk0000002c/sig00000c17 ;
+ wire \blk00000003/blk0000002c/sig00000c16 ;
+ wire \blk00000003/blk0000002c/sig00000c15 ;
+ wire \blk00000003/blk0000002c/sig00000c14 ;
+ wire \blk00000003/blk0000002c/sig00000c13 ;
+ wire \blk00000003/blk0000002c/sig00000c12 ;
+ wire \blk00000003/blk0000002c/sig00000c11 ;
+ wire \blk00000003/blk0000002c/sig00000c10 ;
+ wire \blk00000003/blk0000002c/sig00000c0f ;
+ wire \blk00000003/blk0000002c/sig00000c0e ;
+ wire \blk00000003/blk0000002c/sig00000c0d ;
+ wire \blk00000003/blk0000002c/sig00000c0c ;
+ wire \blk00000003/blk0000002c/sig00000c0b ;
+ wire \blk00000003/blk0000002c/sig00000c0a ;
+ wire \blk00000003/blk0000002c/sig00000c09 ;
+ wire \blk00000003/blk0000002c/sig00000c08 ;
+ wire \blk00000003/blk0000002c/sig00000c07 ;
+ wire \blk00000003/blk0000002c/sig00000c06 ;
+ wire \blk00000003/blk0000002c/sig00000c05 ;
+ wire \blk00000003/blk0000002c/sig00000c04 ;
+ wire \blk00000003/blk0000002c/sig00000c03 ;
+ wire \blk00000003/blk0000002c/sig00000c02 ;
+ wire \blk00000003/blk0000002c/sig00000c01 ;
+ wire \blk00000003/blk0000002c/sig00000c00 ;
+ wire \blk00000003/blk0000002c/sig00000bff ;
+ wire \blk00000003/blk0000002c/sig00000bfe ;
+ wire \blk00000003/blk0000002c/sig00000bfd ;
+ wire \blk00000003/blk0000002c/sig00000bfc ;
+ wire \blk00000003/blk0000002c/sig00000bfb ;
+ wire \blk00000003/blk0000002c/sig00000bfa ;
+ wire \blk00000003/blk0000002c/sig00000bf9 ;
+ wire \blk00000003/blk0000002c/sig00000bf8 ;
+ wire \blk00000003/blk00000120/sig00000c77 ;
+ wire \blk00000003/blk00000120/sig00000c76 ;
+ wire \blk00000003/blk00000120/sig00000c75 ;
+ wire \blk00000003/blk00000120/sig00000c74 ;
+ wire \blk00000003/blk00000120/sig00000c73 ;
+ wire \blk00000003/blk00000120/sig00000c72 ;
+ wire \blk00000003/blk00000120/sig00000c71 ;
+ wire \blk00000003/blk00000120/sig00000c70 ;
+ wire \blk00000003/blk00000120/sig00000c6f ;
+ wire \blk00000003/blk00000120/sig00000c6e ;
+ wire \blk00000003/blk00000120/sig00000c6d ;
+ wire \blk00000003/blk00000120/sig00000c6c ;
+ wire \blk00000003/blk00000120/sig00000c6b ;
+ wire \blk00000003/blk00000120/sig00000c6a ;
+ wire \blk00000003/blk00000120/sig00000c69 ;
+ wire \blk00000003/blk00000120/sig00000c68 ;
+ wire \blk00000003/blk00000120/sig00000c67 ;
+ wire \blk00000003/blk00000120/sig00000c66 ;
+ wire \blk00000003/blk00000120/sig00000c65 ;
+ wire \blk00000003/blk00000120/sig00000c64 ;
+ wire \blk00000003/blk00000120/sig00000c63 ;
+ wire \blk00000003/blk00000120/sig00000c62 ;
+ wire \blk00000003/blk00000120/sig00000c61 ;
+ wire \blk00000003/blk00000120/sig00000c60 ;
+ wire \blk00000003/blk00000120/sig00000c5f ;
+ wire \blk00000003/blk00000120/sig00000c5e ;
+ wire \blk00000003/blk00000153/sig00000cc5 ;
+ wire \blk00000003/blk00000153/sig00000cc4 ;
+ wire \blk00000003/blk00000153/sig00000cc3 ;
+ wire \blk00000003/blk00000153/sig00000cc2 ;
+ wire \blk00000003/blk00000153/sig00000cc1 ;
+ wire \blk00000003/blk00000153/sig00000cc0 ;
+ wire \blk00000003/blk00000153/sig00000cbf ;
+ wire \blk00000003/blk00000153/sig00000cbe ;
+ wire \blk00000003/blk00000153/sig00000cbd ;
+ wire \blk00000003/blk00000153/sig00000cbc ;
+ wire \blk00000003/blk00000153/sig00000cbb ;
+ wire \blk00000003/blk00000153/sig00000cba ;
+ wire \blk00000003/blk00000153/sig00000cb9 ;
+ wire \blk00000003/blk00000153/sig00000cb8 ;
+ wire \blk00000003/blk00000153/sig00000cb7 ;
+ wire \blk00000003/blk00000153/sig00000cb6 ;
+ wire \blk00000003/blk00000153/sig00000cb5 ;
+ wire \blk00000003/blk00000153/sig00000cb4 ;
+ wire \blk00000003/blk00000153/sig00000cb3 ;
+ wire \blk00000003/blk00000153/sig00000cb2 ;
+ wire \blk00000003/blk00000153/sig00000cb1 ;
+ wire \blk00000003/blk00000153/sig00000cb0 ;
+ wire \blk00000003/blk00000153/sig00000caf ;
+ wire \blk00000003/blk00000153/sig00000cae ;
+ wire \blk00000003/blk00000153/sig00000cad ;
+ wire \blk00000003/blk00000153/sig00000cac ;
+ wire \blk00000003/blk00000186/sig00000d13 ;
+ wire \blk00000003/blk00000186/sig00000d12 ;
+ wire \blk00000003/blk00000186/sig00000d11 ;
+ wire \blk00000003/blk00000186/sig00000d10 ;
+ wire \blk00000003/blk00000186/sig00000d0f ;
+ wire \blk00000003/blk00000186/sig00000d0e ;
+ wire \blk00000003/blk00000186/sig00000d0d ;
+ wire \blk00000003/blk00000186/sig00000d0c ;
+ wire \blk00000003/blk00000186/sig00000d0b ;
+ wire \blk00000003/blk00000186/sig00000d0a ;
+ wire \blk00000003/blk00000186/sig00000d09 ;
+ wire \blk00000003/blk00000186/sig00000d08 ;
+ wire \blk00000003/blk00000186/sig00000d07 ;
+ wire \blk00000003/blk00000186/sig00000d06 ;
+ wire \blk00000003/blk00000186/sig00000d05 ;
+ wire \blk00000003/blk00000186/sig00000d04 ;
+ wire \blk00000003/blk00000186/sig00000d03 ;
+ wire \blk00000003/blk00000186/sig00000d02 ;
+ wire \blk00000003/blk00000186/sig00000d01 ;
+ wire \blk00000003/blk00000186/sig00000d00 ;
+ wire \blk00000003/blk00000186/sig00000cff ;
+ wire \blk00000003/blk00000186/sig00000cfe ;
+ wire \blk00000003/blk00000186/sig00000cfd ;
+ wire \blk00000003/blk00000186/sig00000cfc ;
+ wire \blk00000003/blk00000186/sig00000cfb ;
+ wire \blk00000003/blk00000186/sig00000cfa ;
+ wire \blk00000003/blk000001b9/sig00000d61 ;
+ wire \blk00000003/blk000001b9/sig00000d60 ;
+ wire \blk00000003/blk000001b9/sig00000d5f ;
+ wire \blk00000003/blk000001b9/sig00000d5e ;
+ wire \blk00000003/blk000001b9/sig00000d5d ;
+ wire \blk00000003/blk000001b9/sig00000d5c ;
+ wire \blk00000003/blk000001b9/sig00000d5b ;
+ wire \blk00000003/blk000001b9/sig00000d5a ;
+ wire \blk00000003/blk000001b9/sig00000d59 ;
+ wire \blk00000003/blk000001b9/sig00000d58 ;
+ wire \blk00000003/blk000001b9/sig00000d57 ;
+ wire \blk00000003/blk000001b9/sig00000d56 ;
+ wire \blk00000003/blk000001b9/sig00000d55 ;
+ wire \blk00000003/blk000001b9/sig00000d54 ;
+ wire \blk00000003/blk000001b9/sig00000d53 ;
+ wire \blk00000003/blk000001b9/sig00000d52 ;
+ wire \blk00000003/blk000001b9/sig00000d51 ;
+ wire \blk00000003/blk000001b9/sig00000d50 ;
+ wire \blk00000003/blk000001b9/sig00000d4f ;
+ wire \blk00000003/blk000001b9/sig00000d4e ;
+ wire \blk00000003/blk000001b9/sig00000d4d ;
+ wire \blk00000003/blk000001b9/sig00000d4c ;
+ wire \blk00000003/blk000001b9/sig00000d4b ;
+ wire \blk00000003/blk000001b9/sig00000d4a ;
+ wire \blk00000003/blk000001b9/sig00000d49 ;
+ wire \blk00000003/blk000001b9/sig00000d48 ;
+ wire \blk00000003/blk000001ec/sig00000daf ;
+ wire \blk00000003/blk000001ec/sig00000dae ;
+ wire \blk00000003/blk000001ec/sig00000dad ;
+ wire \blk00000003/blk000001ec/sig00000dac ;
+ wire \blk00000003/blk000001ec/sig00000dab ;
+ wire \blk00000003/blk000001ec/sig00000daa ;
+ wire \blk00000003/blk000001ec/sig00000da9 ;
+ wire \blk00000003/blk000001ec/sig00000da8 ;
+ wire \blk00000003/blk000001ec/sig00000da7 ;
+ wire \blk00000003/blk000001ec/sig00000da6 ;
+ wire \blk00000003/blk000001ec/sig00000da5 ;
+ wire \blk00000003/blk000001ec/sig00000da4 ;
+ wire \blk00000003/blk000001ec/sig00000da3 ;
+ wire \blk00000003/blk000001ec/sig00000da2 ;
+ wire \blk00000003/blk000001ec/sig00000da1 ;
+ wire \blk00000003/blk000001ec/sig00000da0 ;
+ wire \blk00000003/blk000001ec/sig00000d9f ;
+ wire \blk00000003/blk000001ec/sig00000d9e ;
+ wire \blk00000003/blk000001ec/sig00000d9d ;
+ wire \blk00000003/blk000001ec/sig00000d9c ;
+ wire \blk00000003/blk000001ec/sig00000d9b ;
+ wire \blk00000003/blk000001ec/sig00000d9a ;
+ wire \blk00000003/blk000001ec/sig00000d99 ;
+ wire \blk00000003/blk000001ec/sig00000d98 ;
+ wire \blk00000003/blk000001ec/sig00000d97 ;
+ wire \blk00000003/blk000001ec/sig00000d96 ;
+ wire \blk00000003/blk0000021f/sig00000dfd ;
+ wire \blk00000003/blk0000021f/sig00000dfc ;
+ wire \blk00000003/blk0000021f/sig00000dfb ;
+ wire \blk00000003/blk0000021f/sig00000dfa ;
+ wire \blk00000003/blk0000021f/sig00000df9 ;
+ wire \blk00000003/blk0000021f/sig00000df8 ;
+ wire \blk00000003/blk0000021f/sig00000df7 ;
+ wire \blk00000003/blk0000021f/sig00000df6 ;
+ wire \blk00000003/blk0000021f/sig00000df5 ;
+ wire \blk00000003/blk0000021f/sig00000df4 ;
+ wire \blk00000003/blk0000021f/sig00000df3 ;
+ wire \blk00000003/blk0000021f/sig00000df2 ;
+ wire \blk00000003/blk0000021f/sig00000df1 ;
+ wire \blk00000003/blk0000021f/sig00000df0 ;
+ wire \blk00000003/blk0000021f/sig00000def ;
+ wire \blk00000003/blk0000021f/sig00000dee ;
+ wire \blk00000003/blk0000021f/sig00000ded ;
+ wire \blk00000003/blk0000021f/sig00000dec ;
+ wire \blk00000003/blk0000021f/sig00000deb ;
+ wire \blk00000003/blk0000021f/sig00000dea ;
+ wire \blk00000003/blk0000021f/sig00000de9 ;
+ wire \blk00000003/blk0000021f/sig00000de8 ;
+ wire \blk00000003/blk0000021f/sig00000de7 ;
+ wire \blk00000003/blk0000021f/sig00000de6 ;
+ wire \blk00000003/blk0000021f/sig00000de5 ;
+ wire \blk00000003/blk0000021f/sig00000de4 ;
+ wire \blk00000003/blk00000252/sig00000e4b ;
+ wire \blk00000003/blk00000252/sig00000e4a ;
+ wire \blk00000003/blk00000252/sig00000e49 ;
+ wire \blk00000003/blk00000252/sig00000e48 ;
+ wire \blk00000003/blk00000252/sig00000e47 ;
+ wire \blk00000003/blk00000252/sig00000e46 ;
+ wire \blk00000003/blk00000252/sig00000e45 ;
+ wire \blk00000003/blk00000252/sig00000e44 ;
+ wire \blk00000003/blk00000252/sig00000e43 ;
+ wire \blk00000003/blk00000252/sig00000e42 ;
+ wire \blk00000003/blk00000252/sig00000e41 ;
+ wire \blk00000003/blk00000252/sig00000e40 ;
+ wire \blk00000003/blk00000252/sig00000e3f ;
+ wire \blk00000003/blk00000252/sig00000e3e ;
+ wire \blk00000003/blk00000252/sig00000e3d ;
+ wire \blk00000003/blk00000252/sig00000e3c ;
+ wire \blk00000003/blk00000252/sig00000e3b ;
+ wire \blk00000003/blk00000252/sig00000e3a ;
+ wire \blk00000003/blk00000252/sig00000e39 ;
+ wire \blk00000003/blk00000252/sig00000e38 ;
+ wire \blk00000003/blk00000252/sig00000e37 ;
+ wire \blk00000003/blk00000252/sig00000e36 ;
+ wire \blk00000003/blk00000252/sig00000e35 ;
+ wire \blk00000003/blk00000252/sig00000e34 ;
+ wire \blk00000003/blk00000252/sig00000e33 ;
+ wire \blk00000003/blk00000252/sig00000e32 ;
+ wire \blk00000003/blk00000285/sig00000e99 ;
+ wire \blk00000003/blk00000285/sig00000e98 ;
+ wire \blk00000003/blk00000285/sig00000e97 ;
+ wire \blk00000003/blk00000285/sig00000e96 ;
+ wire \blk00000003/blk00000285/sig00000e95 ;
+ wire \blk00000003/blk00000285/sig00000e94 ;
+ wire \blk00000003/blk00000285/sig00000e93 ;
+ wire \blk00000003/blk00000285/sig00000e92 ;
+ wire \blk00000003/blk00000285/sig00000e91 ;
+ wire \blk00000003/blk00000285/sig00000e90 ;
+ wire \blk00000003/blk00000285/sig00000e8f ;
+ wire \blk00000003/blk00000285/sig00000e8e ;
+ wire \blk00000003/blk00000285/sig00000e8d ;
+ wire \blk00000003/blk00000285/sig00000e8c ;
+ wire \blk00000003/blk00000285/sig00000e8b ;
+ wire \blk00000003/blk00000285/sig00000e8a ;
+ wire \blk00000003/blk00000285/sig00000e89 ;
+ wire \blk00000003/blk00000285/sig00000e88 ;
+ wire \blk00000003/blk00000285/sig00000e87 ;
+ wire \blk00000003/blk00000285/sig00000e86 ;
+ wire \blk00000003/blk00000285/sig00000e85 ;
+ wire \blk00000003/blk00000285/sig00000e84 ;
+ wire \blk00000003/blk00000285/sig00000e83 ;
+ wire \blk00000003/blk00000285/sig00000e82 ;
+ wire \blk00000003/blk00000285/sig00000e81 ;
+ wire \blk00000003/blk00000285/sig00000e80 ;
+ wire \blk00000003/blk000002b8/sig00000ee7 ;
+ wire \blk00000003/blk000002b8/sig00000ee6 ;
+ wire \blk00000003/blk000002b8/sig00000ee5 ;
+ wire \blk00000003/blk000002b8/sig00000ee4 ;
+ wire \blk00000003/blk000002b8/sig00000ee3 ;
+ wire \blk00000003/blk000002b8/sig00000ee2 ;
+ wire \blk00000003/blk000002b8/sig00000ee1 ;
+ wire \blk00000003/blk000002b8/sig00000ee0 ;
+ wire \blk00000003/blk000002b8/sig00000edf ;
+ wire \blk00000003/blk000002b8/sig00000ede ;
+ wire \blk00000003/blk000002b8/sig00000edd ;
+ wire \blk00000003/blk000002b8/sig00000edc ;
+ wire \blk00000003/blk000002b8/sig00000edb ;
+ wire \blk00000003/blk000002b8/sig00000eda ;
+ wire \blk00000003/blk000002b8/sig00000ed9 ;
+ wire \blk00000003/blk000002b8/sig00000ed8 ;
+ wire \blk00000003/blk000002b8/sig00000ed7 ;
+ wire \blk00000003/blk000002b8/sig00000ed6 ;
+ wire \blk00000003/blk000002b8/sig00000ed5 ;
+ wire \blk00000003/blk000002b8/sig00000ed4 ;
+ wire \blk00000003/blk000002b8/sig00000ed3 ;
+ wire \blk00000003/blk000002b8/sig00000ed2 ;
+ wire \blk00000003/blk000002b8/sig00000ed1 ;
+ wire \blk00000003/blk000002b8/sig00000ed0 ;
+ wire \blk00000003/blk000002b8/sig00000ecf ;
+ wire \blk00000003/blk000002b8/sig00000ece ;
+ wire \blk00000003/blk000002eb/sig00000f35 ;
+ wire \blk00000003/blk000002eb/sig00000f34 ;
+ wire \blk00000003/blk000002eb/sig00000f33 ;
+ wire \blk00000003/blk000002eb/sig00000f32 ;
+ wire \blk00000003/blk000002eb/sig00000f31 ;
+ wire \blk00000003/blk000002eb/sig00000f30 ;
+ wire \blk00000003/blk000002eb/sig00000f2f ;
+ wire \blk00000003/blk000002eb/sig00000f2e ;
+ wire \blk00000003/blk000002eb/sig00000f2d ;
+ wire \blk00000003/blk000002eb/sig00000f2c ;
+ wire \blk00000003/blk000002eb/sig00000f2b ;
+ wire \blk00000003/blk000002eb/sig00000f2a ;
+ wire \blk00000003/blk000002eb/sig00000f29 ;
+ wire \blk00000003/blk000002eb/sig00000f28 ;
+ wire \blk00000003/blk000002eb/sig00000f27 ;
+ wire \blk00000003/blk000002eb/sig00000f26 ;
+ wire \blk00000003/blk000002eb/sig00000f25 ;
+ wire \blk00000003/blk000002eb/sig00000f24 ;
+ wire \blk00000003/blk000002eb/sig00000f23 ;
+ wire \blk00000003/blk000002eb/sig00000f22 ;
+ wire \blk00000003/blk000002eb/sig00000f21 ;
+ wire \blk00000003/blk000002eb/sig00000f20 ;
+ wire \blk00000003/blk000002eb/sig00000f1f ;
+ wire \blk00000003/blk000002eb/sig00000f1e ;
+ wire \blk00000003/blk000002eb/sig00000f1d ;
+ wire \blk00000003/blk000002eb/sig00000f1c ;
+ wire \blk00000003/blk0000031e/sig00000f83 ;
+ wire \blk00000003/blk0000031e/sig00000f82 ;
+ wire \blk00000003/blk0000031e/sig00000f81 ;
+ wire \blk00000003/blk0000031e/sig00000f80 ;
+ wire \blk00000003/blk0000031e/sig00000f7f ;
+ wire \blk00000003/blk0000031e/sig00000f7e ;
+ wire \blk00000003/blk0000031e/sig00000f7d ;
+ wire \blk00000003/blk0000031e/sig00000f7c ;
+ wire \blk00000003/blk0000031e/sig00000f7b ;
+ wire \blk00000003/blk0000031e/sig00000f7a ;
+ wire \blk00000003/blk0000031e/sig00000f79 ;
+ wire \blk00000003/blk0000031e/sig00000f78 ;
+ wire \blk00000003/blk0000031e/sig00000f77 ;
+ wire \blk00000003/blk0000031e/sig00000f76 ;
+ wire \blk00000003/blk0000031e/sig00000f75 ;
+ wire \blk00000003/blk0000031e/sig00000f74 ;
+ wire \blk00000003/blk0000031e/sig00000f73 ;
+ wire \blk00000003/blk0000031e/sig00000f72 ;
+ wire \blk00000003/blk0000031e/sig00000f71 ;
+ wire \blk00000003/blk0000031e/sig00000f70 ;
+ wire \blk00000003/blk0000031e/sig00000f6f ;
+ wire \blk00000003/blk0000031e/sig00000f6e ;
+ wire \blk00000003/blk0000031e/sig00000f6d ;
+ wire \blk00000003/blk0000031e/sig00000f6c ;
+ wire \blk00000003/blk0000031e/sig00000f6b ;
+ wire \blk00000003/blk0000031e/sig00000f6a ;
+ wire \blk00000003/blk00000351/sig00000fd1 ;
+ wire \blk00000003/blk00000351/sig00000fd0 ;
+ wire \blk00000003/blk00000351/sig00000fcf ;
+ wire \blk00000003/blk00000351/sig00000fce ;
+ wire \blk00000003/blk00000351/sig00000fcd ;
+ wire \blk00000003/blk00000351/sig00000fcc ;
+ wire \blk00000003/blk00000351/sig00000fcb ;
+ wire \blk00000003/blk00000351/sig00000fca ;
+ wire \blk00000003/blk00000351/sig00000fc9 ;
+ wire \blk00000003/blk00000351/sig00000fc8 ;
+ wire \blk00000003/blk00000351/sig00000fc7 ;
+ wire \blk00000003/blk00000351/sig00000fc6 ;
+ wire \blk00000003/blk00000351/sig00000fc5 ;
+ wire \blk00000003/blk00000351/sig00000fc4 ;
+ wire \blk00000003/blk00000351/sig00000fc3 ;
+ wire \blk00000003/blk00000351/sig00000fc2 ;
+ wire \blk00000003/blk00000351/sig00000fc1 ;
+ wire \blk00000003/blk00000351/sig00000fc0 ;
+ wire \blk00000003/blk00000351/sig00000fbf ;
+ wire \blk00000003/blk00000351/sig00000fbe ;
+ wire \blk00000003/blk00000351/sig00000fbd ;
+ wire \blk00000003/blk00000351/sig00000fbc ;
+ wire \blk00000003/blk00000351/sig00000fbb ;
+ wire \blk00000003/blk00000351/sig00000fba ;
+ wire \blk00000003/blk00000351/sig00000fb9 ;
+ wire \blk00000003/blk00000351/sig00000fb8 ;
+ wire \blk00000003/blk00000384/sig0000101f ;
+ wire \blk00000003/blk00000384/sig0000101e ;
+ wire \blk00000003/blk00000384/sig0000101d ;
+ wire \blk00000003/blk00000384/sig0000101c ;
+ wire \blk00000003/blk00000384/sig0000101b ;
+ wire \blk00000003/blk00000384/sig0000101a ;
+ wire \blk00000003/blk00000384/sig00001019 ;
+ wire \blk00000003/blk00000384/sig00001018 ;
+ wire \blk00000003/blk00000384/sig00001017 ;
+ wire \blk00000003/blk00000384/sig00001016 ;
+ wire \blk00000003/blk00000384/sig00001015 ;
+ wire \blk00000003/blk00000384/sig00001014 ;
+ wire \blk00000003/blk00000384/sig00001013 ;
+ wire \blk00000003/blk00000384/sig00001012 ;
+ wire \blk00000003/blk00000384/sig00001011 ;
+ wire \blk00000003/blk00000384/sig00001010 ;
+ wire \blk00000003/blk00000384/sig0000100f ;
+ wire \blk00000003/blk00000384/sig0000100e ;
+ wire \blk00000003/blk00000384/sig0000100d ;
+ wire \blk00000003/blk00000384/sig0000100c ;
+ wire \blk00000003/blk00000384/sig0000100b ;
+ wire \blk00000003/blk00000384/sig0000100a ;
+ wire \blk00000003/blk00000384/sig00001009 ;
+ wire \blk00000003/blk00000384/sig00001008 ;
+ wire \blk00000003/blk00000384/sig00001007 ;
+ wire \blk00000003/blk00000384/sig00001006 ;
+ wire \blk00000003/blk000003b7/sig0000106d ;
+ wire \blk00000003/blk000003b7/sig0000106c ;
+ wire \blk00000003/blk000003b7/sig0000106b ;
+ wire \blk00000003/blk000003b7/sig0000106a ;
+ wire \blk00000003/blk000003b7/sig00001069 ;
+ wire \blk00000003/blk000003b7/sig00001068 ;
+ wire \blk00000003/blk000003b7/sig00001067 ;
+ wire \blk00000003/blk000003b7/sig00001066 ;
+ wire \blk00000003/blk000003b7/sig00001065 ;
+ wire \blk00000003/blk000003b7/sig00001064 ;
+ wire \blk00000003/blk000003b7/sig00001063 ;
+ wire \blk00000003/blk000003b7/sig00001062 ;
+ wire \blk00000003/blk000003b7/sig00001061 ;
+ wire \blk00000003/blk000003b7/sig00001060 ;
+ wire \blk00000003/blk000003b7/sig0000105f ;
+ wire \blk00000003/blk000003b7/sig0000105e ;
+ wire \blk00000003/blk000003b7/sig0000105d ;
+ wire \blk00000003/blk000003b7/sig0000105c ;
+ wire \blk00000003/blk000003b7/sig0000105b ;
+ wire \blk00000003/blk000003b7/sig0000105a ;
+ wire \blk00000003/blk000003b7/sig00001059 ;
+ wire \blk00000003/blk000003b7/sig00001058 ;
+ wire \blk00000003/blk000003b7/sig00001057 ;
+ wire \blk00000003/blk000003b7/sig00001056 ;
+ wire \blk00000003/blk000003b7/sig00001055 ;
+ wire \blk00000003/blk000003b7/sig00001054 ;
+ wire \blk00000003/blk000003ea/sig000010bb ;
+ wire \blk00000003/blk000003ea/sig000010ba ;
+ wire \blk00000003/blk000003ea/sig000010b9 ;
+ wire \blk00000003/blk000003ea/sig000010b8 ;
+ wire \blk00000003/blk000003ea/sig000010b7 ;
+ wire \blk00000003/blk000003ea/sig000010b6 ;
+ wire \blk00000003/blk000003ea/sig000010b5 ;
+ wire \blk00000003/blk000003ea/sig000010b4 ;
+ wire \blk00000003/blk000003ea/sig000010b3 ;
+ wire \blk00000003/blk000003ea/sig000010b2 ;
+ wire \blk00000003/blk000003ea/sig000010b1 ;
+ wire \blk00000003/blk000003ea/sig000010b0 ;
+ wire \blk00000003/blk000003ea/sig000010af ;
+ wire \blk00000003/blk000003ea/sig000010ae ;
+ wire \blk00000003/blk000003ea/sig000010ad ;
+ wire \blk00000003/blk000003ea/sig000010ac ;
+ wire \blk00000003/blk000003ea/sig000010ab ;
+ wire \blk00000003/blk000003ea/sig000010aa ;
+ wire \blk00000003/blk000003ea/sig000010a9 ;
+ wire \blk00000003/blk000003ea/sig000010a8 ;
+ wire \blk00000003/blk000003ea/sig000010a7 ;
+ wire \blk00000003/blk000003ea/sig000010a6 ;
+ wire \blk00000003/blk000003ea/sig000010a5 ;
+ wire \blk00000003/blk000003ea/sig000010a4 ;
+ wire \blk00000003/blk000003ea/sig000010a3 ;
+ wire \blk00000003/blk000003ea/sig000010a2 ;
+ wire \blk00000003/blk0000041d/sig00001109 ;
+ wire \blk00000003/blk0000041d/sig00001108 ;
+ wire \blk00000003/blk0000041d/sig00001107 ;
+ wire \blk00000003/blk0000041d/sig00001106 ;
+ wire \blk00000003/blk0000041d/sig00001105 ;
+ wire \blk00000003/blk0000041d/sig00001104 ;
+ wire \blk00000003/blk0000041d/sig00001103 ;
+ wire \blk00000003/blk0000041d/sig00001102 ;
+ wire \blk00000003/blk0000041d/sig00001101 ;
+ wire \blk00000003/blk0000041d/sig00001100 ;
+ wire \blk00000003/blk0000041d/sig000010ff ;
+ wire \blk00000003/blk0000041d/sig000010fe ;
+ wire \blk00000003/blk0000041d/sig000010fd ;
+ wire \blk00000003/blk0000041d/sig000010fc ;
+ wire \blk00000003/blk0000041d/sig000010fb ;
+ wire \blk00000003/blk0000041d/sig000010fa ;
+ wire \blk00000003/blk0000041d/sig000010f9 ;
+ wire \blk00000003/blk0000041d/sig000010f8 ;
+ wire \blk00000003/blk0000041d/sig000010f7 ;
+ wire \blk00000003/blk0000041d/sig000010f6 ;
+ wire \blk00000003/blk0000041d/sig000010f5 ;
+ wire \blk00000003/blk0000041d/sig000010f4 ;
+ wire \blk00000003/blk0000041d/sig000010f3 ;
+ wire \blk00000003/blk0000041d/sig000010f2 ;
+ wire \blk00000003/blk0000041d/sig000010f1 ;
+ wire \blk00000003/blk0000041d/sig000010f0 ;
+ wire \blk00000003/blk00000450/sig00001157 ;
+ wire \blk00000003/blk00000450/sig00001156 ;
+ wire \blk00000003/blk00000450/sig00001155 ;
+ wire \blk00000003/blk00000450/sig00001154 ;
+ wire \blk00000003/blk00000450/sig00001153 ;
+ wire \blk00000003/blk00000450/sig00001152 ;
+ wire \blk00000003/blk00000450/sig00001151 ;
+ wire \blk00000003/blk00000450/sig00001150 ;
+ wire \blk00000003/blk00000450/sig0000114f ;
+ wire \blk00000003/blk00000450/sig0000114e ;
+ wire \blk00000003/blk00000450/sig0000114d ;
+ wire \blk00000003/blk00000450/sig0000114c ;
+ wire \blk00000003/blk00000450/sig0000114b ;
+ wire \blk00000003/blk00000450/sig0000114a ;
+ wire \blk00000003/blk00000450/sig00001149 ;
+ wire \blk00000003/blk00000450/sig00001148 ;
+ wire \blk00000003/blk00000450/sig00001147 ;
+ wire \blk00000003/blk00000450/sig00001146 ;
+ wire \blk00000003/blk00000450/sig00001145 ;
+ wire \blk00000003/blk00000450/sig00001144 ;
+ wire \blk00000003/blk00000450/sig00001143 ;
+ wire \blk00000003/blk00000450/sig00001142 ;
+ wire \blk00000003/blk00000450/sig00001141 ;
+ wire \blk00000003/blk00000450/sig00001140 ;
+ wire \blk00000003/blk00000450/sig0000113f ;
+ wire \blk00000003/blk00000450/sig0000113e ;
+ wire \blk00000003/blk00000483/sig000011a5 ;
+ wire \blk00000003/blk00000483/sig000011a4 ;
+ wire \blk00000003/blk00000483/sig000011a3 ;
+ wire \blk00000003/blk00000483/sig000011a2 ;
+ wire \blk00000003/blk00000483/sig000011a1 ;
+ wire \blk00000003/blk00000483/sig000011a0 ;
+ wire \blk00000003/blk00000483/sig0000119f ;
+ wire \blk00000003/blk00000483/sig0000119e ;
+ wire \blk00000003/blk00000483/sig0000119d ;
+ wire \blk00000003/blk00000483/sig0000119c ;
+ wire \blk00000003/blk00000483/sig0000119b ;
+ wire \blk00000003/blk00000483/sig0000119a ;
+ wire \blk00000003/blk00000483/sig00001199 ;
+ wire \blk00000003/blk00000483/sig00001198 ;
+ wire \blk00000003/blk00000483/sig00001197 ;
+ wire \blk00000003/blk00000483/sig00001196 ;
+ wire \blk00000003/blk00000483/sig00001195 ;
+ wire \blk00000003/blk00000483/sig00001194 ;
+ wire \blk00000003/blk00000483/sig00001193 ;
+ wire \blk00000003/blk00000483/sig00001192 ;
+ wire \blk00000003/blk00000483/sig00001191 ;
+ wire \blk00000003/blk00000483/sig00001190 ;
+ wire \blk00000003/blk00000483/sig0000118f ;
+ wire \blk00000003/blk00000483/sig0000118e ;
+ wire \blk00000003/blk00000483/sig0000118d ;
+ wire \blk00000003/blk00000483/sig0000118c ;
+ wire \blk00000003/blk000004b6/sig000011f3 ;
+ wire \blk00000003/blk000004b6/sig000011f2 ;
+ wire \blk00000003/blk000004b6/sig000011f1 ;
+ wire \blk00000003/blk000004b6/sig000011f0 ;
+ wire \blk00000003/blk000004b6/sig000011ef ;
+ wire \blk00000003/blk000004b6/sig000011ee ;
+ wire \blk00000003/blk000004b6/sig000011ed ;
+ wire \blk00000003/blk000004b6/sig000011ec ;
+ wire \blk00000003/blk000004b6/sig000011eb ;
+ wire \blk00000003/blk000004b6/sig000011ea ;
+ wire \blk00000003/blk000004b6/sig000011e9 ;
+ wire \blk00000003/blk000004b6/sig000011e8 ;
+ wire \blk00000003/blk000004b6/sig000011e7 ;
+ wire \blk00000003/blk000004b6/sig000011e6 ;
+ wire \blk00000003/blk000004b6/sig000011e5 ;
+ wire \blk00000003/blk000004b6/sig000011e4 ;
+ wire \blk00000003/blk000004b6/sig000011e3 ;
+ wire \blk00000003/blk000004b6/sig000011e2 ;
+ wire \blk00000003/blk000004b6/sig000011e1 ;
+ wire \blk00000003/blk000004b6/sig000011e0 ;
+ wire \blk00000003/blk000004b6/sig000011df ;
+ wire \blk00000003/blk000004b6/sig000011de ;
+ wire \blk00000003/blk000004b6/sig000011dd ;
+ wire \blk00000003/blk000004b6/sig000011dc ;
+ wire \blk00000003/blk000004b6/sig000011db ;
+ wire \blk00000003/blk000004b6/sig000011da ;
+ wire \blk00000003/blk000004e9/sig00001241 ;
+ wire \blk00000003/blk000004e9/sig00001240 ;
+ wire \blk00000003/blk000004e9/sig0000123f ;
+ wire \blk00000003/blk000004e9/sig0000123e ;
+ wire \blk00000003/blk000004e9/sig0000123d ;
+ wire \blk00000003/blk000004e9/sig0000123c ;
+ wire \blk00000003/blk000004e9/sig0000123b ;
+ wire \blk00000003/blk000004e9/sig0000123a ;
+ wire \blk00000003/blk000004e9/sig00001239 ;
+ wire \blk00000003/blk000004e9/sig00001238 ;
+ wire \blk00000003/blk000004e9/sig00001237 ;
+ wire \blk00000003/blk000004e9/sig00001236 ;
+ wire \blk00000003/blk000004e9/sig00001235 ;
+ wire \blk00000003/blk000004e9/sig00001234 ;
+ wire \blk00000003/blk000004e9/sig00001233 ;
+ wire \blk00000003/blk000004e9/sig00001232 ;
+ wire \blk00000003/blk000004e9/sig00001231 ;
+ wire \blk00000003/blk000004e9/sig00001230 ;
+ wire \blk00000003/blk000004e9/sig0000122f ;
+ wire \blk00000003/blk000004e9/sig0000122e ;
+ wire \blk00000003/blk000004e9/sig0000122d ;
+ wire \blk00000003/blk000004e9/sig0000122c ;
+ wire \blk00000003/blk000004e9/sig0000122b ;
+ wire \blk00000003/blk000004e9/sig0000122a ;
+ wire \blk00000003/blk000004e9/sig00001229 ;
+ wire \blk00000003/blk000004e9/sig00001228 ;
+ wire \blk00000003/blk0000051c/sig0000128f ;
+ wire \blk00000003/blk0000051c/sig0000128e ;
+ wire \blk00000003/blk0000051c/sig0000128d ;
+ wire \blk00000003/blk0000051c/sig0000128c ;
+ wire \blk00000003/blk0000051c/sig0000128b ;
+ wire \blk00000003/blk0000051c/sig0000128a ;
+ wire \blk00000003/blk0000051c/sig00001289 ;
+ wire \blk00000003/blk0000051c/sig00001288 ;
+ wire \blk00000003/blk0000051c/sig00001287 ;
+ wire \blk00000003/blk0000051c/sig00001286 ;
+ wire \blk00000003/blk0000051c/sig00001285 ;
+ wire \blk00000003/blk0000051c/sig00001284 ;
+ wire \blk00000003/blk0000051c/sig00001283 ;
+ wire \blk00000003/blk0000051c/sig00001282 ;
+ wire \blk00000003/blk0000051c/sig00001281 ;
+ wire \blk00000003/blk0000051c/sig00001280 ;
+ wire \blk00000003/blk0000051c/sig0000127f ;
+ wire \blk00000003/blk0000051c/sig0000127e ;
+ wire \blk00000003/blk0000051c/sig0000127d ;
+ wire \blk00000003/blk0000051c/sig0000127c ;
+ wire \blk00000003/blk0000051c/sig0000127b ;
+ wire \blk00000003/blk0000051c/sig0000127a ;
+ wire \blk00000003/blk0000051c/sig00001279 ;
+ wire \blk00000003/blk0000051c/sig00001278 ;
+ wire \blk00000003/blk0000051c/sig00001277 ;
+ wire \blk00000003/blk0000051c/sig00001276 ;
+ wire \blk00000003/blk0000054f/sig000012dd ;
+ wire \blk00000003/blk0000054f/sig000012dc ;
+ wire \blk00000003/blk0000054f/sig000012db ;
+ wire \blk00000003/blk0000054f/sig000012da ;
+ wire \blk00000003/blk0000054f/sig000012d9 ;
+ wire \blk00000003/blk0000054f/sig000012d8 ;
+ wire \blk00000003/blk0000054f/sig000012d7 ;
+ wire \blk00000003/blk0000054f/sig000012d6 ;
+ wire \blk00000003/blk0000054f/sig000012d5 ;
+ wire \blk00000003/blk0000054f/sig000012d4 ;
+ wire \blk00000003/blk0000054f/sig000012d3 ;
+ wire \blk00000003/blk0000054f/sig000012d2 ;
+ wire \blk00000003/blk0000054f/sig000012d1 ;
+ wire \blk00000003/blk0000054f/sig000012d0 ;
+ wire \blk00000003/blk0000054f/sig000012cf ;
+ wire \blk00000003/blk0000054f/sig000012ce ;
+ wire \blk00000003/blk0000054f/sig000012cd ;
+ wire \blk00000003/blk0000054f/sig000012cc ;
+ wire \blk00000003/blk0000054f/sig000012cb ;
+ wire \blk00000003/blk0000054f/sig000012ca ;
+ wire \blk00000003/blk0000054f/sig000012c9 ;
+ wire \blk00000003/blk0000054f/sig000012c8 ;
+ wire \blk00000003/blk0000054f/sig000012c7 ;
+ wire \blk00000003/blk0000054f/sig000012c6 ;
+ wire \blk00000003/blk0000054f/sig000012c5 ;
+ wire \blk00000003/blk0000054f/sig000012c4 ;
+ wire \blk00000003/blk00000582/sig0000132b ;
+ wire \blk00000003/blk00000582/sig0000132a ;
+ wire \blk00000003/blk00000582/sig00001329 ;
+ wire \blk00000003/blk00000582/sig00001328 ;
+ wire \blk00000003/blk00000582/sig00001327 ;
+ wire \blk00000003/blk00000582/sig00001326 ;
+ wire \blk00000003/blk00000582/sig00001325 ;
+ wire \blk00000003/blk00000582/sig00001324 ;
+ wire \blk00000003/blk00000582/sig00001323 ;
+ wire \blk00000003/blk00000582/sig00001322 ;
+ wire \blk00000003/blk00000582/sig00001321 ;
+ wire \blk00000003/blk00000582/sig00001320 ;
+ wire \blk00000003/blk00000582/sig0000131f ;
+ wire \blk00000003/blk00000582/sig0000131e ;
+ wire \blk00000003/blk00000582/sig0000131d ;
+ wire \blk00000003/blk00000582/sig0000131c ;
+ wire \blk00000003/blk00000582/sig0000131b ;
+ wire \blk00000003/blk00000582/sig0000131a ;
+ wire \blk00000003/blk00000582/sig00001319 ;
+ wire \blk00000003/blk00000582/sig00001318 ;
+ wire \blk00000003/blk00000582/sig00001317 ;
+ wire \blk00000003/blk00000582/sig00001316 ;
+ wire \blk00000003/blk00000582/sig00001315 ;
+ wire \blk00000003/blk00000582/sig00001314 ;
+ wire \blk00000003/blk00000582/sig00001313 ;
+ wire \blk00000003/blk00000582/sig00001312 ;
+ wire \blk00000003/blk000005b5/sig00001379 ;
+ wire \blk00000003/blk000005b5/sig00001378 ;
+ wire \blk00000003/blk000005b5/sig00001377 ;
+ wire \blk00000003/blk000005b5/sig00001376 ;
+ wire \blk00000003/blk000005b5/sig00001375 ;
+ wire \blk00000003/blk000005b5/sig00001374 ;
+ wire \blk00000003/blk000005b5/sig00001373 ;
+ wire \blk00000003/blk000005b5/sig00001372 ;
+ wire \blk00000003/blk000005b5/sig00001371 ;
+ wire \blk00000003/blk000005b5/sig00001370 ;
+ wire \blk00000003/blk000005b5/sig0000136f ;
+ wire \blk00000003/blk000005b5/sig0000136e ;
+ wire \blk00000003/blk000005b5/sig0000136d ;
+ wire \blk00000003/blk000005b5/sig0000136c ;
+ wire \blk00000003/blk000005b5/sig0000136b ;
+ wire \blk00000003/blk000005b5/sig0000136a ;
+ wire \blk00000003/blk000005b5/sig00001369 ;
+ wire \blk00000003/blk000005b5/sig00001368 ;
+ wire \blk00000003/blk000005b5/sig00001367 ;
+ wire \blk00000003/blk000005b5/sig00001366 ;
+ wire \blk00000003/blk000005b5/sig00001365 ;
+ wire \blk00000003/blk000005b5/sig00001364 ;
+ wire \blk00000003/blk000005b5/sig00001363 ;
+ wire \blk00000003/blk000005b5/sig00001362 ;
+ wire \blk00000003/blk000005b5/sig00001361 ;
+ wire \blk00000003/blk000005b5/sig00001360 ;
+ wire \blk00000003/blk000005e8/sig000013dc ;
+ wire \blk00000003/blk000005e8/sig000013db ;
+ wire \blk00000003/blk000005e8/sig000013da ;
+ wire \blk00000003/blk000005e8/sig000013d9 ;
+ wire \blk00000003/blk000005e8/sig000013d8 ;
+ wire \blk00000003/blk000005e8/sig000013d7 ;
+ wire \blk00000003/blk000005e8/sig000013d6 ;
+ wire \blk00000003/blk000005e8/sig000013d5 ;
+ wire \blk00000003/blk000005e8/sig000013d4 ;
+ wire \blk00000003/blk000005e8/sig000013d3 ;
+ wire \blk00000003/blk000005e8/sig000013d2 ;
+ wire \blk00000003/blk000005e8/sig000013d1 ;
+ wire \blk00000003/blk000005e8/sig000013d0 ;
+ wire \blk00000003/blk000005e8/sig000013cf ;
+ wire \blk00000003/blk000005e8/sig000013ce ;
+ wire \blk00000003/blk000005e8/sig000013cd ;
+ wire \blk00000003/blk000005e8/sig000013cc ;
+ wire \blk00000003/blk000005e8/sig000013cb ;
+ wire \blk00000003/blk000005e8/sig000013ca ;
+ wire \blk00000003/blk000005e8/sig000013c9 ;
+ wire \blk00000003/blk000005e8/sig000013c8 ;
+ wire \blk00000003/blk000005e8/sig000013c7 ;
+ wire \blk00000003/blk000005e8/sig000013c6 ;
+ wire \blk00000003/blk000005e8/sig000013c5 ;
+ wire \blk00000003/blk000005e8/sig000013c4 ;
+ wire \blk00000003/blk000005e8/sig000013c3 ;
+ wire \blk00000003/blk000005e8/sig000013c2 ;
+ wire \blk00000003/blk000005e8/sig000013c1 ;
+ wire \blk00000003/blk000005e8/sig000013c0 ;
+ wire \blk00000003/blk000005e8/sig000013bf ;
+ wire \blk00000003/blk000005e8/sig000013be ;
+ wire \blk00000003/blk000005e8/sig000013bd ;
+ wire \blk00000003/blk000005e8/sig000013bc ;
+ wire \blk00000003/blk000005e8/sig000013bb ;
+ wire \blk00000003/blk000005e8/sig000013ba ;
+ wire \blk00000003/blk000005e8/sig000013b9 ;
+ wire \blk00000003/blk000005e8/sig000013b8 ;
+ wire \blk00000003/blk000005e8/sig000013b7 ;
+ wire \blk00000003/blk00000621/sig0000143f ;
+ wire \blk00000003/blk00000621/sig0000143e ;
+ wire \blk00000003/blk00000621/sig0000143d ;
+ wire \blk00000003/blk00000621/sig0000143c ;
+ wire \blk00000003/blk00000621/sig0000143b ;
+ wire \blk00000003/blk00000621/sig0000143a ;
+ wire \blk00000003/blk00000621/sig00001439 ;
+ wire \blk00000003/blk00000621/sig00001438 ;
+ wire \blk00000003/blk00000621/sig00001437 ;
+ wire \blk00000003/blk00000621/sig00001436 ;
+ wire \blk00000003/blk00000621/sig00001435 ;
+ wire \blk00000003/blk00000621/sig00001434 ;
+ wire \blk00000003/blk00000621/sig00001433 ;
+ wire \blk00000003/blk00000621/sig00001432 ;
+ wire \blk00000003/blk00000621/sig00001431 ;
+ wire \blk00000003/blk00000621/sig00001430 ;
+ wire \blk00000003/blk00000621/sig0000142f ;
+ wire \blk00000003/blk00000621/sig0000142e ;
+ wire \blk00000003/blk00000621/sig0000142d ;
+ wire \blk00000003/blk00000621/sig0000142c ;
+ wire \blk00000003/blk00000621/sig0000142b ;
+ wire \blk00000003/blk00000621/sig0000142a ;
+ wire \blk00000003/blk00000621/sig00001429 ;
+ wire \blk00000003/blk00000621/sig00001428 ;
+ wire \blk00000003/blk00000621/sig00001427 ;
+ wire \blk00000003/blk00000621/sig00001426 ;
+ wire \blk00000003/blk00000621/sig00001425 ;
+ wire \blk00000003/blk00000621/sig00001424 ;
+ wire \blk00000003/blk00000621/sig00001423 ;
+ wire \blk00000003/blk00000621/sig00001422 ;
+ wire \blk00000003/blk00000621/sig00001421 ;
+ wire \blk00000003/blk00000621/sig00001420 ;
+ wire \blk00000003/blk00000621/sig0000141f ;
+ wire \blk00000003/blk00000621/sig0000141e ;
+ wire \blk00000003/blk00000621/sig0000141d ;
+ wire \blk00000003/blk00000621/sig0000141c ;
+ wire \blk00000003/blk00000621/sig0000141b ;
+ wire \blk00000003/blk00000621/sig0000141a ;
+ wire \blk00000003/blk0000065a/sig000014a2 ;
+ wire \blk00000003/blk0000065a/sig000014a1 ;
+ wire \blk00000003/blk0000065a/sig000014a0 ;
+ wire \blk00000003/blk0000065a/sig0000149f ;
+ wire \blk00000003/blk0000065a/sig0000149e ;
+ wire \blk00000003/blk0000065a/sig0000149d ;
+ wire \blk00000003/blk0000065a/sig0000149c ;
+ wire \blk00000003/blk0000065a/sig0000149b ;
+ wire \blk00000003/blk0000065a/sig0000149a ;
+ wire \blk00000003/blk0000065a/sig00001499 ;
+ wire \blk00000003/blk0000065a/sig00001498 ;
+ wire \blk00000003/blk0000065a/sig00001497 ;
+ wire \blk00000003/blk0000065a/sig00001496 ;
+ wire \blk00000003/blk0000065a/sig00001495 ;
+ wire \blk00000003/blk0000065a/sig00001494 ;
+ wire \blk00000003/blk0000065a/sig00001493 ;
+ wire \blk00000003/blk0000065a/sig00001492 ;
+ wire \blk00000003/blk0000065a/sig00001491 ;
+ wire \blk00000003/blk0000065a/sig00001490 ;
+ wire \blk00000003/blk0000065a/sig0000148f ;
+ wire \blk00000003/blk0000065a/sig0000148e ;
+ wire \blk00000003/blk0000065a/sig0000148d ;
+ wire \blk00000003/blk0000065a/sig0000148c ;
+ wire \blk00000003/blk0000065a/sig0000148b ;
+ wire \blk00000003/blk0000065a/sig0000148a ;
+ wire \blk00000003/blk0000065a/sig00001489 ;
+ wire \blk00000003/blk0000065a/sig00001488 ;
+ wire \blk00000003/blk0000065a/sig00001487 ;
+ wire \blk00000003/blk0000065a/sig00001486 ;
+ wire \blk00000003/blk0000065a/sig00001485 ;
+ wire \blk00000003/blk0000065a/sig00001484 ;
+ wire \blk00000003/blk0000065a/sig00001483 ;
+ wire \blk00000003/blk0000065a/sig00001482 ;
+ wire \blk00000003/blk0000065a/sig00001481 ;
+ wire \blk00000003/blk0000065a/sig00001480 ;
+ wire \blk00000003/blk0000065a/sig0000147f ;
+ wire \blk00000003/blk0000065a/sig0000147e ;
+ wire \blk00000003/blk0000065a/sig0000147d ;
+ wire \blk00000003/blk00000693/sig00001505 ;
+ wire \blk00000003/blk00000693/sig00001504 ;
+ wire \blk00000003/blk00000693/sig00001503 ;
+ wire \blk00000003/blk00000693/sig00001502 ;
+ wire \blk00000003/blk00000693/sig00001501 ;
+ wire \blk00000003/blk00000693/sig00001500 ;
+ wire \blk00000003/blk00000693/sig000014ff ;
+ wire \blk00000003/blk00000693/sig000014fe ;
+ wire \blk00000003/blk00000693/sig000014fd ;
+ wire \blk00000003/blk00000693/sig000014fc ;
+ wire \blk00000003/blk00000693/sig000014fb ;
+ wire \blk00000003/blk00000693/sig000014fa ;
+ wire \blk00000003/blk00000693/sig000014f9 ;
+ wire \blk00000003/blk00000693/sig000014f8 ;
+ wire \blk00000003/blk00000693/sig000014f7 ;
+ wire \blk00000003/blk00000693/sig000014f6 ;
+ wire \blk00000003/blk00000693/sig000014f5 ;
+ wire \blk00000003/blk00000693/sig000014f4 ;
+ wire \blk00000003/blk00000693/sig000014f3 ;
+ wire \blk00000003/blk00000693/sig000014f2 ;
+ wire \blk00000003/blk00000693/sig000014f1 ;
+ wire \blk00000003/blk00000693/sig000014f0 ;
+ wire \blk00000003/blk00000693/sig000014ef ;
+ wire \blk00000003/blk00000693/sig000014ee ;
+ wire \blk00000003/blk00000693/sig000014ed ;
+ wire \blk00000003/blk00000693/sig000014ec ;
+ wire \blk00000003/blk00000693/sig000014eb ;
+ wire \blk00000003/blk00000693/sig000014ea ;
+ wire \blk00000003/blk00000693/sig000014e9 ;
+ wire \blk00000003/blk00000693/sig000014e8 ;
+ wire \blk00000003/blk00000693/sig000014e7 ;
+ wire \blk00000003/blk00000693/sig000014e6 ;
+ wire \blk00000003/blk00000693/sig000014e5 ;
+ wire \blk00000003/blk00000693/sig000014e4 ;
+ wire \blk00000003/blk00000693/sig000014e3 ;
+ wire \blk00000003/blk00000693/sig000014e2 ;
+ wire \blk00000003/blk00000693/sig000014e1 ;
+ wire \blk00000003/blk00000693/sig000014e0 ;
+ wire \blk00000003/blk000006cc/sig00001568 ;
+ wire \blk00000003/blk000006cc/sig00001567 ;
+ wire \blk00000003/blk000006cc/sig00001566 ;
+ wire \blk00000003/blk000006cc/sig00001565 ;
+ wire \blk00000003/blk000006cc/sig00001564 ;
+ wire \blk00000003/blk000006cc/sig00001563 ;
+ wire \blk00000003/blk000006cc/sig00001562 ;
+ wire \blk00000003/blk000006cc/sig00001561 ;
+ wire \blk00000003/blk000006cc/sig00001560 ;
+ wire \blk00000003/blk000006cc/sig0000155f ;
+ wire \blk00000003/blk000006cc/sig0000155e ;
+ wire \blk00000003/blk000006cc/sig0000155d ;
+ wire \blk00000003/blk000006cc/sig0000155c ;
+ wire \blk00000003/blk000006cc/sig0000155b ;
+ wire \blk00000003/blk000006cc/sig0000155a ;
+ wire \blk00000003/blk000006cc/sig00001559 ;
+ wire \blk00000003/blk000006cc/sig00001558 ;
+ wire \blk00000003/blk000006cc/sig00001557 ;
+ wire \blk00000003/blk000006cc/sig00001556 ;
+ wire \blk00000003/blk000006cc/sig00001555 ;
+ wire \blk00000003/blk000006cc/sig00001554 ;
+ wire \blk00000003/blk000006cc/sig00001553 ;
+ wire \blk00000003/blk000006cc/sig00001552 ;
+ wire \blk00000003/blk000006cc/sig00001551 ;
+ wire \blk00000003/blk000006cc/sig00001550 ;
+ wire \blk00000003/blk000006cc/sig0000154f ;
+ wire \blk00000003/blk000006cc/sig0000154e ;
+ wire \blk00000003/blk000006cc/sig0000154d ;
+ wire \blk00000003/blk000006cc/sig0000154c ;
+ wire \blk00000003/blk000006cc/sig0000154b ;
+ wire \blk00000003/blk000006cc/sig0000154a ;
+ wire \blk00000003/blk000006cc/sig00001549 ;
+ wire \blk00000003/blk000006cc/sig00001548 ;
+ wire \blk00000003/blk000006cc/sig00001547 ;
+ wire \blk00000003/blk000006cc/sig00001546 ;
+ wire \blk00000003/blk000006cc/sig00001545 ;
+ wire \blk00000003/blk000006cc/sig00001544 ;
+ wire \blk00000003/blk000006cc/sig00001543 ;
+ wire \blk00000003/blk00000705/sig000015a7 ;
+ wire \blk00000003/blk00000705/sig000015a6 ;
+ wire \blk00000003/blk00000705/sig000015a5 ;
+ wire \blk00000003/blk00000705/sig000015a4 ;
+ wire \blk00000003/blk00000705/sig000015a3 ;
+ wire \blk00000003/blk00000705/sig000015a2 ;
+ wire \blk00000003/blk00000705/sig000015a1 ;
+ wire \blk00000003/blk00000705/sig000015a0 ;
+ wire \blk00000003/blk00000705/sig0000159f ;
+ wire \blk00000003/blk00000705/sig0000159e ;
+ wire \blk00000003/blk00000705/sig0000159d ;
+ wire \blk00000003/blk00000705/sig0000159c ;
+ wire \blk00000003/blk00000705/sig0000159b ;
+ wire \blk00000003/blk00000705/sig0000159a ;
+ wire \blk00000003/blk00000705/sig00001599 ;
+ wire \blk00000003/blk00000705/sig00001598 ;
+ wire \blk00000003/blk00000705/sig00001597 ;
+ wire \blk00000003/blk00000705/sig00001596 ;
+ wire \blk00000003/blk00000705/sig00001595 ;
+ wire \blk00000003/blk00000705/sig00001594 ;
+ wire \blk00000003/blk0000075c/sig000015e4 ;
+ wire \blk00000003/blk0000075c/sig000015e3 ;
+ wire \blk00000003/blk0000075c/sig000015e2 ;
+ wire \blk00000003/blk0000075c/sig000015e1 ;
+ wire \blk00000003/blk0000075c/sig000015e0 ;
+ wire \blk00000003/blk0000075c/sig000015df ;
+ wire \blk00000003/blk0000075c/sig000015de ;
+ wire \blk00000003/blk0000075c/sig000015dd ;
+ wire \blk00000003/blk0000075c/sig000015dc ;
+ wire \blk00000003/blk0000075c/sig000015db ;
+ wire \blk00000003/blk0000075c/sig000015da ;
+ wire \blk00000003/blk0000075c/sig000015d9 ;
+ wire \blk00000003/blk0000075c/sig000015d8 ;
+ wire \blk00000003/blk0000075c/sig000015d7 ;
+ wire \blk00000003/blk0000075c/sig000015d6 ;
+ wire \blk00000003/blk0000075c/sig000015d5 ;
+ wire \blk00000003/blk0000075c/sig000015d4 ;
+ wire \blk00000003/blk0000075c/sig000015d3 ;
+ wire \blk00000003/blk0000075c/sig000015d2 ;
+ wire \blk00000003/blk0000075c/sig000015d1 ;
+ wire NLW_blk00000001_P_UNCONNECTED;
+ wire NLW_blk00000002_G_UNCONNECTED;
+ wire \NLW_blk00000003/blk00000c12_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c10_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c0e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c0c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c0a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c08_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c06_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c04_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c02_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000c00_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bfe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bfc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bfa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bf8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bf6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bf4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bf2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bf0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bee_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bec_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000be8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000be6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000be4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000be2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000be0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bde_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bdc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bda_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bd8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bd6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bd4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bd2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bd0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bcc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bc8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bc6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bc4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bc2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bc0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bbe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bbc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bba_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bb8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bb6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bb4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bb2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bb0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000bac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000baa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ba8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ba6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ba4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ba2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ba0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b9e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b9c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b9a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b98_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b96_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b94_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b92_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b90_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b8e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b8c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b8a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b88_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b86_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b84_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b82_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b80_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b7e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b7c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b7a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b78_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b76_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b74_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b72_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b70_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b6e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b6c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b6a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b68_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b66_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b64_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b62_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b60_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b5e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b5c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b5a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b58_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b56_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b54_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b52_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b50_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b4e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b4c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b4a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b48_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b46_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b44_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b42_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b40_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b3e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b3c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b3a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b38_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b36_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b34_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b32_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b30_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b2e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b2c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b2a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b28_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b26_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b24_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b22_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b20_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b1e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b1c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b1a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b18_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b16_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b14_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b12_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b10_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b0e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b0c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b0a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b08_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b06_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b04_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b02_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000b00_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000afe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000afc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000afa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000af8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000af6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000af4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000af2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000af0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aee_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aec_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ae8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ae6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ae4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ae2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ae0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ade_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000adc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ada_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ad8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ad6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ad4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ad2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ad0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ace_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000acc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ac8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ac6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ac4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ac2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ac0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000abe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000abc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aba_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ab8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ab6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ab4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ab2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000ab0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aaa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aa8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aa6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aa4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aa2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000aa0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a9e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a9c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a9a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a98_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a96_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a94_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a92_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a90_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a8e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a8c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a8a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a88_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a86_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a84_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a82_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a80_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a7e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a7c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a7a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a78_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a76_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a74_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a72_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a70_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a6e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a6c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a6a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a68_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a66_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a64_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a62_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a60_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a5e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a5c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a5a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a58_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a56_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a54_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a52_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a50_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a4e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a4c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a4a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a48_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a46_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a44_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a42_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a40_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a3e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a3c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a3a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a38_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a36_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a34_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a32_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a30_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a2e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a2c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a2a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a28_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a26_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a24_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a22_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a20_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a1e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a1c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a1a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a18_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a16_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a14_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a12_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a10_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a0e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a0c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a0a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a08_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a06_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a04_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a02_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000a00_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009fe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009fc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009fa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009f8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009f6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009f4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009f2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009f0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ee_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ec_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009cc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009c8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009c6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009c4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009c2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009c0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009be_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009bc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ba_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009b8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009b6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000009a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000099e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000099c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000099a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000998_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000996_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000994_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000992_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000990_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000098e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000098c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000098a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000988_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000986_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000984_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000982_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000980_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000097e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000097c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000097a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000978_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000976_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000974_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000972_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000970_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000096e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000096c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000096a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000968_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000966_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000964_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000962_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000960_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000095e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000095c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000095a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000958_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000956_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000954_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000952_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000950_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000094e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000094c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000094a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000948_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000946_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000944_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000942_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000940_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000093e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000093c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000093a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000938_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000936_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000934_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000932_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000930_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000092e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000092c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000092a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000928_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000926_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000924_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000922_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000920_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000091e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000091c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000091a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000918_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000916_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000914_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000912_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000910_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000090e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000090c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000090a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000908_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000906_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000904_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000902_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000900_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008fe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008fc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008fa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008f8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008f6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008f4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008f2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008f0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008ee_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008ec_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008ea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000008dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000007f4_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000007f4_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000789_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000789_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f1_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f0_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ef_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ed_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ec_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e9_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e8_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000df_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000df_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000dc_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000dc_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000da_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d6_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d0_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cf_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ce_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cb_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c7_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c6_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c5_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c4_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c3_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c1_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000bb_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000bb_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b8_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b8_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000a4_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000a2_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000009a_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000099_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000098_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000097_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000096_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000094_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000094_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000092_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000091_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000001d_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000019_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000017_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000014_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000b_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000b_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000a_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000008_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000008d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000008c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000008b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000008a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000089_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000088_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000087_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000086_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000085_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000084_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000083_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000082_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000081_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000080_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000007f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000007e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000007d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000007c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000007b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000007a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000079_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000078_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000077_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000076_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000075_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000074_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000073_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000072_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000071_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000070_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000006f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000006e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000006d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000006c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000006b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000006a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000069_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000068_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000067_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000066_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000065_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000064_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000063_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000062_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000061_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk00000060_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000005f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002c/blk0000005e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000151_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000150_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000014f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000014e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000014d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000014c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000014b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000014a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000149_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000148_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000147_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000146_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000145_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000144_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000143_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000142_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000141_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk00000140_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000013f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000013e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000013d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000013c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000013b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000120/blk0000013a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000184_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000183_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000182_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000181_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000180_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000017f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000017e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000017d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000017c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000017b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000017a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000179_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000178_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000177_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000176_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000175_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000186/blk000001a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001ea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b9/blk000001d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000021d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000021c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000021b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000021a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000219_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000218_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000217_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000216_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000215_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000214_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000213_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000212_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000211_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000210_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000020f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000020e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000250_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000024f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000024e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000024d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000024c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000024b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000024a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000249_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000248_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000247_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000246_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000245_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000244_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000243_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000242_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000241_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000240_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000023f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000023e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000023d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000023c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000023b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk0000023a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000021f/blk00000239_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000283_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000282_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000281_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000280_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000027f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000027e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000027d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000027c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000027b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000027a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000279_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000278_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000277_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000276_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000275_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000274_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000273_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000272_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000271_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk00000270_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000026f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000026e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000026d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000252/blk0000026c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk000002a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000285/blk0000029f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002b8/blk000002d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000031c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000031b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000031a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000319_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000318_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000317_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000316_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000315_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000314_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000313_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000312_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000311_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000310_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000030f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000030e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000030d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000030c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000030b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk0000030a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000309_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000308_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000307_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000306_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002eb/blk00000305_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000034f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000034e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000034d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000034c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000034b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000034a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000349_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000348_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000347_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000346_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000345_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000344_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000343_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000342_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000341_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000340_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000033f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000033e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000033d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000033c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000033b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk0000033a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000339_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000031e/blk00000338_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000382_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000381_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000380_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000037f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000037e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000037d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000037c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000037b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000037a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000379_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000378_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000377_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000376_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000375_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000374_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000373_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000372_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000371_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk00000370_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000036f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000036e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000036d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000036c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000351/blk0000036b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003b5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003b3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003b1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk000003a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk0000039f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000384/blk0000039e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003b7/blk000003d1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000041b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000041a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000419_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000418_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000417_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000416_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000415_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000414_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000413_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000412_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000411_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000410_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000040f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000040e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000040d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000040c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000040b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk0000040a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000409_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000408_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000407_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000406_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000405_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000003ea/blk00000404_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000044e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000044d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000044c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000044b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000044a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000449_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000448_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000447_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000446_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000445_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000444_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000443_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000442_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000441_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000440_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000043f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000043e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000043d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000043c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000043b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk0000043a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000439_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000438_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000041d/blk00000437_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000481_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000480_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000047f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000047e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000047d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000047c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000047b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000047a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000479_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000478_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000477_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000476_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000475_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000474_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000473_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000472_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000471_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk00000470_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000046f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000046e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000046d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000046c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000046b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000450/blk0000046a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004b3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004b1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk000004a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk0000049f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk0000049e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000483/blk0000049d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004b6/blk000004d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000051a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000519_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000518_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000517_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000516_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000515_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000514_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000513_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000512_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000511_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000510_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000050f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000050e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000050d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000050c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000050b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk0000050a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000509_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000508_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000507_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000506_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000505_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000504_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004e9/blk00000503_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000054d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000054c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000054b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000054a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000549_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000548_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000547_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000546_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000545_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000544_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000543_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000542_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000541_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000540_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000053f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000053e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000053d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000053c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000053b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk0000053a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000539_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000538_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000537_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c/blk00000536_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000580_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000057f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000057e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000057d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000057c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000057b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000057a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000579_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000578_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000577_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000576_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000575_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000574_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000573_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000572_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000571_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000570_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000056f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000056e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000056d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000056c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000056b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk0000056a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054f/blk00000569_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005b3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005b1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk000005a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk0000059f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk0000059e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk0000059d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582/blk0000059c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b5/blk000005cf_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000072a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000729_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000728_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000727_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000726_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000725_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000724_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000723_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000722_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000721_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000720_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000071f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000071e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000071d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000071c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000071b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk0000071a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000705/blk00000719_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000781_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000780_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk0000077f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk0000077e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk0000077d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk0000077c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk0000077b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk0000077a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000779_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000778_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000777_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000776_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000775_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000774_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000773_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000772_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000771_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c/blk00000770_SPO_UNCONNECTED ;
+ wire [17 : 0] coef_din_0;
+ wire [23 : 0] din_1_1;
+ wire [23 : 0] din_2_2;
+ wire [46 : 0] NlwRenamedSig_OI_dout_1;
+ wire [46 : 0] NlwRenamedSig_OI_dout_2;
+ assign
+ rfd = NlwRenamedSig_OI_rfd,
+ dout_1[46] = NlwRenamedSig_OI_dout_1[46],
+ dout_1[45] = NlwRenamedSig_OI_dout_1[45],
+ dout_1[44] = NlwRenamedSig_OI_dout_1[44],
+ dout_1[43] = NlwRenamedSig_OI_dout_1[43],
+ dout_1[42] = NlwRenamedSig_OI_dout_1[42],
+ dout_1[41] = NlwRenamedSig_OI_dout_1[41],
+ dout_1[40] = NlwRenamedSig_OI_dout_1[40],
+ dout_1[39] = NlwRenamedSig_OI_dout_1[39],
+ dout_1[38] = NlwRenamedSig_OI_dout_1[38],
+ dout_1[37] = NlwRenamedSig_OI_dout_1[37],
+ dout_1[36] = NlwRenamedSig_OI_dout_1[36],
+ dout_1[35] = NlwRenamedSig_OI_dout_1[35],
+ dout_1[34] = NlwRenamedSig_OI_dout_1[34],
+ dout_1[33] = NlwRenamedSig_OI_dout_1[33],
+ dout_1[32] = NlwRenamedSig_OI_dout_1[32],
+ dout_1[31] = NlwRenamedSig_OI_dout_1[31],
+ dout_1[30] = NlwRenamedSig_OI_dout_1[30],
+ dout_1[29] = NlwRenamedSig_OI_dout_1[29],
+ dout_1[28] = NlwRenamedSig_OI_dout_1[28],
+ dout_1[27] = NlwRenamedSig_OI_dout_1[27],
+ dout_1[26] = NlwRenamedSig_OI_dout_1[26],
+ dout_1[25] = NlwRenamedSig_OI_dout_1[25],
+ dout_1[24] = NlwRenamedSig_OI_dout_1[24],
+ dout_1[23] = NlwRenamedSig_OI_dout_1[23],
+ dout_1[22] = NlwRenamedSig_OI_dout_1[22],
+ dout_1[21] = NlwRenamedSig_OI_dout_1[21],
+ dout_1[20] = NlwRenamedSig_OI_dout_1[20],
+ dout_1[19] = NlwRenamedSig_OI_dout_1[19],
+ dout_1[18] = NlwRenamedSig_OI_dout_1[18],
+ dout_1[17] = NlwRenamedSig_OI_dout_1[17],
+ dout_1[16] = NlwRenamedSig_OI_dout_1[16],
+ dout_1[15] = NlwRenamedSig_OI_dout_1[15],
+ dout_1[14] = NlwRenamedSig_OI_dout_1[14],
+ dout_1[13] = NlwRenamedSig_OI_dout_1[13],
+ dout_1[12] = NlwRenamedSig_OI_dout_1[12],
+ dout_1[11] = NlwRenamedSig_OI_dout_1[11],
+ dout_1[10] = NlwRenamedSig_OI_dout_1[10],
+ dout_1[9] = NlwRenamedSig_OI_dout_1[9],
+ dout_1[8] = NlwRenamedSig_OI_dout_1[8],
+ dout_1[7] = NlwRenamedSig_OI_dout_1[7],
+ dout_1[6] = NlwRenamedSig_OI_dout_1[6],
+ dout_1[5] = NlwRenamedSig_OI_dout_1[5],
+ dout_1[4] = NlwRenamedSig_OI_dout_1[4],
+ dout_1[3] = NlwRenamedSig_OI_dout_1[3],
+ dout_1[2] = NlwRenamedSig_OI_dout_1[2],
+ dout_1[1] = NlwRenamedSig_OI_dout_1[1],
+ dout_1[0] = NlwRenamedSig_OI_dout_1[0],
+ dout_2[46] = NlwRenamedSig_OI_dout_2[46],
+ dout_2[45] = NlwRenamedSig_OI_dout_2[45],
+ dout_2[44] = NlwRenamedSig_OI_dout_2[44],
+ dout_2[43] = NlwRenamedSig_OI_dout_2[43],
+ dout_2[42] = NlwRenamedSig_OI_dout_2[42],
+ dout_2[41] = NlwRenamedSig_OI_dout_2[41],
+ dout_2[40] = NlwRenamedSig_OI_dout_2[40],
+ dout_2[39] = NlwRenamedSig_OI_dout_2[39],
+ dout_2[38] = NlwRenamedSig_OI_dout_2[38],
+ dout_2[37] = NlwRenamedSig_OI_dout_2[37],
+ dout_2[36] = NlwRenamedSig_OI_dout_2[36],
+ dout_2[35] = NlwRenamedSig_OI_dout_2[35],
+ dout_2[34] = NlwRenamedSig_OI_dout_2[34],
+ dout_2[33] = NlwRenamedSig_OI_dout_2[33],
+ dout_2[32] = NlwRenamedSig_OI_dout_2[32],
+ dout_2[31] = NlwRenamedSig_OI_dout_2[31],
+ dout_2[30] = NlwRenamedSig_OI_dout_2[30],
+ dout_2[29] = NlwRenamedSig_OI_dout_2[29],
+ dout_2[28] = NlwRenamedSig_OI_dout_2[28],
+ dout_2[27] = NlwRenamedSig_OI_dout_2[27],
+ dout_2[26] = NlwRenamedSig_OI_dout_2[26],
+ dout_2[25] = NlwRenamedSig_OI_dout_2[25],
+ dout_2[24] = NlwRenamedSig_OI_dout_2[24],
+ dout_2[23] = NlwRenamedSig_OI_dout_2[23],
+ dout_2[22] = NlwRenamedSig_OI_dout_2[22],
+ dout_2[21] = NlwRenamedSig_OI_dout_2[21],
+ dout_2[20] = NlwRenamedSig_OI_dout_2[20],
+ dout_2[19] = NlwRenamedSig_OI_dout_2[19],
+ dout_2[18] = NlwRenamedSig_OI_dout_2[18],
+ dout_2[17] = NlwRenamedSig_OI_dout_2[17],
+ dout_2[16] = NlwRenamedSig_OI_dout_2[16],
+ dout_2[15] = NlwRenamedSig_OI_dout_2[15],
+ dout_2[14] = NlwRenamedSig_OI_dout_2[14],
+ dout_2[13] = NlwRenamedSig_OI_dout_2[13],
+ dout_2[12] = NlwRenamedSig_OI_dout_2[12],
+ dout_2[11] = NlwRenamedSig_OI_dout_2[11],
+ dout_2[10] = NlwRenamedSig_OI_dout_2[10],
+ dout_2[9] = NlwRenamedSig_OI_dout_2[9],
+ dout_2[8] = NlwRenamedSig_OI_dout_2[8],
+ dout_2[7] = NlwRenamedSig_OI_dout_2[7],
+ dout_2[6] = NlwRenamedSig_OI_dout_2[6],
+ dout_2[5] = NlwRenamedSig_OI_dout_2[5],
+ dout_2[4] = NlwRenamedSig_OI_dout_2[4],
+ dout_2[3] = NlwRenamedSig_OI_dout_2[3],
+ dout_2[2] = NlwRenamedSig_OI_dout_2[2],
+ dout_2[1] = NlwRenamedSig_OI_dout_2[1],
+ dout_2[0] = NlwRenamedSig_OI_dout_2[0],
+ din_1_1[23] = din_1[23],
+ din_1_1[22] = din_1[22],
+ din_1_1[21] = din_1[21],
+ din_1_1[20] = din_1[20],
+ din_1_1[19] = din_1[19],
+ din_1_1[18] = din_1[18],
+ din_1_1[17] = din_1[17],
+ din_1_1[16] = din_1[16],
+ din_1_1[15] = din_1[15],
+ din_1_1[14] = din_1[14],
+ din_1_1[13] = din_1[13],
+ din_1_1[12] = din_1[12],
+ din_1_1[11] = din_1[11],
+ din_1_1[10] = din_1[10],
+ din_1_1[9] = din_1[9],
+ din_1_1[8] = din_1[8],
+ din_1_1[7] = din_1[7],
+ din_1_1[6] = din_1[6],
+ din_1_1[5] = din_1[5],
+ din_1_1[4] = din_1[4],
+ din_1_1[3] = din_1[3],
+ din_1_1[2] = din_1[2],
+ din_1_1[1] = din_1[1],
+ din_1_1[0] = din_1[0],
+ din_2_2[23] = din_2[23],
+ din_2_2[22] = din_2[22],
+ din_2_2[21] = din_2[21],
+ din_2_2[20] = din_2[20],
+ din_2_2[19] = din_2[19],
+ din_2_2[18] = din_2[18],
+ din_2_2[17] = din_2[17],
+ din_2_2[16] = din_2[16],
+ din_2_2[15] = din_2[15],
+ din_2_2[14] = din_2[14],
+ din_2_2[13] = din_2[13],
+ din_2_2[12] = din_2[12],
+ din_2_2[11] = din_2[11],
+ din_2_2[10] = din_2[10],
+ din_2_2[9] = din_2[9],
+ din_2_2[8] = din_2[8],
+ din_2_2[7] = din_2[7],
+ din_2_2[6] = din_2[6],
+ din_2_2[5] = din_2[5],
+ din_2_2[4] = din_2[4],
+ din_2_2[3] = din_2[3],
+ din_2_2[2] = din_2[2],
+ din_2_2[1] = din_2[1],
+ din_2_2[0] = din_2[0],
+ coef_din_0[17] = coef_din[17],
+ coef_din_0[16] = coef_din[16],
+ coef_din_0[15] = coef_din[15],
+ coef_din_0[14] = coef_din[14],
+ coef_din_0[13] = coef_din[13],
+ coef_din_0[12] = coef_din[12],
+ coef_din_0[11] = coef_din[11],
+ coef_din_0[10] = coef_din[10],
+ coef_din_0[9] = coef_din[9],
+ coef_din_0[8] = coef_din[8],
+ coef_din_0[7] = coef_din[7],
+ coef_din_0[6] = coef_din[6],
+ coef_din_0[5] = coef_din[5],
+ coef_din_0[4] = coef_din[4],
+ coef_din_0[3] = coef_din[3],
+ coef_din_0[2] = coef_din[2],
+ coef_din_0[1] = coef_din[1],
+ coef_din_0[0] = coef_din[0];
+ VCC blk00000001 (
+ .P(NLW_blk00000001_P_UNCONNECTED)
+ );
+ GND blk00000002 (
+ .G(NLW_blk00000002_G_UNCONNECTED)
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c13 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b8e ),
+ .Q(\blk00000003/sig00000881 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c12 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000839 ),
+ .Q(\blk00000003/sig00000b8e ),
+ .Q15(\NLW_blk00000003/blk00000c12_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c11 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b8d ),
+ .Q(\blk00000003/sig00000880 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c10 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000838 ),
+ .Q(\blk00000003/sig00000b8d ),
+ .Q15(\NLW_blk00000003/blk00000c10_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c0f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b8c ),
+ .Q(\blk00000003/sig0000087f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c0e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000837 ),
+ .Q(\blk00000003/sig00000b8c ),
+ .Q15(\NLW_blk00000003/blk00000c0e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c0d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b8b ),
+ .Q(\blk00000003/sig0000087e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c0c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000836 ),
+ .Q(\blk00000003/sig00000b8b ),
+ .Q15(\NLW_blk00000003/blk00000c0c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c0b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b8a ),
+ .Q(\blk00000003/sig0000087c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c0a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000834 ),
+ .Q(\blk00000003/sig00000b8a ),
+ .Q15(\NLW_blk00000003/blk00000c0a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c09 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b89 ),
+ .Q(\blk00000003/sig0000087b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c08 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000833 ),
+ .Q(\blk00000003/sig00000b89 ),
+ .Q15(\NLW_blk00000003/blk00000c08_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c07 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b88 ),
+ .Q(\blk00000003/sig0000087d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c06 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000835 ),
+ .Q(\blk00000003/sig00000b88 ),
+ .Q15(\NLW_blk00000003/blk00000c06_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c05 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b87 ),
+ .Q(\blk00000003/sig00000879 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c04 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000831 ),
+ .Q(\blk00000003/sig00000b87 ),
+ .Q15(\NLW_blk00000003/blk00000c04_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c03 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b86 ),
+ .Q(\blk00000003/sig00000878 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c02 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000830 ),
+ .Q(\blk00000003/sig00000b86 ),
+ .Q15(\NLW_blk00000003/blk00000c02_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000c01 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b85 ),
+ .Q(\blk00000003/sig0000087a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000c00 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000832 ),
+ .Q(\blk00000003/sig00000b85 ),
+ .Q15(\NLW_blk00000003/blk00000c00_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bff (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b84 ),
+ .Q(\blk00000003/sig00000876 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bfe (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082e ),
+ .Q(\blk00000003/sig00000b84 ),
+ .Q15(\NLW_blk00000003/blk00000bfe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bfd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b83 ),
+ .Q(\blk00000003/sig00000875 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bfc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082d ),
+ .Q(\blk00000003/sig00000b83 ),
+ .Q15(\NLW_blk00000003/blk00000bfc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bfb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b82 ),
+ .Q(\blk00000003/sig00000877 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bfa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082f ),
+ .Q(\blk00000003/sig00000b82 ),
+ .Q15(\NLW_blk00000003/blk00000bfa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bf9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b81 ),
+ .Q(\blk00000003/sig00000873 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bf8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082b ),
+ .Q(\blk00000003/sig00000b81 ),
+ .Q15(\NLW_blk00000003/blk00000bf8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bf7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b80 ),
+ .Q(\blk00000003/sig00000872 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bf6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082a ),
+ .Q(\blk00000003/sig00000b80 ),
+ .Q15(\NLW_blk00000003/blk00000bf6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bf5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b7f ),
+ .Q(\blk00000003/sig00000874 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bf4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082c ),
+ .Q(\blk00000003/sig00000b7f ),
+ .Q15(\NLW_blk00000003/blk00000bf4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bf3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b7e ),
+ .Q(\blk00000003/sig00000870 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bf2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000828 ),
+ .Q(\blk00000003/sig00000b7e ),
+ .Q15(\NLW_blk00000003/blk00000bf2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bf1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b7d ),
+ .Q(\blk00000003/sig0000086f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bf0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000827 ),
+ .Q(\blk00000003/sig00000b7d ),
+ .Q15(\NLW_blk00000003/blk00000bf0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bef (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b7c ),
+ .Q(\blk00000003/sig00000871 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bee (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000829 ),
+ .Q(\blk00000003/sig00000b7c ),
+ .Q15(\NLW_blk00000003/blk00000bee_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bed (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b7b ),
+ .Q(\blk00000003/sig0000086d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bec (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000825 ),
+ .Q(\blk00000003/sig00000b7b ),
+ .Q15(\NLW_blk00000003/blk00000bec_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000beb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b7a ),
+ .Q(\blk00000003/sig0000086c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bea (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000824 ),
+ .Q(\blk00000003/sig00000b7a ),
+ .Q15(\NLW_blk00000003/blk00000bea_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000be9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b79 ),
+ .Q(\blk00000003/sig0000086e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000be8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000826 ),
+ .Q(\blk00000003/sig00000b79 ),
+ .Q15(\NLW_blk00000003/blk00000be8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000be7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b78 ),
+ .Q(\blk00000003/sig0000086a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000be6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000822 ),
+ .Q(\blk00000003/sig00000b78 ),
+ .Q15(\NLW_blk00000003/blk00000be6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000be5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b77 ),
+ .Q(\blk00000003/sig0000086b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000be4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000823 ),
+ .Q(\blk00000003/sig00000b77 ),
+ .Q15(\NLW_blk00000003/blk00000be4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000be3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b76 ),
+ .Q(\blk00000003/sig000008b1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000be2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000851 ),
+ .Q(\blk00000003/sig00000b76 ),
+ .Q15(\NLW_blk00000003/blk00000be2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000be1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b75 ),
+ .Q(\blk00000003/sig000008b0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000be0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000850 ),
+ .Q(\blk00000003/sig00000b75 ),
+ .Q15(\NLW_blk00000003/blk00000be0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bdf (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b74 ),
+ .Q(\blk00000003/sig000008ae )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bde (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084e ),
+ .Q(\blk00000003/sig00000b74 ),
+ .Q15(\NLW_blk00000003/blk00000bde_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bdd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b73 ),
+ .Q(\blk00000003/sig000008ad )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bdc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084d ),
+ .Q(\blk00000003/sig00000b73 ),
+ .Q15(\NLW_blk00000003/blk00000bdc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bdb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b72 ),
+ .Q(\blk00000003/sig000008af )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bda (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084f ),
+ .Q(\blk00000003/sig00000b72 ),
+ .Q15(\NLW_blk00000003/blk00000bda_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bd9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b71 ),
+ .Q(\blk00000003/sig000008ab )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bd8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084b ),
+ .Q(\blk00000003/sig00000b71 ),
+ .Q15(\NLW_blk00000003/blk00000bd8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bd7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b70 ),
+ .Q(\blk00000003/sig000008aa )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bd6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084a ),
+ .Q(\blk00000003/sig00000b70 ),
+ .Q15(\NLW_blk00000003/blk00000bd6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bd5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b6f ),
+ .Q(\blk00000003/sig000008ac )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bd4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084c ),
+ .Q(\blk00000003/sig00000b6f ),
+ .Q15(\NLW_blk00000003/blk00000bd4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bd3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b6e ),
+ .Q(\blk00000003/sig000008a9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bd2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000849 ),
+ .Q(\blk00000003/sig00000b6e ),
+ .Q15(\NLW_blk00000003/blk00000bd2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bd1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b6d ),
+ .Q(\blk00000003/sig000008a8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bd0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000848 ),
+ .Q(\blk00000003/sig00000b6d ),
+ .Q15(\NLW_blk00000003/blk00000bd0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bcf (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b6c ),
+ .Q(\blk00000003/sig000008a7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bce (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000847 ),
+ .Q(\blk00000003/sig00000b6c ),
+ .Q15(\NLW_blk00000003/blk00000bce_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bcd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b6b ),
+ .Q(\blk00000003/sig000008a6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bcc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000846 ),
+ .Q(\blk00000003/sig00000b6b ),
+ .Q15(\NLW_blk00000003/blk00000bcc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bcb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b6a ),
+ .Q(\blk00000003/sig000008a4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bca (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000844 ),
+ .Q(\blk00000003/sig00000b6a ),
+ .Q15(\NLW_blk00000003/blk00000bca_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bc9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b69 ),
+ .Q(\blk00000003/sig000008a3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bc8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000843 ),
+ .Q(\blk00000003/sig00000b69 ),
+ .Q15(\NLW_blk00000003/blk00000bc8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bc7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b68 ),
+ .Q(\blk00000003/sig000008a5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bc6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000845 ),
+ .Q(\blk00000003/sig00000b68 ),
+ .Q15(\NLW_blk00000003/blk00000bc6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bc5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b67 ),
+ .Q(\blk00000003/sig000008a1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bc4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000841 ),
+ .Q(\blk00000003/sig00000b67 ),
+ .Q15(\NLW_blk00000003/blk00000bc4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bc3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b66 ),
+ .Q(\blk00000003/sig000008a0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bc2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000840 ),
+ .Q(\blk00000003/sig00000b66 ),
+ .Q15(\NLW_blk00000003/blk00000bc2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bc1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b65 ),
+ .Q(\blk00000003/sig000008a2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bc0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000842 ),
+ .Q(\blk00000003/sig00000b65 ),
+ .Q15(\NLW_blk00000003/blk00000bc0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bbf (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b64 ),
+ .Q(\blk00000003/sig0000089e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bbe (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083e ),
+ .Q(\blk00000003/sig00000b64 ),
+ .Q15(\NLW_blk00000003/blk00000bbe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bbd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b63 ),
+ .Q(\blk00000003/sig0000089d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bbc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083d ),
+ .Q(\blk00000003/sig00000b63 ),
+ .Q15(\NLW_blk00000003/blk00000bbc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bbb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b62 ),
+ .Q(\blk00000003/sig0000089f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bba (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083f ),
+ .Q(\blk00000003/sig00000b62 ),
+ .Q15(\NLW_blk00000003/blk00000bba_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bb9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b61 ),
+ .Q(\blk00000003/sig0000089c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bb8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083c ),
+ .Q(\blk00000003/sig00000b61 ),
+ .Q15(\NLW_blk00000003/blk00000bb8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bb7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b60 ),
+ .Q(\blk00000003/sig0000089b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bb6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083b ),
+ .Q(\blk00000003/sig00000b60 ),
+ .Q15(\NLW_blk00000003/blk00000bb6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bb5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b7 ),
+ .D(\blk00000003/sig00000b5f ),
+ .Q(\blk00000003/sig0000089a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bb4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083a ),
+ .Q(\blk00000003/sig00000b5f ),
+ .Q15(\NLW_blk00000003/blk00000bb4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bb3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b5e ),
+ .Q(\blk00000003/sig00000839 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bb2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000809 ),
+ .Q(\blk00000003/sig00000b5e ),
+ .Q15(\NLW_blk00000003/blk00000bb2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bb1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b5d ),
+ .Q(\blk00000003/sig00000838 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bb0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000808 ),
+ .Q(\blk00000003/sig00000b5d ),
+ .Q15(\NLW_blk00000003/blk00000bb0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000baf (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b5c ),
+ .Q(\blk00000003/sig00000837 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bae (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000807 ),
+ .Q(\blk00000003/sig00000b5c ),
+ .Q15(\NLW_blk00000003/blk00000bae_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bad (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b5b ),
+ .Q(\blk00000003/sig00000836 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000bac (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000806 ),
+ .Q(\blk00000003/sig00000b5b ),
+ .Q15(\NLW_blk00000003/blk00000bac_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000bab (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b5a ),
+ .Q(\blk00000003/sig00000835 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000baa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000805 ),
+ .Q(\blk00000003/sig00000b5a ),
+ .Q15(\NLW_blk00000003/blk00000baa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ba9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b59 ),
+ .Q(\blk00000003/sig00000833 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ba8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000803 ),
+ .Q(\blk00000003/sig00000b59 ),
+ .Q15(\NLW_blk00000003/blk00000ba8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ba7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b58 ),
+ .Q(\blk00000003/sig00000832 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ba6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000802 ),
+ .Q(\blk00000003/sig00000b58 ),
+ .Q15(\NLW_blk00000003/blk00000ba6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ba5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b57 ),
+ .Q(\blk00000003/sig00000834 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ba4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000804 ),
+ .Q(\blk00000003/sig00000b57 ),
+ .Q15(\NLW_blk00000003/blk00000ba4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ba3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b56 ),
+ .Q(\blk00000003/sig00000830 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ba2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000800 ),
+ .Q(\blk00000003/sig00000b56 ),
+ .Q15(\NLW_blk00000003/blk00000ba2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ba1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b55 ),
+ .Q(\blk00000003/sig0000082f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ba0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ff ),
+ .Q(\blk00000003/sig00000b55 ),
+ .Q15(\NLW_blk00000003/blk00000ba0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b9f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b54 ),
+ .Q(\blk00000003/sig00000831 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b9e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000801 ),
+ .Q(\blk00000003/sig00000b54 ),
+ .Q15(\NLW_blk00000003/blk00000b9e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b9d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b53 ),
+ .Q(\blk00000003/sig0000082d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b9c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fd ),
+ .Q(\blk00000003/sig00000b53 ),
+ .Q15(\NLW_blk00000003/blk00000b9c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b9b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b52 ),
+ .Q(\blk00000003/sig0000082c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b9a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fc ),
+ .Q(\blk00000003/sig00000b52 ),
+ .Q15(\NLW_blk00000003/blk00000b9a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b99 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b51 ),
+ .Q(\blk00000003/sig0000082e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b98 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fe ),
+ .Q(\blk00000003/sig00000b51 ),
+ .Q15(\NLW_blk00000003/blk00000b98_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b97 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b50 ),
+ .Q(\blk00000003/sig0000082b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b96 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fb ),
+ .Q(\blk00000003/sig00000b50 ),
+ .Q15(\NLW_blk00000003/blk00000b96_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b95 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b4f ),
+ .Q(\blk00000003/sig0000082a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b94 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fa ),
+ .Q(\blk00000003/sig00000b4f ),
+ .Q15(\NLW_blk00000003/blk00000b94_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b93 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b4e ),
+ .Q(\blk00000003/sig00000829 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b92 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f9 ),
+ .Q(\blk00000003/sig00000b4e ),
+ .Q15(\NLW_blk00000003/blk00000b92_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b91 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b4d ),
+ .Q(\blk00000003/sig00000828 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b90 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f8 ),
+ .Q(\blk00000003/sig00000b4d ),
+ .Q15(\NLW_blk00000003/blk00000b90_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b8f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b4c ),
+ .Q(\blk00000003/sig00000826 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b8e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f6 ),
+ .Q(\blk00000003/sig00000b4c ),
+ .Q15(\NLW_blk00000003/blk00000b8e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b8d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b4b ),
+ .Q(\blk00000003/sig00000825 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b8c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f5 ),
+ .Q(\blk00000003/sig00000b4b ),
+ .Q15(\NLW_blk00000003/blk00000b8c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b8b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b4a ),
+ .Q(\blk00000003/sig00000827 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b8a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f7 ),
+ .Q(\blk00000003/sig00000b4a ),
+ .Q15(\NLW_blk00000003/blk00000b8a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b89 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b49 ),
+ .Q(\blk00000003/sig00000823 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b88 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f3 ),
+ .Q(\blk00000003/sig00000b49 ),
+ .Q15(\NLW_blk00000003/blk00000b88_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b87 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b48 ),
+ .Q(\blk00000003/sig00000822 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b86 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f2 ),
+ .Q(\blk00000003/sig00000b48 ),
+ .Q15(\NLW_blk00000003/blk00000b86_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b85 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b47 ),
+ .Q(\blk00000003/sig00000824 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b84 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f4 ),
+ .Q(\blk00000003/sig00000b47 ),
+ .Q15(\NLW_blk00000003/blk00000b84_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b83 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b46 ),
+ .Q(\blk00000003/sig00000851 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b82 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000821 ),
+ .Q(\blk00000003/sig00000b46 ),
+ .Q15(\NLW_blk00000003/blk00000b82_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b81 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b45 ),
+ .Q(\blk00000003/sig0000084f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b80 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081f ),
+ .Q(\blk00000003/sig00000b45 ),
+ .Q15(\NLW_blk00000003/blk00000b80_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b7f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b44 ),
+ .Q(\blk00000003/sig0000084e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b7e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081e ),
+ .Q(\blk00000003/sig00000b44 ),
+ .Q15(\NLW_blk00000003/blk00000b7e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b7d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b43 ),
+ .Q(\blk00000003/sig00000850 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b7c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000820 ),
+ .Q(\blk00000003/sig00000b43 ),
+ .Q15(\NLW_blk00000003/blk00000b7c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b7b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b42 ),
+ .Q(\blk00000003/sig0000084c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b7a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081c ),
+ .Q(\blk00000003/sig00000b42 ),
+ .Q15(\NLW_blk00000003/blk00000b7a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b79 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b41 ),
+ .Q(\blk00000003/sig0000084b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b78 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081b ),
+ .Q(\blk00000003/sig00000b41 ),
+ .Q15(\NLW_blk00000003/blk00000b78_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b77 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b40 ),
+ .Q(\blk00000003/sig0000084d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b76 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081d ),
+ .Q(\blk00000003/sig00000b40 ),
+ .Q15(\NLW_blk00000003/blk00000b76_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b75 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b3f ),
+ .Q(\blk00000003/sig00000849 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b74 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000819 ),
+ .Q(\blk00000003/sig00000b3f ),
+ .Q15(\NLW_blk00000003/blk00000b74_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b73 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b3e ),
+ .Q(\blk00000003/sig00000848 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b72 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000818 ),
+ .Q(\blk00000003/sig00000b3e ),
+ .Q15(\NLW_blk00000003/blk00000b72_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b71 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b3d ),
+ .Q(\blk00000003/sig0000084a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b70 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081a ),
+ .Q(\blk00000003/sig00000b3d ),
+ .Q15(\NLW_blk00000003/blk00000b70_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b6f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b3c ),
+ .Q(\blk00000003/sig00000847 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b6e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000817 ),
+ .Q(\blk00000003/sig00000b3c ),
+ .Q15(\NLW_blk00000003/blk00000b6e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b6d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b3b ),
+ .Q(\blk00000003/sig00000846 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b6c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000816 ),
+ .Q(\blk00000003/sig00000b3b ),
+ .Q15(\NLW_blk00000003/blk00000b6c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b6b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b3a ),
+ .Q(\blk00000003/sig00000845 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b6a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000815 ),
+ .Q(\blk00000003/sig00000b3a ),
+ .Q15(\NLW_blk00000003/blk00000b6a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b69 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b39 ),
+ .Q(\blk00000003/sig00000844 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b68 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000814 ),
+ .Q(\blk00000003/sig00000b39 ),
+ .Q15(\NLW_blk00000003/blk00000b68_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b67 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b38 ),
+ .Q(\blk00000003/sig00000842 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b66 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000812 ),
+ .Q(\blk00000003/sig00000b38 ),
+ .Q15(\NLW_blk00000003/blk00000b66_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b65 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b37 ),
+ .Q(\blk00000003/sig00000841 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b64 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000811 ),
+ .Q(\blk00000003/sig00000b37 ),
+ .Q15(\NLW_blk00000003/blk00000b64_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b63 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b36 ),
+ .Q(\blk00000003/sig00000843 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b62 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000813 ),
+ .Q(\blk00000003/sig00000b36 ),
+ .Q15(\NLW_blk00000003/blk00000b62_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b61 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b35 ),
+ .Q(\blk00000003/sig0000083f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b60 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080f ),
+ .Q(\blk00000003/sig00000b35 ),
+ .Q15(\NLW_blk00000003/blk00000b60_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b5f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b34 ),
+ .Q(\blk00000003/sig0000083e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b5e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080e ),
+ .Q(\blk00000003/sig00000b34 ),
+ .Q15(\NLW_blk00000003/blk00000b5e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b5d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b33 ),
+ .Q(\blk00000003/sig00000840 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b5c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000810 ),
+ .Q(\blk00000003/sig00000b33 ),
+ .Q15(\NLW_blk00000003/blk00000b5c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b5b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b32 ),
+ .Q(\blk00000003/sig0000083c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b5a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080c ),
+ .Q(\blk00000003/sig00000b32 ),
+ .Q15(\NLW_blk00000003/blk00000b5a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b59 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b31 ),
+ .Q(\blk00000003/sig0000083b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b58 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080b ),
+ .Q(\blk00000003/sig00000b31 ),
+ .Q15(\NLW_blk00000003/blk00000b58_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b57 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b30 ),
+ .Q(\blk00000003/sig0000083d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b56 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080d ),
+ .Q(\blk00000003/sig00000b30 ),
+ .Q15(\NLW_blk00000003/blk00000b56_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b55 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b6 ),
+ .D(\blk00000003/sig00000b2f ),
+ .Q(\blk00000003/sig0000083a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b54 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b6 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080a ),
+ .Q(\blk00000003/sig00000b2f ),
+ .Q15(\NLW_blk00000003/blk00000b54_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b53 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000b2e ),
+ .Q(\blk00000003/sig000009ac )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b52 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000761 ),
+ .Q(\blk00000003/sig00000b2e ),
+ .Q15(\NLW_blk00000003/blk00000b52_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b51 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b2d ),
+ .Q(\blk00000003/sig00000808 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b50 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d8 ),
+ .Q(\blk00000003/sig00000b2d ),
+ .Q15(\NLW_blk00000003/blk00000b50_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b4f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b2c ),
+ .Q(\blk00000003/sig00000807 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b4e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d7 ),
+ .Q(\blk00000003/sig00000b2c ),
+ .Q15(\NLW_blk00000003/blk00000b4e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b4d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b2b ),
+ .Q(\blk00000003/sig00000809 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b4c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d9 ),
+ .Q(\blk00000003/sig00000b2b ),
+ .Q15(\NLW_blk00000003/blk00000b4c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b4b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b2a ),
+ .Q(\blk00000003/sig00000806 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b4a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d6 ),
+ .Q(\blk00000003/sig00000b2a ),
+ .Q15(\NLW_blk00000003/blk00000b4a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b49 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b29 ),
+ .Q(\blk00000003/sig00000805 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b48 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d5 ),
+ .Q(\blk00000003/sig00000b29 ),
+ .Q15(\NLW_blk00000003/blk00000b48_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b47 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b28 ),
+ .Q(\blk00000003/sig00000804 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b46 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d4 ),
+ .Q(\blk00000003/sig00000b28 ),
+ .Q15(\NLW_blk00000003/blk00000b46_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b45 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b27 ),
+ .Q(\blk00000003/sig00000803 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b44 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d3 ),
+ .Q(\blk00000003/sig00000b27 ),
+ .Q15(\NLW_blk00000003/blk00000b44_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b43 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b26 ),
+ .Q(\blk00000003/sig00000801 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b42 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d1 ),
+ .Q(\blk00000003/sig00000b26 ),
+ .Q15(\NLW_blk00000003/blk00000b42_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b41 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b25 ),
+ .Q(\blk00000003/sig00000800 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b40 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d0 ),
+ .Q(\blk00000003/sig00000b25 ),
+ .Q15(\NLW_blk00000003/blk00000b40_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b3f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b24 ),
+ .Q(\blk00000003/sig00000802 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b3e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d2 ),
+ .Q(\blk00000003/sig00000b24 ),
+ .Q15(\NLW_blk00000003/blk00000b3e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b3d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b23 ),
+ .Q(\blk00000003/sig000007fe )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b3c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ce ),
+ .Q(\blk00000003/sig00000b23 ),
+ .Q15(\NLW_blk00000003/blk00000b3c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b3b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b22 ),
+ .Q(\blk00000003/sig000007fd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b3a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cd ),
+ .Q(\blk00000003/sig00000b22 ),
+ .Q15(\NLW_blk00000003/blk00000b3a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b39 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b21 ),
+ .Q(\blk00000003/sig000007ff )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b38 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cf ),
+ .Q(\blk00000003/sig00000b21 ),
+ .Q15(\NLW_blk00000003/blk00000b38_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b37 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b20 ),
+ .Q(\blk00000003/sig000007fb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b36 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cb ),
+ .Q(\blk00000003/sig00000b20 ),
+ .Q15(\NLW_blk00000003/blk00000b36_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b35 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b1f ),
+ .Q(\blk00000003/sig000007fa )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b34 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ca ),
+ .Q(\blk00000003/sig00000b1f ),
+ .Q15(\NLW_blk00000003/blk00000b34_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b33 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b1e ),
+ .Q(\blk00000003/sig000007fc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b32 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cc ),
+ .Q(\blk00000003/sig00000b1e ),
+ .Q15(\NLW_blk00000003/blk00000b32_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b31 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b1d ),
+ .Q(\blk00000003/sig000007f9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b30 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c9 ),
+ .Q(\blk00000003/sig00000b1d ),
+ .Q15(\NLW_blk00000003/blk00000b30_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b2f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b1c ),
+ .Q(\blk00000003/sig000007f8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b2e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c8 ),
+ .Q(\blk00000003/sig00000b1c ),
+ .Q15(\NLW_blk00000003/blk00000b2e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b2d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b1b ),
+ .Q(\blk00000003/sig000007f7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b2c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c7 ),
+ .Q(\blk00000003/sig00000b1b ),
+ .Q15(\NLW_blk00000003/blk00000b2c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b2b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b1a ),
+ .Q(\blk00000003/sig000007f6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b2a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c6 ),
+ .Q(\blk00000003/sig00000b1a ),
+ .Q15(\NLW_blk00000003/blk00000b2a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b29 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b19 ),
+ .Q(\blk00000003/sig000007f4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b28 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c4 ),
+ .Q(\blk00000003/sig00000b19 ),
+ .Q15(\NLW_blk00000003/blk00000b28_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b27 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b18 ),
+ .Q(\blk00000003/sig000007f3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b26 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c3 ),
+ .Q(\blk00000003/sig00000b18 ),
+ .Q15(\NLW_blk00000003/blk00000b26_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b25 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b17 ),
+ .Q(\blk00000003/sig000007f5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b24 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c5 ),
+ .Q(\blk00000003/sig00000b17 ),
+ .Q15(\NLW_blk00000003/blk00000b24_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b23 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b16 ),
+ .Q(\blk00000003/sig000007f2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b22 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c2 ),
+ .Q(\blk00000003/sig00000b16 ),
+ .Q15(\NLW_blk00000003/blk00000b22_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b21 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b15 ),
+ .Q(\blk00000003/sig00000821 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b20 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f1 ),
+ .Q(\blk00000003/sig00000b15 ),
+ .Q15(\NLW_blk00000003/blk00000b20_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b1f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b14 ),
+ .Q(\blk00000003/sig00000820 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b1e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f0 ),
+ .Q(\blk00000003/sig00000b14 ),
+ .Q15(\NLW_blk00000003/blk00000b1e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b1d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b13 ),
+ .Q(\blk00000003/sig0000081f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b1c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ef ),
+ .Q(\blk00000003/sig00000b13 ),
+ .Q15(\NLW_blk00000003/blk00000b1c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b1b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b12 ),
+ .Q(\blk00000003/sig0000081d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b1a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ed ),
+ .Q(\blk00000003/sig00000b12 ),
+ .Q15(\NLW_blk00000003/blk00000b1a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b19 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b11 ),
+ .Q(\blk00000003/sig0000081c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b18 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ec ),
+ .Q(\blk00000003/sig00000b11 ),
+ .Q15(\NLW_blk00000003/blk00000b18_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b17 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b10 ),
+ .Q(\blk00000003/sig0000081e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b16 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ee ),
+ .Q(\blk00000003/sig00000b10 ),
+ .Q15(\NLW_blk00000003/blk00000b16_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b15 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b0f ),
+ .Q(\blk00000003/sig0000081a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b14 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ea ),
+ .Q(\blk00000003/sig00000b0f ),
+ .Q15(\NLW_blk00000003/blk00000b14_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b13 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b0e ),
+ .Q(\blk00000003/sig00000819 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b12 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e9 ),
+ .Q(\blk00000003/sig00000b0e ),
+ .Q15(\NLW_blk00000003/blk00000b12_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b11 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b0d ),
+ .Q(\blk00000003/sig0000081b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b10 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007eb ),
+ .Q(\blk00000003/sig00000b0d ),
+ .Q15(\NLW_blk00000003/blk00000b10_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b0f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b0c ),
+ .Q(\blk00000003/sig00000817 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b0e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e7 ),
+ .Q(\blk00000003/sig00000b0c ),
+ .Q15(\NLW_blk00000003/blk00000b0e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b0d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b0b ),
+ .Q(\blk00000003/sig00000816 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b0c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e6 ),
+ .Q(\blk00000003/sig00000b0b ),
+ .Q15(\NLW_blk00000003/blk00000b0c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b0b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b0a ),
+ .Q(\blk00000003/sig00000818 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b0a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e8 ),
+ .Q(\blk00000003/sig00000b0a ),
+ .Q15(\NLW_blk00000003/blk00000b0a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b09 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b09 ),
+ .Q(\blk00000003/sig00000815 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b08 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e5 ),
+ .Q(\blk00000003/sig00000b09 ),
+ .Q15(\NLW_blk00000003/blk00000b08_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b07 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b08 ),
+ .Q(\blk00000003/sig00000814 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b06 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e4 ),
+ .Q(\blk00000003/sig00000b08 ),
+ .Q15(\NLW_blk00000003/blk00000b06_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b05 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b07 ),
+ .Q(\blk00000003/sig00000813 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b04 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e3 ),
+ .Q(\blk00000003/sig00000b07 ),
+ .Q15(\NLW_blk00000003/blk00000b04_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b03 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b06 ),
+ .Q(\blk00000003/sig00000812 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b02 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e2 ),
+ .Q(\blk00000003/sig00000b06 ),
+ .Q15(\NLW_blk00000003/blk00000b02_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000b01 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b05 ),
+ .Q(\blk00000003/sig00000810 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000b00 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e0 ),
+ .Q(\blk00000003/sig00000b05 ),
+ .Q15(\NLW_blk00000003/blk00000b00_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aff (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b04 ),
+ .Q(\blk00000003/sig0000080f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000afe (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007df ),
+ .Q(\blk00000003/sig00000b04 ),
+ .Q15(\NLW_blk00000003/blk00000afe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000afd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b03 ),
+ .Q(\blk00000003/sig00000811 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000afc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e1 ),
+ .Q(\blk00000003/sig00000b03 ),
+ .Q15(\NLW_blk00000003/blk00000afc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000afb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b02 ),
+ .Q(\blk00000003/sig0000080d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000afa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007dd ),
+ .Q(\blk00000003/sig00000b02 ),
+ .Q15(\NLW_blk00000003/blk00000afa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000af9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b01 ),
+ .Q(\blk00000003/sig0000080c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000af8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007dc ),
+ .Q(\blk00000003/sig00000b01 ),
+ .Q15(\NLW_blk00000003/blk00000af8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000af7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000b00 ),
+ .Q(\blk00000003/sig0000080e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000af6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007de ),
+ .Q(\blk00000003/sig00000b00 ),
+ .Q15(\NLW_blk00000003/blk00000af6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000af5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000aff ),
+ .Q(\blk00000003/sig0000080a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000af4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007da ),
+ .Q(\blk00000003/sig00000aff ),
+ .Q15(\NLW_blk00000003/blk00000af4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000af3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b5 ),
+ .D(\blk00000003/sig00000afe ),
+ .Q(\blk00000003/sig0000080b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000af2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007db ),
+ .Q(\blk00000003/sig00000afe ),
+ .Q15(\NLW_blk00000003/blk00000af2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000af1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000afd ),
+ .Q(\blk00000003/sig00000937 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000af0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000760 ),
+ .Q(\blk00000003/sig00000afd ),
+ .Q15(\NLW_blk00000003/blk00000af0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000afc ),
+ .Q(\blk00000003/sig000001c8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aee (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a9a ),
+ .Q(\blk00000003/sig00000afc ),
+ .Q15(\NLW_blk00000003/blk00000aee_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000afb ),
+ .Q(\blk00000003/sig000001c7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aec (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a98 ),
+ .Q(\blk00000003/sig00000afb ),
+ .Q15(\NLW_blk00000003/blk00000aec_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aeb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000afa ),
+ .Q(\blk00000003/sig000001c9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aea (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a96 ),
+ .Q(\blk00000003/sig00000afa ),
+ .Q15(\NLW_blk00000003/blk00000aea_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ae9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af9 ),
+ .Q(\blk00000003/sig000001c5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ae8 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a94 ),
+ .Q(\blk00000003/sig00000af9 ),
+ .Q15(\NLW_blk00000003/blk00000ae8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ae7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af8 ),
+ .Q(\blk00000003/sig000001c4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ae6 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a92 ),
+ .Q(\blk00000003/sig00000af8 ),
+ .Q15(\NLW_blk00000003/blk00000ae6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ae5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af7 ),
+ .Q(\blk00000003/sig000001c6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ae4 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a90 ),
+ .Q(\blk00000003/sig00000af7 ),
+ .Q15(\NLW_blk00000003/blk00000ae4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ae3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af6 ),
+ .Q(\blk00000003/sig000001c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ae2 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a8e ),
+ .Q(\blk00000003/sig00000af6 ),
+ .Q15(\NLW_blk00000003/blk00000ae2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ae1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af5 ),
+ .Q(\blk00000003/sig000001c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ae0 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a8c ),
+ .Q(\blk00000003/sig00000af5 ),
+ .Q15(\NLW_blk00000003/blk00000ae0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000adf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af4 ),
+ .Q(\blk00000003/sig000001c3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ade (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a8a ),
+ .Q(\blk00000003/sig00000af4 ),
+ .Q15(\NLW_blk00000003/blk00000ade_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000add (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af3 ),
+ .Q(\blk00000003/sig000001bf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000adc (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a86 ),
+ .Q(\blk00000003/sig00000af3 ),
+ .Q15(\NLW_blk00000003/blk00000adc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000adb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af2 ),
+ .Q(\blk00000003/sig000001be )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ada (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a84 ),
+ .Q(\blk00000003/sig00000af2 ),
+ .Q15(\NLW_blk00000003/blk00000ada_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ad9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af1 ),
+ .Q(\blk00000003/sig000001c0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ad8 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a88 ),
+ .Q(\blk00000003/sig00000af1 ),
+ .Q15(\NLW_blk00000003/blk00000ad8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ad7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000af0 ),
+ .Q(\blk00000003/sig000001bc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ad6 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a7c ),
+ .Q(\blk00000003/sig00000af0 ),
+ .Q15(\NLW_blk00000003/blk00000ad6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ad5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000aef ),
+ .Q(\blk00000003/sig000001bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ad4 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a80 ),
+ .Q(\blk00000003/sig00000aef ),
+ .Q15(\NLW_blk00000003/blk00000ad4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ad3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000aee ),
+ .Q(\blk00000003/sig000001bd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ad2 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a82 ),
+ .Q(\blk00000003/sig00000aee ),
+ .Q15(\NLW_blk00000003/blk00000ad2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ad1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000aed ),
+ .Q(\blk00000003/sig000001b9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ad0 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a76 ),
+ .Q(\blk00000003/sig00000aed ),
+ .Q15(\NLW_blk00000003/blk00000ad0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000acf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000aec ),
+ .Q(\blk00000003/sig000001b8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ace (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a7a ),
+ .Q(\blk00000003/sig00000aec ),
+ .Q15(\NLW_blk00000003/blk00000ace_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000acd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000aeb ),
+ .Q(\blk00000003/sig000001ba )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000acc (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a7e ),
+ .Q(\blk00000003/sig00000aeb ),
+ .Q15(\NLW_blk00000003/blk00000acc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000acb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000aea ),
+ .Q(\blk00000003/sig000001b7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aca (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a78 ),
+ .Q(\blk00000003/sig00000aea ),
+ .Q15(\NLW_blk00000003/blk00000aca_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ac9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae9 ),
+ .Q(\blk00000003/sig000001b6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ac8 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a70 ),
+ .Q(\blk00000003/sig00000ae9 ),
+ .Q15(\NLW_blk00000003/blk00000ac8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ac7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae8 ),
+ .Q(\blk00000003/sig000001b5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ac6 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a74 ),
+ .Q(\blk00000003/sig00000ae8 ),
+ .Q15(\NLW_blk00000003/blk00000ac6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ac5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae7 ),
+ .Q(\blk00000003/sig000001b4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ac4 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a72 ),
+ .Q(\blk00000003/sig00000ae7 ),
+ .Q15(\NLW_blk00000003/blk00000ac4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ac3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae6 ),
+ .Q(\blk00000003/sig000001b2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ac2 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a6c ),
+ .Q(\blk00000003/sig00000ae6 ),
+ .Q15(\NLW_blk00000003/blk00000ac2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ac1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae5 ),
+ .Q(\blk00000003/sig00000152 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ac0 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a6a ),
+ .Q(\blk00000003/sig00000ae5 ),
+ .Q15(\NLW_blk00000003/blk00000ac0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000abf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae4 ),
+ .Q(\blk00000003/sig000001b3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000abe (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a6e ),
+ .Q(\blk00000003/sig00000ae4 ),
+ .Q15(\NLW_blk00000003/blk00000abe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000abd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae3 ),
+ .Q(\blk00000003/sig00000150 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000abc (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a62 ),
+ .Q(\blk00000003/sig00000ae3 ),
+ .Q15(\NLW_blk00000003/blk00000abc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000abb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae2 ),
+ .Q(\blk00000003/sig0000014f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aba (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a66 ),
+ .Q(\blk00000003/sig00000ae2 ),
+ .Q15(\NLW_blk00000003/blk00000aba_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ab9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae1 ),
+ .Q(\blk00000003/sig00000151 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ab8 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a68 ),
+ .Q(\blk00000003/sig00000ae1 ),
+ .Q15(\NLW_blk00000003/blk00000ab8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ab7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ae0 ),
+ .Q(\blk00000003/sig0000014d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ab6 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a5c ),
+ .Q(\blk00000003/sig00000ae0 ),
+ .Q15(\NLW_blk00000003/blk00000ab6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ab5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000adf ),
+ .Q(\blk00000003/sig0000014c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ab4 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a60 ),
+ .Q(\blk00000003/sig00000adf ),
+ .Q15(\NLW_blk00000003/blk00000ab4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ab3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ade ),
+ .Q(\blk00000003/sig0000014e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ab2 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a64 ),
+ .Q(\blk00000003/sig00000ade ),
+ .Q15(\NLW_blk00000003/blk00000ab2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000ab1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000add ),
+ .Q(\blk00000003/sig0000014b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000ab0 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a5e ),
+ .Q(\blk00000003/sig00000add ),
+ .Q15(\NLW_blk00000003/blk00000ab0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aaf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000adc ),
+ .Q(\blk00000003/sig0000014a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aae (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a56 ),
+ .Q(\blk00000003/sig00000adc ),
+ .Q15(\NLW_blk00000003/blk00000aae_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000adb ),
+ .Q(\blk00000003/sig00000149 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aac (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a5a ),
+ .Q(\blk00000003/sig00000adb ),
+ .Q15(\NLW_blk00000003/blk00000aac_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ada ),
+ .Q(\blk00000003/sig00000148 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aaa (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a58 ),
+ .Q(\blk00000003/sig00000ada ),
+ .Q15(\NLW_blk00000003/blk00000aaa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aa9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad9 ),
+ .Q(\blk00000003/sig00000146 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aa8 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a52 ),
+ .Q(\blk00000003/sig00000ad9 ),
+ .Q15(\NLW_blk00000003/blk00000aa8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aa7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad8 ),
+ .Q(\blk00000003/sig00000145 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aa6 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a50 ),
+ .Q(\blk00000003/sig00000ad8 ),
+ .Q15(\NLW_blk00000003/blk00000aa6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aa5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad7 ),
+ .Q(\blk00000003/sig00000147 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aa4 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a54 ),
+ .Q(\blk00000003/sig00000ad7 ),
+ .Q15(\NLW_blk00000003/blk00000aa4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aa3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad6 ),
+ .Q(\blk00000003/sig00000143 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aa2 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a48 ),
+ .Q(\blk00000003/sig00000ad6 ),
+ .Q15(\NLW_blk00000003/blk00000aa2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000aa1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad5 ),
+ .Q(\blk00000003/sig00000142 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000aa0 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a4c ),
+ .Q(\blk00000003/sig00000ad5 ),
+ .Q15(\NLW_blk00000003/blk00000aa0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a9f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad4 ),
+ .Q(\blk00000003/sig00000144 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a9e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a4e ),
+ .Q(\blk00000003/sig00000ad4 ),
+ .Q15(\NLW_blk00000003/blk00000a9e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a9d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad3 ),
+ .Q(\blk00000003/sig00000140 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a9c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a42 ),
+ .Q(\blk00000003/sig00000ad3 ),
+ .Q15(\NLW_blk00000003/blk00000a9c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a9b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad2 ),
+ .Q(\blk00000003/sig0000013f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a9a (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a46 ),
+ .Q(\blk00000003/sig00000ad2 ),
+ .Q15(\NLW_blk00000003/blk00000a9a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a99 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad1 ),
+ .Q(\blk00000003/sig00000141 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a98 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a4a ),
+ .Q(\blk00000003/sig00000ad1 ),
+ .Q15(\NLW_blk00000003/blk00000a98_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a97 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ad0 ),
+ .Q(\blk00000003/sig0000013e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a96 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a44 ),
+ .Q(\blk00000003/sig00000ad0 ),
+ .Q15(\NLW_blk00000003/blk00000a96_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a95 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000acf ),
+ .Q(\blk00000003/sig0000013d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a94 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a3c ),
+ .Q(\blk00000003/sig00000acf ),
+ .Q15(\NLW_blk00000003/blk00000a94_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a93 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000ace ),
+ .Q(\blk00000003/sig0000013c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a92 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a40 ),
+ .Q(\blk00000003/sig00000ace ),
+ .Q15(\NLW_blk00000003/blk00000a92_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a91 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000acd ),
+ .Q(\blk00000003/sig0000013b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a90 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000a3e ),
+ .Q(\blk00000003/sig00000acd ),
+ .Q15(\NLW_blk00000003/blk00000a90_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a8f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000acc ),
+ .Q(\blk00000003/sig000007d9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a8e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a9 ),
+ .Q(\blk00000003/sig00000acc ),
+ .Q15(\NLW_blk00000003/blk00000a8e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a8d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000acb ),
+ .Q(\blk00000003/sig000007d8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a8c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a8 ),
+ .Q(\blk00000003/sig00000acb ),
+ .Q15(\NLW_blk00000003/blk00000a8c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a8b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aca ),
+ .Q(\blk00000003/sig000007d6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a8a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a6 ),
+ .Q(\blk00000003/sig00000aca ),
+ .Q15(\NLW_blk00000003/blk00000a8a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a89 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac9 ),
+ .Q(\blk00000003/sig000007d5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a88 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a5 ),
+ .Q(\blk00000003/sig00000ac9 ),
+ .Q15(\NLW_blk00000003/blk00000a88_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a87 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac8 ),
+ .Q(\blk00000003/sig000007d7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a86 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a7 ),
+ .Q(\blk00000003/sig00000ac8 ),
+ .Q15(\NLW_blk00000003/blk00000a86_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a85 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac7 ),
+ .Q(\blk00000003/sig000007d4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a84 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a4 ),
+ .Q(\blk00000003/sig00000ac7 ),
+ .Q15(\NLW_blk00000003/blk00000a84_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a83 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac6 ),
+ .Q(\blk00000003/sig000007d3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a82 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a3 ),
+ .Q(\blk00000003/sig00000ac6 ),
+ .Q15(\NLW_blk00000003/blk00000a82_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a81 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac5 ),
+ .Q(\blk00000003/sig000007d2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a80 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a2 ),
+ .Q(\blk00000003/sig00000ac5 ),
+ .Q15(\NLW_blk00000003/blk00000a80_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a7f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac4 ),
+ .Q(\blk00000003/sig000007d1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a7e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a1 ),
+ .Q(\blk00000003/sig00000ac4 ),
+ .Q15(\NLW_blk00000003/blk00000a7e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a7d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac3 ),
+ .Q(\blk00000003/sig000007cf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a7c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079f ),
+ .Q(\blk00000003/sig00000ac3 ),
+ .Q15(\NLW_blk00000003/blk00000a7c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a7b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac2 ),
+ .Q(\blk00000003/sig000007ce )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a7a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079e ),
+ .Q(\blk00000003/sig00000ac2 ),
+ .Q15(\NLW_blk00000003/blk00000a7a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a79 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac1 ),
+ .Q(\blk00000003/sig000007d0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a78 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a0 ),
+ .Q(\blk00000003/sig00000ac1 ),
+ .Q15(\NLW_blk00000003/blk00000a78_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a77 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ac0 ),
+ .Q(\blk00000003/sig000007cc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a76 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079c ),
+ .Q(\blk00000003/sig00000ac0 ),
+ .Q15(\NLW_blk00000003/blk00000a76_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a75 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000abf ),
+ .Q(\blk00000003/sig000007cb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a74 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079b ),
+ .Q(\blk00000003/sig00000abf ),
+ .Q15(\NLW_blk00000003/blk00000a74_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a73 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000abe ),
+ .Q(\blk00000003/sig000007cd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a72 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079d ),
+ .Q(\blk00000003/sig00000abe ),
+ .Q15(\NLW_blk00000003/blk00000a72_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a71 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000abd ),
+ .Q(\blk00000003/sig000007c9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a70 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000799 ),
+ .Q(\blk00000003/sig00000abd ),
+ .Q15(\NLW_blk00000003/blk00000a70_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a6f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000abc ),
+ .Q(\blk00000003/sig000007c8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a6e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000798 ),
+ .Q(\blk00000003/sig00000abc ),
+ .Q15(\NLW_blk00000003/blk00000a6e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a6d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000abb ),
+ .Q(\blk00000003/sig000007ca )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a6c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079a ),
+ .Q(\blk00000003/sig00000abb ),
+ .Q15(\NLW_blk00000003/blk00000a6c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a6b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aba ),
+ .Q(\blk00000003/sig000007c7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a6a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000797 ),
+ .Q(\blk00000003/sig00000aba ),
+ .Q15(\NLW_blk00000003/blk00000a6a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a69 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab9 ),
+ .Q(\blk00000003/sig000007c6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a68 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000796 ),
+ .Q(\blk00000003/sig00000ab9 ),
+ .Q15(\NLW_blk00000003/blk00000a68_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a67 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab8 ),
+ .Q(\blk00000003/sig000007c5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a66 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000795 ),
+ .Q(\blk00000003/sig00000ab8 ),
+ .Q15(\NLW_blk00000003/blk00000a66_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a65 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab7 ),
+ .Q(\blk00000003/sig000007c4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a64 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000794 ),
+ .Q(\blk00000003/sig00000ab7 ),
+ .Q15(\NLW_blk00000003/blk00000a64_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a63 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab6 ),
+ .Q(\blk00000003/sig000007c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a62 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000792 ),
+ .Q(\blk00000003/sig00000ab6 ),
+ .Q15(\NLW_blk00000003/blk00000a62_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a61 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab5 ),
+ .Q(\blk00000003/sig000007c3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a60 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000793 ),
+ .Q(\blk00000003/sig00000ab5 ),
+ .Q15(\NLW_blk00000003/blk00000a60_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a5f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab4 ),
+ .Q(\blk00000003/sig000007f1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a5e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c1 ),
+ .Q(\blk00000003/sig00000ab4 ),
+ .Q15(\NLW_blk00000003/blk00000a5e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a5d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab3 ),
+ .Q(\blk00000003/sig000007f0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a5c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c0 ),
+ .Q(\blk00000003/sig00000ab3 ),
+ .Q15(\NLW_blk00000003/blk00000a5c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a5b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab2 ),
+ .Q(\blk00000003/sig000007ef )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a5a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bf ),
+ .Q(\blk00000003/sig00000ab2 ),
+ .Q15(\NLW_blk00000003/blk00000a5a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a59 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab1 ),
+ .Q(\blk00000003/sig000007ee )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a58 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007be ),
+ .Q(\blk00000003/sig00000ab1 ),
+ .Q15(\NLW_blk00000003/blk00000a58_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a57 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000ab0 ),
+ .Q(\blk00000003/sig000007ed )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a56 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bd ),
+ .Q(\blk00000003/sig00000ab0 ),
+ .Q15(\NLW_blk00000003/blk00000a56_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a55 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aaf ),
+ .Q(\blk00000003/sig000007eb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a54 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bb ),
+ .Q(\blk00000003/sig00000aaf ),
+ .Q15(\NLW_blk00000003/blk00000a54_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a53 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aae ),
+ .Q(\blk00000003/sig000007ea )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a52 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ba ),
+ .Q(\blk00000003/sig00000aae ),
+ .Q15(\NLW_blk00000003/blk00000a52_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a51 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aad ),
+ .Q(\blk00000003/sig000007ec )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a50 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bc ),
+ .Q(\blk00000003/sig00000aad ),
+ .Q15(\NLW_blk00000003/blk00000a50_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a4f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aac ),
+ .Q(\blk00000003/sig000007e8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a4e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b8 ),
+ .Q(\blk00000003/sig00000aac ),
+ .Q15(\NLW_blk00000003/blk00000a4e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a4d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aab ),
+ .Q(\blk00000003/sig000007e7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a4c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b7 ),
+ .Q(\blk00000003/sig00000aab ),
+ .Q15(\NLW_blk00000003/blk00000a4c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a4b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aaa ),
+ .Q(\blk00000003/sig000007e9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a4a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b9 ),
+ .Q(\blk00000003/sig00000aaa ),
+ .Q15(\NLW_blk00000003/blk00000a4a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a49 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa9 ),
+ .Q(\blk00000003/sig000007e5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a48 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b5 ),
+ .Q(\blk00000003/sig00000aa9 ),
+ .Q15(\NLW_blk00000003/blk00000a48_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a47 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa8 ),
+ .Q(\blk00000003/sig000007e4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a46 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b4 ),
+ .Q(\blk00000003/sig00000aa8 ),
+ .Q15(\NLW_blk00000003/blk00000a46_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a45 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa7 ),
+ .Q(\blk00000003/sig000007e6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a44 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b6 ),
+ .Q(\blk00000003/sig00000aa7 ),
+ .Q15(\NLW_blk00000003/blk00000a44_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a43 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa6 ),
+ .Q(\blk00000003/sig000007e3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a42 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b3 ),
+ .Q(\blk00000003/sig00000aa6 ),
+ .Q15(\NLW_blk00000003/blk00000a42_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a41 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa5 ),
+ .Q(\blk00000003/sig000007e2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a40 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b2 ),
+ .Q(\blk00000003/sig00000aa5 ),
+ .Q15(\NLW_blk00000003/blk00000a40_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a3f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa4 ),
+ .Q(\blk00000003/sig000007e1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a3e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b1 ),
+ .Q(\blk00000003/sig00000aa4 ),
+ .Q15(\NLW_blk00000003/blk00000a3e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a3d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa3 ),
+ .Q(\blk00000003/sig000007e0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a3c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b0 ),
+ .Q(\blk00000003/sig00000aa3 ),
+ .Q15(\NLW_blk00000003/blk00000a3c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a3b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa2 ),
+ .Q(\blk00000003/sig000007de )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a3a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ae ),
+ .Q(\blk00000003/sig00000aa2 ),
+ .Q15(\NLW_blk00000003/blk00000a3a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a39 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa1 ),
+ .Q(\blk00000003/sig000007dd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a38 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ad ),
+ .Q(\blk00000003/sig00000aa1 ),
+ .Q15(\NLW_blk00000003/blk00000a38_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a37 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000aa0 ),
+ .Q(\blk00000003/sig000007df )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a36 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007af ),
+ .Q(\blk00000003/sig00000aa0 ),
+ .Q15(\NLW_blk00000003/blk00000a36_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a35 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000a9f ),
+ .Q(\blk00000003/sig000007db )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a34 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ab ),
+ .Q(\blk00000003/sig00000a9f ),
+ .Q15(\NLW_blk00000003/blk00000a34_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a33 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000a9e ),
+ .Q(\blk00000003/sig000007da )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a32 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007aa ),
+ .Q(\blk00000003/sig00000a9e ),
+ .Q15(\NLW_blk00000003/blk00000a32_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a31 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b4 ),
+ .D(\blk00000003/sig00000a9d ),
+ .Q(\blk00000003/sig000007dc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a30 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig000009b4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ac ),
+ .Q(\blk00000003/sig00000a9d ),
+ .Q15(\NLW_blk00000003/blk00000a30_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a2f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a9c ),
+ .Q(\blk00000003/sig00000761 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a2e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001de ),
+ .Q(\blk00000003/sig00000a9c ),
+ .Q15(\NLW_blk00000003/blk00000a2e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a2d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a9b ),
+ .Q(\blk00000003/sig000009ad )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a2c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ca ),
+ .Q(\blk00000003/sig00000a9b ),
+ .Q15(\NLW_blk00000003/blk00000a2c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a2b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a99 ),
+ .Q(\blk00000003/sig00000a9a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a2a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000218 ),
+ .Q(\blk00000003/sig00000a99 ),
+ .Q15(\NLW_blk00000003/blk00000a2a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a29 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a97 ),
+ .Q(\blk00000003/sig00000a98 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a28 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000217 ),
+ .Q(\blk00000003/sig00000a97 ),
+ .Q15(\NLW_blk00000003/blk00000a28_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a27 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a95 ),
+ .Q(\blk00000003/sig00000a96 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a26 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000219 ),
+ .Q(\blk00000003/sig00000a95 ),
+ .Q15(\NLW_blk00000003/blk00000a26_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a25 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a93 ),
+ .Q(\blk00000003/sig00000a94 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a24 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000215 ),
+ .Q(\blk00000003/sig00000a93 ),
+ .Q15(\NLW_blk00000003/blk00000a24_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a23 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a91 ),
+ .Q(\blk00000003/sig00000a92 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a22 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000214 ),
+ .Q(\blk00000003/sig00000a91 ),
+ .Q15(\NLW_blk00000003/blk00000a22_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a21 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a8f ),
+ .Q(\blk00000003/sig00000a90 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a20 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000216 ),
+ .Q(\blk00000003/sig00000a8f ),
+ .Q15(\NLW_blk00000003/blk00000a20_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a1f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a8d ),
+ .Q(\blk00000003/sig00000a8e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a1e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000212 ),
+ .Q(\blk00000003/sig00000a8d ),
+ .Q15(\NLW_blk00000003/blk00000a1e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a1d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a8b ),
+ .Q(\blk00000003/sig00000a8c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a1c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000211 ),
+ .Q(\blk00000003/sig00000a8b ),
+ .Q15(\NLW_blk00000003/blk00000a1c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a1b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a89 ),
+ .Q(\blk00000003/sig00000a8a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a1a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000213 ),
+ .Q(\blk00000003/sig00000a89 ),
+ .Q15(\NLW_blk00000003/blk00000a1a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a19 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a87 ),
+ .Q(\blk00000003/sig00000a88 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a18 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000210 ),
+ .Q(\blk00000003/sig00000a87 ),
+ .Q15(\NLW_blk00000003/blk00000a18_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a17 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a85 ),
+ .Q(\blk00000003/sig00000a86 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a16 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020f ),
+ .Q(\blk00000003/sig00000a85 ),
+ .Q15(\NLW_blk00000003/blk00000a16_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a15 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a83 ),
+ .Q(\blk00000003/sig00000a84 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a14 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020e ),
+ .Q(\blk00000003/sig00000a83 ),
+ .Q15(\NLW_blk00000003/blk00000a14_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a13 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a81 ),
+ .Q(\blk00000003/sig00000a82 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a12 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020d ),
+ .Q(\blk00000003/sig00000a81 ),
+ .Q15(\NLW_blk00000003/blk00000a12_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a11 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a7f ),
+ .Q(\blk00000003/sig00000a80 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a10 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020b ),
+ .Q(\blk00000003/sig00000a7f ),
+ .Q15(\NLW_blk00000003/blk00000a10_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a0f (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a7d ),
+ .Q(\blk00000003/sig00000a7e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a0e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020a ),
+ .Q(\blk00000003/sig00000a7d ),
+ .Q15(\NLW_blk00000003/blk00000a0e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a0d (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a7b ),
+ .Q(\blk00000003/sig00000a7c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a0c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020c ),
+ .Q(\blk00000003/sig00000a7b ),
+ .Q15(\NLW_blk00000003/blk00000a0c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a0b (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a79 ),
+ .Q(\blk00000003/sig00000a7a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a0a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000208 ),
+ .Q(\blk00000003/sig00000a79 ),
+ .Q15(\NLW_blk00000003/blk00000a0a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a09 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a77 ),
+ .Q(\blk00000003/sig00000a78 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a08 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000207 ),
+ .Q(\blk00000003/sig00000a77 ),
+ .Q15(\NLW_blk00000003/blk00000a08_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a07 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a75 ),
+ .Q(\blk00000003/sig00000a76 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a06 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000209 ),
+ .Q(\blk00000003/sig00000a75 ),
+ .Q15(\NLW_blk00000003/blk00000a06_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a05 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a73 ),
+ .Q(\blk00000003/sig00000a74 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a04 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000205 ),
+ .Q(\blk00000003/sig00000a73 ),
+ .Q15(\NLW_blk00000003/blk00000a04_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a03 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a71 ),
+ .Q(\blk00000003/sig00000a72 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a02 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000204 ),
+ .Q(\blk00000003/sig00000a71 ),
+ .Q15(\NLW_blk00000003/blk00000a02_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000a01 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a6f ),
+ .Q(\blk00000003/sig00000a70 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000a00 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000206 ),
+ .Q(\blk00000003/sig00000a6f ),
+ .Q15(\NLW_blk00000003/blk00000a00_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009ff (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a6d ),
+ .Q(\blk00000003/sig00000a6e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009fe (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000203 ),
+ .Q(\blk00000003/sig00000a6d ),
+ .Q15(\NLW_blk00000003/blk000009fe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009fd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a6b ),
+ .Q(\blk00000003/sig00000a6c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009fc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000202 ),
+ .Q(\blk00000003/sig00000a6b ),
+ .Q15(\NLW_blk00000003/blk000009fc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009fb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a69 ),
+ .Q(\blk00000003/sig00000a6a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009fa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000201 ),
+ .Q(\blk00000003/sig00000a69 ),
+ .Q15(\NLW_blk00000003/blk000009fa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009f9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a67 ),
+ .Q(\blk00000003/sig00000a68 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009f8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000200 ),
+ .Q(\blk00000003/sig00000a67 ),
+ .Q15(\NLW_blk00000003/blk000009f8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009f7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a65 ),
+ .Q(\blk00000003/sig00000a66 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009f6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fe ),
+ .Q(\blk00000003/sig00000a65 ),
+ .Q15(\NLW_blk00000003/blk000009f6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009f5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a63 ),
+ .Q(\blk00000003/sig00000a64 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009f4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fd ),
+ .Q(\blk00000003/sig00000a63 ),
+ .Q15(\NLW_blk00000003/blk000009f4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009f3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a61 ),
+ .Q(\blk00000003/sig00000a62 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009f2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ff ),
+ .Q(\blk00000003/sig00000a61 ),
+ .Q15(\NLW_blk00000003/blk000009f2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009f1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a5f ),
+ .Q(\blk00000003/sig00000a60 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009f0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fb ),
+ .Q(\blk00000003/sig00000a5f ),
+ .Q15(\NLW_blk00000003/blk000009f0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009ef (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a5d ),
+ .Q(\blk00000003/sig00000a5e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ee (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fa ),
+ .Q(\blk00000003/sig00000a5d ),
+ .Q15(\NLW_blk00000003/blk000009ee_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009ed (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a5b ),
+ .Q(\blk00000003/sig00000a5c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ec (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fc ),
+ .Q(\blk00000003/sig00000a5b ),
+ .Q15(\NLW_blk00000003/blk000009ec_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009eb (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a59 ),
+ .Q(\blk00000003/sig00000a5a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ea (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f8 ),
+ .Q(\blk00000003/sig00000a59 ),
+ .Q15(\NLW_blk00000003/blk000009ea_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009e9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a57 ),
+ .Q(\blk00000003/sig00000a58 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009e8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f7 ),
+ .Q(\blk00000003/sig00000a57 ),
+ .Q15(\NLW_blk00000003/blk000009e8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009e7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a55 ),
+ .Q(\blk00000003/sig00000a56 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009e6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f9 ),
+ .Q(\blk00000003/sig00000a55 ),
+ .Q15(\NLW_blk00000003/blk000009e6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009e5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a53 ),
+ .Q(\blk00000003/sig00000a54 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009e4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f6 ),
+ .Q(\blk00000003/sig00000a53 ),
+ .Q15(\NLW_blk00000003/blk000009e4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009e3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a51 ),
+ .Q(\blk00000003/sig00000a52 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009e2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f5 ),
+ .Q(\blk00000003/sig00000a51 ),
+ .Q15(\NLW_blk00000003/blk000009e2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009e1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a4f ),
+ .Q(\blk00000003/sig00000a50 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009e0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f4 ),
+ .Q(\blk00000003/sig00000a4f ),
+ .Q15(\NLW_blk00000003/blk000009e0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009df (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a4d ),
+ .Q(\blk00000003/sig00000a4e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009de (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f3 ),
+ .Q(\blk00000003/sig00000a4d ),
+ .Q15(\NLW_blk00000003/blk000009de_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009dd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a4b ),
+ .Q(\blk00000003/sig00000a4c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009dc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f1 ),
+ .Q(\blk00000003/sig00000a4b ),
+ .Q15(\NLW_blk00000003/blk000009dc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009db (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a49 ),
+ .Q(\blk00000003/sig00000a4a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009da (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f0 ),
+ .Q(\blk00000003/sig00000a49 ),
+ .Q15(\NLW_blk00000003/blk000009da_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009d9 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a47 ),
+ .Q(\blk00000003/sig00000a48 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009d8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f2 ),
+ .Q(\blk00000003/sig00000a47 ),
+ .Q15(\NLW_blk00000003/blk000009d8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009d7 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a45 ),
+ .Q(\blk00000003/sig00000a46 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009d6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ee ),
+ .Q(\blk00000003/sig00000a45 ),
+ .Q15(\NLW_blk00000003/blk000009d6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009d5 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a43 ),
+ .Q(\blk00000003/sig00000a44 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009d4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ed ),
+ .Q(\blk00000003/sig00000a43 ),
+ .Q15(\NLW_blk00000003/blk000009d4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009d3 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a41 ),
+ .Q(\blk00000003/sig00000a42 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009d2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ef ),
+ .Q(\blk00000003/sig00000a41 ),
+ .Q15(\NLW_blk00000003/blk000009d2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009d1 (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a3f ),
+ .Q(\blk00000003/sig00000a40 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009d0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001eb ),
+ .Q(\blk00000003/sig00000a3f ),
+ .Q15(\NLW_blk00000003/blk000009d0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009cf (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a3d ),
+ .Q(\blk00000003/sig00000a3e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ce (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ea ),
+ .Q(\blk00000003/sig00000a3d ),
+ .Q15(\NLW_blk00000003/blk000009ce_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009cd (
+ .C(clk),
+ .CE(\blk00000003/sig000009b8 ),
+ .D(\blk00000003/sig00000a3b ),
+ .Q(\blk00000003/sig00000a3c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009cc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(NlwRenamedSig_OI_rfd),
+ .CE(\blk00000003/sig000009b8 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ec ),
+ .Q(\blk00000003/sig00000a3b ),
+ .Q15(\NLW_blk00000003/blk000009cc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009cb (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a3a ),
+ .Q(\blk00000003/sig000007a9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ca (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000779 ),
+ .Q(\blk00000003/sig00000a3a ),
+ .Q15(\NLW_blk00000003/blk000009ca_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009c9 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a39 ),
+ .Q(\blk00000003/sig000007a7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009c8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000777 ),
+ .Q(\blk00000003/sig00000a39 ),
+ .Q15(\NLW_blk00000003/blk000009c8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009c7 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a38 ),
+ .Q(\blk00000003/sig000007a6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009c6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000776 ),
+ .Q(\blk00000003/sig00000a38 ),
+ .Q15(\NLW_blk00000003/blk000009c6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009c5 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a37 ),
+ .Q(\blk00000003/sig000007a8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009c4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000778 ),
+ .Q(\blk00000003/sig00000a37 ),
+ .Q15(\NLW_blk00000003/blk000009c4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009c3 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a36 ),
+ .Q(\blk00000003/sig000007a4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009c2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000774 ),
+ .Q(\blk00000003/sig00000a36 ),
+ .Q15(\NLW_blk00000003/blk000009c2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009c1 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a35 ),
+ .Q(\blk00000003/sig000007a3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009c0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000773 ),
+ .Q(\blk00000003/sig00000a35 ),
+ .Q15(\NLW_blk00000003/blk000009c0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009bf (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a34 ),
+ .Q(\blk00000003/sig000007a5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009be (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000775 ),
+ .Q(\blk00000003/sig00000a34 ),
+ .Q15(\NLW_blk00000003/blk000009be_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009bd (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a33 ),
+ .Q(\blk00000003/sig000007a2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009bc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000772 ),
+ .Q(\blk00000003/sig00000a33 ),
+ .Q15(\NLW_blk00000003/blk000009bc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009bb (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a32 ),
+ .Q(\blk00000003/sig000007a1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ba (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000771 ),
+ .Q(\blk00000003/sig00000a32 ),
+ .Q15(\NLW_blk00000003/blk000009ba_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009b9 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a31 ),
+ .Q(\blk00000003/sig000007a0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009b8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000770 ),
+ .Q(\blk00000003/sig00000a31 ),
+ .Q15(\NLW_blk00000003/blk000009b8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009b7 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a30 ),
+ .Q(\blk00000003/sig0000079f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009b6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076f ),
+ .Q(\blk00000003/sig00000a30 ),
+ .Q15(\NLW_blk00000003/blk000009b6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009b5 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a2f ),
+ .Q(\blk00000003/sig0000079d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009b4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076d ),
+ .Q(\blk00000003/sig00000a2f ),
+ .Q15(\NLW_blk00000003/blk000009b4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009b3 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a2e ),
+ .Q(\blk00000003/sig0000079c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009b2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076c ),
+ .Q(\blk00000003/sig00000a2e ),
+ .Q15(\NLW_blk00000003/blk000009b2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009b1 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a2d ),
+ .Q(\blk00000003/sig0000079e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009b0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076e ),
+ .Q(\blk00000003/sig00000a2d ),
+ .Q15(\NLW_blk00000003/blk000009b0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009af (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a2c ),
+ .Q(\blk00000003/sig0000079a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ae (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076a ),
+ .Q(\blk00000003/sig00000a2c ),
+ .Q15(\NLW_blk00000003/blk000009ae_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009ad (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a2b ),
+ .Q(\blk00000003/sig00000799 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009ac (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000769 ),
+ .Q(\blk00000003/sig00000a2b ),
+ .Q15(\NLW_blk00000003/blk000009ac_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009ab (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a2a ),
+ .Q(\blk00000003/sig0000079b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009aa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076b ),
+ .Q(\blk00000003/sig00000a2a ),
+ .Q15(\NLW_blk00000003/blk000009aa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009a9 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a29 ),
+ .Q(\blk00000003/sig00000797 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009a8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000767 ),
+ .Q(\blk00000003/sig00000a29 ),
+ .Q15(\NLW_blk00000003/blk000009a8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009a7 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a28 ),
+ .Q(\blk00000003/sig00000796 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009a6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000766 ),
+ .Q(\blk00000003/sig00000a28 ),
+ .Q15(\NLW_blk00000003/blk000009a6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009a5 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a27 ),
+ .Q(\blk00000003/sig00000798 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009a4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000768 ),
+ .Q(\blk00000003/sig00000a27 ),
+ .Q15(\NLW_blk00000003/blk000009a4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009a3 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a26 ),
+ .Q(\blk00000003/sig00000794 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009a2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000764 ),
+ .Q(\blk00000003/sig00000a26 ),
+ .Q15(\NLW_blk00000003/blk000009a2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000009a1 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a25 ),
+ .Q(\blk00000003/sig00000793 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000009a0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000763 ),
+ .Q(\blk00000003/sig00000a25 ),
+ .Q15(\NLW_blk00000003/blk000009a0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000099f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a24 ),
+ .Q(\blk00000003/sig00000795 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000099e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000765 ),
+ .Q(\blk00000003/sig00000a24 ),
+ .Q15(\NLW_blk00000003/blk0000099e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000099d (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a23 ),
+ .Q(\blk00000003/sig00000792 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000099c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000762 ),
+ .Q(\blk00000003/sig00000a23 ),
+ .Q15(\NLW_blk00000003/blk0000099c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000099b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a22 ),
+ .Q(\blk00000003/sig000007c0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000099a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000790 ),
+ .Q(\blk00000003/sig00000a22 ),
+ .Q15(\NLW_blk00000003/blk0000099a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000999 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a21 ),
+ .Q(\blk00000003/sig000007bf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000998 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078f ),
+ .Q(\blk00000003/sig00000a21 ),
+ .Q15(\NLW_blk00000003/blk00000998_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000997 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a20 ),
+ .Q(\blk00000003/sig000007c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000996 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000791 ),
+ .Q(\blk00000003/sig00000a20 ),
+ .Q15(\NLW_blk00000003/blk00000996_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000995 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a1f ),
+ .Q(\blk00000003/sig000007bd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000994 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078d ),
+ .Q(\blk00000003/sig00000a1f ),
+ .Q15(\NLW_blk00000003/blk00000994_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000993 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a1e ),
+ .Q(\blk00000003/sig000007bc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000992 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078c ),
+ .Q(\blk00000003/sig00000a1e ),
+ .Q15(\NLW_blk00000003/blk00000992_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000991 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a1d ),
+ .Q(\blk00000003/sig000007be )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000990 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078e ),
+ .Q(\blk00000003/sig00000a1d ),
+ .Q15(\NLW_blk00000003/blk00000990_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000098f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a1c ),
+ .Q(\blk00000003/sig000007ba )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000098e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078a ),
+ .Q(\blk00000003/sig00000a1c ),
+ .Q15(\NLW_blk00000003/blk0000098e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000098d (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a1b ),
+ .Q(\blk00000003/sig000007b9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000098c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000789 ),
+ .Q(\blk00000003/sig00000a1b ),
+ .Q15(\NLW_blk00000003/blk0000098c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000098b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a1a ),
+ .Q(\blk00000003/sig000007bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000098a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078b ),
+ .Q(\blk00000003/sig00000a1a ),
+ .Q15(\NLW_blk00000003/blk0000098a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000989 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a19 ),
+ .Q(\blk00000003/sig000007b7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000988 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000787 ),
+ .Q(\blk00000003/sig00000a19 ),
+ .Q15(\NLW_blk00000003/blk00000988_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000987 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a18 ),
+ .Q(\blk00000003/sig000007b6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000986 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000786 ),
+ .Q(\blk00000003/sig00000a18 ),
+ .Q15(\NLW_blk00000003/blk00000986_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000985 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a17 ),
+ .Q(\blk00000003/sig000007b8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000984 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000788 ),
+ .Q(\blk00000003/sig00000a17 ),
+ .Q15(\NLW_blk00000003/blk00000984_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000983 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a16 ),
+ .Q(\blk00000003/sig000007b4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000982 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000784 ),
+ .Q(\blk00000003/sig00000a16 ),
+ .Q15(\NLW_blk00000003/blk00000982_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000981 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a15 ),
+ .Q(\blk00000003/sig000007b3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000980 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000783 ),
+ .Q(\blk00000003/sig00000a15 ),
+ .Q15(\NLW_blk00000003/blk00000980_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000097f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a14 ),
+ .Q(\blk00000003/sig000007b5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000097e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000785 ),
+ .Q(\blk00000003/sig00000a14 ),
+ .Q15(\NLW_blk00000003/blk0000097e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000097d (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a13 ),
+ .Q(\blk00000003/sig000007b2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000097c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000782 ),
+ .Q(\blk00000003/sig00000a13 ),
+ .Q15(\NLW_blk00000003/blk0000097c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000097b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a12 ),
+ .Q(\blk00000003/sig000007b1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000097a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000781 ),
+ .Q(\blk00000003/sig00000a12 ),
+ .Q15(\NLW_blk00000003/blk0000097a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000979 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a11 ),
+ .Q(\blk00000003/sig000007b0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000978 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000780 ),
+ .Q(\blk00000003/sig00000a11 ),
+ .Q15(\NLW_blk00000003/blk00000978_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000977 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a10 ),
+ .Q(\blk00000003/sig000007af )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000976 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077f ),
+ .Q(\blk00000003/sig00000a10 ),
+ .Q15(\NLW_blk00000003/blk00000976_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000975 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a0f ),
+ .Q(\blk00000003/sig000007ad )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000974 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077d ),
+ .Q(\blk00000003/sig00000a0f ),
+ .Q15(\NLW_blk00000003/blk00000974_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000973 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a0e ),
+ .Q(\blk00000003/sig000007ac )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000972 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077c ),
+ .Q(\blk00000003/sig00000a0e ),
+ .Q15(\NLW_blk00000003/blk00000972_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000971 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a0d ),
+ .Q(\blk00000003/sig000007ae )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000970 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077e ),
+ .Q(\blk00000003/sig00000a0d ),
+ .Q15(\NLW_blk00000003/blk00000970_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000096f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a0c ),
+ .Q(\blk00000003/sig000007aa )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000096e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077a ),
+ .Q(\blk00000003/sig00000a0c ),
+ .Q15(\NLW_blk00000003/blk0000096e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000096d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a0b ),
+ .Q(\blk00000003/sig00000869 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000096c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000219 ),
+ .Q(\blk00000003/sig00000a0b ),
+ .Q15(\NLW_blk00000003/blk0000096c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000096b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000a0a ),
+ .Q(\blk00000003/sig000007ab )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000096a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(\blk00000003/sig0000091e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077b ),
+ .Q(\blk00000003/sig00000a0a ),
+ .Q15(\NLW_blk00000003/blk0000096a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000969 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a09 ),
+ .Q(\blk00000003/sig00000867 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000968 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000217 ),
+ .Q(\blk00000003/sig00000a09 ),
+ .Q15(\NLW_blk00000003/blk00000968_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000967 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a08 ),
+ .Q(\blk00000003/sig00000866 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000966 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000216 ),
+ .Q(\blk00000003/sig00000a08 ),
+ .Q15(\NLW_blk00000003/blk00000966_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000965 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a07 ),
+ .Q(\blk00000003/sig00000868 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000964 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000218 ),
+ .Q(\blk00000003/sig00000a07 ),
+ .Q15(\NLW_blk00000003/blk00000964_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000963 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a06 ),
+ .Q(\blk00000003/sig00000865 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000962 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000215 ),
+ .Q(\blk00000003/sig00000a06 ),
+ .Q15(\NLW_blk00000003/blk00000962_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000961 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a05 ),
+ .Q(\blk00000003/sig00000864 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000960 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000214 ),
+ .Q(\blk00000003/sig00000a05 ),
+ .Q15(\NLW_blk00000003/blk00000960_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000095f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a04 ),
+ .Q(\blk00000003/sig00000863 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000095e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000213 ),
+ .Q(\blk00000003/sig00000a04 ),
+ .Q15(\NLW_blk00000003/blk0000095e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000095d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a03 ),
+ .Q(\blk00000003/sig00000862 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000095c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000212 ),
+ .Q(\blk00000003/sig00000a03 ),
+ .Q15(\NLW_blk00000003/blk0000095c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000095b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a02 ),
+ .Q(\blk00000003/sig00000860 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000095a (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000210 ),
+ .Q(\blk00000003/sig00000a02 ),
+ .Q15(\NLW_blk00000003/blk0000095a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000959 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a01 ),
+ .Q(\blk00000003/sig0000085f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000958 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020f ),
+ .Q(\blk00000003/sig00000a01 ),
+ .Q15(\NLW_blk00000003/blk00000958_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000957 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000a00 ),
+ .Q(\blk00000003/sig00000861 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000956 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000211 ),
+ .Q(\blk00000003/sig00000a00 ),
+ .Q15(\NLW_blk00000003/blk00000956_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000955 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ff ),
+ .Q(\blk00000003/sig0000085d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000954 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020d ),
+ .Q(\blk00000003/sig000009ff ),
+ .Q15(\NLW_blk00000003/blk00000954_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000953 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009fe ),
+ .Q(\blk00000003/sig0000085c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000952 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020c ),
+ .Q(\blk00000003/sig000009fe ),
+ .Q15(\NLW_blk00000003/blk00000952_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000951 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009fd ),
+ .Q(\blk00000003/sig0000085e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000950 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020e ),
+ .Q(\blk00000003/sig000009fd ),
+ .Q15(\NLW_blk00000003/blk00000950_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000094f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009fc ),
+ .Q(\blk00000003/sig0000085a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000094e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020a ),
+ .Q(\blk00000003/sig000009fc ),
+ .Q15(\NLW_blk00000003/blk0000094e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000094d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009fb ),
+ .Q(\blk00000003/sig00000859 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000094c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000209 ),
+ .Q(\blk00000003/sig000009fb ),
+ .Q15(\NLW_blk00000003/blk0000094c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000094b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009fa ),
+ .Q(\blk00000003/sig0000085b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000094a (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020b ),
+ .Q(\blk00000003/sig000009fa ),
+ .Q15(\NLW_blk00000003/blk0000094a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000949 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f9 ),
+ .Q(\blk00000003/sig00000858 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000948 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000208 ),
+ .Q(\blk00000003/sig000009f9 ),
+ .Q15(\NLW_blk00000003/blk00000948_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000947 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f8 ),
+ .Q(\blk00000003/sig00000857 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000946 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000207 ),
+ .Q(\blk00000003/sig000009f8 ),
+ .Q15(\NLW_blk00000003/blk00000946_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000945 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f7 ),
+ .Q(\blk00000003/sig00000856 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000944 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000206 ),
+ .Q(\blk00000003/sig000009f7 ),
+ .Q15(\NLW_blk00000003/blk00000944_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000943 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f6 ),
+ .Q(\blk00000003/sig00000855 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000942 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000205 ),
+ .Q(\blk00000003/sig000009f6 ),
+ .Q15(\NLW_blk00000003/blk00000942_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000941 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f5 ),
+ .Q(\blk00000003/sig00000853 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000940 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000203 ),
+ .Q(\blk00000003/sig000009f5 ),
+ .Q15(\NLW_blk00000003/blk00000940_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000093f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f4 ),
+ .Q(\blk00000003/sig00000852 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000093e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000202 ),
+ .Q(\blk00000003/sig000009f4 ),
+ .Q15(\NLW_blk00000003/blk0000093e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000093d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f3 ),
+ .Q(\blk00000003/sig00000854 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000093c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000204 ),
+ .Q(\blk00000003/sig000009f3 ),
+ .Q15(\NLW_blk00000003/blk0000093c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000093b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f2 ),
+ .Q(\blk00000003/sig00000898 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000093a (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000200 ),
+ .Q(\blk00000003/sig000009f2 ),
+ .Q15(\NLW_blk00000003/blk0000093a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000939 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f1 ),
+ .Q(\blk00000003/sig00000897 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000938 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ff ),
+ .Q(\blk00000003/sig000009f1 ),
+ .Q15(\NLW_blk00000003/blk00000938_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000937 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009f0 ),
+ .Q(\blk00000003/sig00000899 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000936 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000201 ),
+ .Q(\blk00000003/sig000009f0 ),
+ .Q15(\NLW_blk00000003/blk00000936_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000935 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ef ),
+ .Q(\blk00000003/sig00000895 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000934 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fd ),
+ .Q(\blk00000003/sig000009ef ),
+ .Q15(\NLW_blk00000003/blk00000934_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000933 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ee ),
+ .Q(\blk00000003/sig00000894 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000932 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fc ),
+ .Q(\blk00000003/sig000009ee ),
+ .Q15(\NLW_blk00000003/blk00000932_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000931 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ed ),
+ .Q(\blk00000003/sig00000896 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000930 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fe ),
+ .Q(\blk00000003/sig000009ed ),
+ .Q15(\NLW_blk00000003/blk00000930_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000092f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ec ),
+ .Q(\blk00000003/sig00000893 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000092e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fb ),
+ .Q(\blk00000003/sig000009ec ),
+ .Q15(\NLW_blk00000003/blk0000092e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000092d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009eb ),
+ .Q(\blk00000003/sig00000892 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000092c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fa ),
+ .Q(\blk00000003/sig000009eb ),
+ .Q15(\NLW_blk00000003/blk0000092c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000092b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ea ),
+ .Q(\blk00000003/sig00000891 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000092a (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f9 ),
+ .Q(\blk00000003/sig000009ea ),
+ .Q15(\NLW_blk00000003/blk0000092a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000929 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e9 ),
+ .Q(\blk00000003/sig00000890 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000928 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f8 ),
+ .Q(\blk00000003/sig000009e9 ),
+ .Q15(\NLW_blk00000003/blk00000928_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000927 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e8 ),
+ .Q(\blk00000003/sig0000088e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000926 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f6 ),
+ .Q(\blk00000003/sig000009e8 ),
+ .Q15(\NLW_blk00000003/blk00000926_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000925 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e7 ),
+ .Q(\blk00000003/sig0000088d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000924 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f5 ),
+ .Q(\blk00000003/sig000009e7 ),
+ .Q15(\NLW_blk00000003/blk00000924_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000923 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e6 ),
+ .Q(\blk00000003/sig0000088f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000922 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f7 ),
+ .Q(\blk00000003/sig000009e6 ),
+ .Q15(\NLW_blk00000003/blk00000922_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000921 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e5 ),
+ .Q(\blk00000003/sig0000088b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000920 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f3 ),
+ .Q(\blk00000003/sig000009e5 ),
+ .Q15(\NLW_blk00000003/blk00000920_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000091f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e4 ),
+ .Q(\blk00000003/sig0000088a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000091e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f2 ),
+ .Q(\blk00000003/sig000009e4 ),
+ .Q15(\NLW_blk00000003/blk0000091e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000091d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e3 ),
+ .Q(\blk00000003/sig0000088c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000091c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f4 ),
+ .Q(\blk00000003/sig000009e3 ),
+ .Q15(\NLW_blk00000003/blk0000091c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000091b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e2 ),
+ .Q(\blk00000003/sig00000888 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000091a (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f0 ),
+ .Q(\blk00000003/sig000009e2 ),
+ .Q15(\NLW_blk00000003/blk0000091a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000919 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e1 ),
+ .Q(\blk00000003/sig00000887 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000918 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ef ),
+ .Q(\blk00000003/sig000009e1 ),
+ .Q15(\NLW_blk00000003/blk00000918_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000917 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009e0 ),
+ .Q(\blk00000003/sig00000889 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000916 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f1 ),
+ .Q(\blk00000003/sig000009e0 ),
+ .Q15(\NLW_blk00000003/blk00000916_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000915 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009df ),
+ .Q(\blk00000003/sig00000886 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000914 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ee ),
+ .Q(\blk00000003/sig000009df ),
+ .Q15(\NLW_blk00000003/blk00000914_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000913 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009de ),
+ .Q(\blk00000003/sig00000885 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000912 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ed ),
+ .Q(\blk00000003/sig000009de ),
+ .Q15(\NLW_blk00000003/blk00000912_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000911 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009dd ),
+ .Q(\blk00000003/sig00000884 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000910 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ec ),
+ .Q(\blk00000003/sig000009dd ),
+ .Q15(\NLW_blk00000003/blk00000910_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000090f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009dc ),
+ .Q(\blk00000003/sig00000883 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000090e (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001eb ),
+ .Q(\blk00000003/sig000009dc ),
+ .Q15(\NLW_blk00000003/blk0000090e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000090d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009db ),
+ .Q(\blk00000003/sig000002a3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000090c (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d5 ),
+ .Q(\blk00000003/sig000009db ),
+ .Q15(\NLW_blk00000003/blk0000090c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000090b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009da ),
+ .Q(\blk00000003/sig000002a4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000090a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000029e ),
+ .Q(\blk00000003/sig000009da ),
+ .Q15(\NLW_blk00000003/blk0000090a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000909 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d9 ),
+ .Q(\blk00000003/sig00000882 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000908 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ea ),
+ .Q(\blk00000003/sig000009d9 ),
+ .Q15(\NLW_blk00000003/blk00000908_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000907 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d8 ),
+ .Q(\blk00000003/sig000008c3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000906 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[0]),
+ .Q(\blk00000003/sig000009d8 ),
+ .Q15(\NLW_blk00000003/blk00000906_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000905 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d7 ),
+ .Q(\blk00000003/sig000008c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000904 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[1]),
+ .Q(\blk00000003/sig000009d7 ),
+ .Q15(\NLW_blk00000003/blk00000904_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000903 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d6 ),
+ .Q(\blk00000003/sig000009b2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000902 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d1 ),
+ .Q(\blk00000003/sig000009d6 ),
+ .Q15(\NLW_blk00000003/blk00000902_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000901 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d5 ),
+ .Q(\blk00000003/sig000008c0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000900 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[3]),
+ .Q(\blk00000003/sig000009d5 ),
+ .Q15(\NLW_blk00000003/blk00000900_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d4 ),
+ .Q(\blk00000003/sig000008bf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008fe (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[4]),
+ .Q(\blk00000003/sig000009d4 ),
+ .Q15(\NLW_blk00000003/blk000008fe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d3 ),
+ .Q(\blk00000003/sig000008c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008fc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[2]),
+ .Q(\blk00000003/sig000009d3 ),
+ .Q15(\NLW_blk00000003/blk000008fc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d2 ),
+ .Q(\blk00000003/sig000008be )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008fa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[5]),
+ .Q(\blk00000003/sig000009d2 ),
+ .Q15(\NLW_blk00000003/blk000008fa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d1 ),
+ .Q(\blk00000003/sig000008bd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008f8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[6]),
+ .Q(\blk00000003/sig000009d1 ),
+ .Q15(\NLW_blk00000003/blk000008f8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009d0 ),
+ .Q(\blk00000003/sig000008bc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008f6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[7]),
+ .Q(\blk00000003/sig000009d0 ),
+ .Q15(\NLW_blk00000003/blk000008f6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009cf ),
+ .Q(\blk00000003/sig000008bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008f4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[8]),
+ .Q(\blk00000003/sig000009cf ),
+ .Q15(\NLW_blk00000003/blk000008f4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ce ),
+ .Q(\blk00000003/sig000008b9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008f2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[10]),
+ .Q(\blk00000003/sig000009ce ),
+ .Q15(\NLW_blk00000003/blk000008f2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009cd ),
+ .Q(\blk00000003/sig000008b8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008f0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[11]),
+ .Q(\blk00000003/sig000009cd ),
+ .Q15(\NLW_blk00000003/blk000008f0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009cc ),
+ .Q(\blk00000003/sig000008ba )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008ee (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[9]),
+ .Q(\blk00000003/sig000009cc ),
+ .Q15(\NLW_blk00000003/blk000008ee_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009cb ),
+ .Q(\blk00000003/sig000008b6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008ec (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[13]),
+ .Q(\blk00000003/sig000009cb ),
+ .Q15(\NLW_blk00000003/blk000008ec_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009ca ),
+ .Q(\blk00000003/sig000008b5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008ea (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[14]),
+ .Q(\blk00000003/sig000009ca ),
+ .Q15(\NLW_blk00000003/blk000008ea_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c9 ),
+ .Q(\blk00000003/sig000008b7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008e8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[12]),
+ .Q(\blk00000003/sig000009c9 ),
+ .Q15(\NLW_blk00000003/blk000008e8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c8 ),
+ .Q(\blk00000003/sig000008b3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008e6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[16]),
+ .Q(\blk00000003/sig000009c8 ),
+ .Q15(\NLW_blk00000003/blk000008e6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c7 ),
+ .Q(\blk00000003/sig000008b2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008e4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[17]),
+ .Q(\blk00000003/sig000009c7 ),
+ .Q15(\NLW_blk00000003/blk000008e4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c6 ),
+ .Q(\blk00000003/sig000008b4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008e2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[15]),
+ .Q(\blk00000003/sig000009c6 ),
+ .Q15(\NLW_blk00000003/blk000008e2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c5 ),
+ .Q(\blk00000003/sig000001de )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008e0 (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e0 ),
+ .Q(\blk00000003/sig000009c5 ),
+ .Q15(\NLW_blk00000003/blk000008e0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008df (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c4 ),
+ .Q(\blk00000003/sig00000760 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008de (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(NlwRenamedSig_OI_rfd),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d7 ),
+ .Q(\blk00000003/sig000009c4 ),
+ .Q15(\NLW_blk00000003/blk000008de_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008dd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009c3 ),
+ .Q(\blk00000003/sig0000091f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000008dc (
+ .A0(NlwRenamedSig_OI_rfd),
+ .A1(NlwRenamedSig_OI_rfd),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001cf ),
+ .Q(\blk00000003/sig000009c3 ),
+ .Q15(\NLW_blk00000003/blk000008dc_Q15_UNCONNECTED )
+ );
+ INV \blk00000003/blk000008db (
+ .I(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig00000275 )
+ );
+ INV \blk00000003/blk000008da (
+ .I(\blk00000003/sig00000223 ),
+ .O(\blk00000003/sig00000232 )
+ );
+ INV \blk00000003/blk000008d9 (
+ .I(\blk00000003/sig0000027e ),
+ .O(\blk00000003/sig0000026e )
+ );
+ INV \blk00000003/blk000008d8 (
+ .I(\blk00000003/sig000001cf ),
+ .O(\blk00000003/sig00000283 )
+ );
+ INV \blk00000003/blk000008d7 (
+ .I(\blk00000003/sig00000285 ),
+ .O(\blk00000003/sig00000274 )
+ );
+ INV \blk00000003/blk000008d6 (
+ .I(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig000009ab )
+ );
+ INV \blk00000003/blk000008d5 (
+ .I(\blk00000003/sig00000247 ),
+ .O(\blk00000003/sig00000286 )
+ );
+ INV \blk00000003/blk000008d4 (
+ .I(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig0000026f )
+ );
+ INV \blk00000003/blk000008d3 (
+ .I(\blk00000003/sig0000021d ),
+ .O(\blk00000003/sig00000248 )
+ );
+ INV \blk00000003/blk000008d2 (
+ .I(\blk00000003/sig00000223 ),
+ .O(\blk00000003/sig00000231 )
+ );
+ INV \blk00000003/blk000008d1 (
+ .I(\blk00000003/sig000001cd ),
+ .O(\blk00000003/sig000000c1 )
+ );
+ INV \blk00000003/blk000008d0 (
+ .I(\blk00000003/sig000000b4 ),
+ .O(\blk00000003/sig000001cb )
+ );
+ INV \blk00000003/blk000008cf (
+ .I(\blk00000003/sig000000be ),
+ .O(\blk00000003/sig000000bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000937 ),
+ .Q(\blk00000003/sig000009b0 )
+ );
+ LUT3 #(
+ .INIT ( 8'h20 ))
+ \blk00000003/blk000008cd (
+ .I0(\blk00000003/sig00000239 ),
+ .I1(\blk00000003/sig00000250 ),
+ .I2(coef_ld),
+ .O(\blk00000003/sig0000024c )
+ );
+ LUT5 #(
+ .INIT ( 32'h4F444444 ))
+ \blk00000003/blk000008cc (
+ .I0(\blk00000003/sig0000024d ),
+ .I1(\blk00000003/sig00000241 ),
+ .I2(\blk00000003/sig00000250 ),
+ .I3(coef_ld),
+ .I4(\blk00000003/sig00000239 ),
+ .O(\blk00000003/sig00000244 )
+ );
+ LUT4 #(
+ .INIT ( 16'h1000 ))
+ \blk00000003/blk000008cb (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig0000023b ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig00000239 ),
+ .O(\blk00000003/sig0000024b )
+ );
+ LUT4 #(
+ .INIT ( 16'hEAAA ))
+ \blk00000003/blk000008ca (
+ .I0(\blk00000003/sig0000025b ),
+ .I1(\blk00000003/sig00000227 ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig0000022f ),
+ .O(\blk00000003/sig00000255 )
+ );
+ LUT5 #(
+ .INIT ( 32'h20AA2020 ))
+ \blk00000003/blk000008c9 (
+ .I0(\blk00000003/sig00000239 ),
+ .I1(\blk00000003/sig0000023b ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig00000250 ),
+ .I4(coef_ld),
+ .O(\blk00000003/sig0000024a )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk000008c8 (
+ .I0(ce),
+ .I1(sclr),
+ .I2(\blk00000003/sig000009b3 ),
+ .O(\blk00000003/sig000009ba )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk000008c7 (
+ .I0(\blk00000003/sig0000027e ),
+ .I1(ce),
+ .I2(\blk00000003/sig00000241 ),
+ .I3(\blk00000003/sig0000021b ),
+ .O(\blk00000003/sig000009c2 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk000008c6 (
+ .I0(\blk00000003/sig00000285 ),
+ .I1(ce),
+ .I2(\blk00000003/sig0000023f ),
+ .I3(\blk00000003/sig00000276 ),
+ .O(\blk00000003/sig000009c1 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk000008c5 (
+ .I0(\blk00000003/sig000009af ),
+ .I1(ce),
+ .I2(\blk00000003/sig000001d5 ),
+ .I3(\blk00000003/sig000001d7 ),
+ .O(\blk00000003/sig000009bc )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk000008c4 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000250 ),
+ .I2(\blk00000003/sig000009b1 ),
+ .O(\blk00000003/sig000009b9 )
+ );
+ LUT5 #(
+ .INIT ( 32'h6AAAAAAA ))
+ \blk00000003/blk000008c3 (
+ .I0(\blk00000003/sig000001e7 ),
+ .I1(\blk00000003/sig000009ae ),
+ .I2(\blk00000003/sig000000ad ),
+ .I3(ce),
+ .I4(nd),
+ .O(\blk00000003/sig000009c0 )
+ );
+ LUT4 #(
+ .INIT ( 16'h6AAA ))
+ \blk00000003/blk000008c2 (
+ .I0(\blk00000003/sig000001e2 ),
+ .I1(\blk00000003/sig000001d7 ),
+ .I2(\blk00000003/sig000009be ),
+ .I3(ce),
+ .O(\blk00000003/sig000009bf )
+ );
+ LUT3 #(
+ .INIT ( 8'h6C ))
+ \blk00000003/blk000008c1 (
+ .I0(\blk00000003/sig000001d7 ),
+ .I1(\blk00000003/sig000009be ),
+ .I2(ce),
+ .O(\blk00000003/sig000009bd )
+ );
+ LUT4 #(
+ .INIT ( 16'h6AAA ))
+ \blk00000003/blk000008c0 (
+ .I0(\blk00000003/sig000009ae ),
+ .I1(\blk00000003/sig000000ad ),
+ .I2(ce),
+ .I3(nd),
+ .O(\blk00000003/sig000009bb )
+ );
+ LUT4 #(
+ .INIT ( 16'hECCC ))
+ \blk00000003/blk000008bf (
+ .I0(coef_we),
+ .I1(\blk00000003/sig0000025c ),
+ .I2(\blk00000003/sig00000227 ),
+ .I3(\blk00000003/sig0000022f ),
+ .O(\blk00000003/sig00000258 )
+ );
+ FD #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008be (
+ .C(clk),
+ .D(\blk00000003/sig000009c2 ),
+ .Q(\blk00000003/sig0000027e )
+ );
+ FD #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008bd (
+ .C(clk),
+ .D(\blk00000003/sig000009c1 ),
+ .Q(\blk00000003/sig00000285 )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008bc (
+ .C(clk),
+ .D(\blk00000003/sig000009c0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e7 )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008bb (
+ .C(clk),
+ .D(\blk00000003/sig000009bf ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e2 )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ba (
+ .C(clk),
+ .D(\blk00000003/sig000009bd ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009be )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008b9 (
+ .C(clk),
+ .D(\blk00000003/sig000009bc ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009af )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008b8 (
+ .C(clk),
+ .D(\blk00000003/sig000009bb ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009ae )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b7 (
+ .I0(\blk00000003/sig00000935 ),
+ .O(\blk00000003/sig0000092f )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b6 (
+ .I0(\blk00000003/sig00000934 ),
+ .O(\blk00000003/sig0000092c )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b5 (
+ .I0(\blk00000003/sig00000933 ),
+ .O(\blk00000003/sig00000929 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b4 (
+ .I0(\blk00000003/sig00000932 ),
+ .O(\blk00000003/sig00000926 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b3 (
+ .I0(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig00000923 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b2 (
+ .I0(\blk00000003/sig000002a0 ),
+ .O(\blk00000003/sig000002a1 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b1 (
+ .I0(\blk00000003/sig00000294 ),
+ .O(\blk00000003/sig0000028e )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008b0 (
+ .I0(\blk00000003/sig0000025f ),
+ .O(\blk00000003/sig00000260 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008af (
+ .I0(\blk00000003/sig000001cd ),
+ .O(\blk00000003/sig000000c2 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000008ae (
+ .I0(\blk00000003/sig000009ae ),
+ .O(\blk00000003/sig000000b3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009a5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009aa )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000009a2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009a9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000099f ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009a8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000099c ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009a7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000999 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000009a6 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000921 ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000936 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000930 ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000935 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000092d ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000934 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000092a ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000933 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000927 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000932 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000008a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000924 ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000931 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a2 ),
+ .R(\blk00000003/sig000002a5 ),
+ .Q(\blk00000003/sig000002a0 )
+ );
+ FDR \blk00000003/blk000008a1 (
+ .C(clk),
+ .D(\blk00000003/sig000009ba ),
+ .R(ce),
+ .Q(\blk00000003/sig000009b3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000008a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029c ),
+ .R(\blk00000003/sig000002a4 ),
+ .Q(\blk00000003/sig0000029a )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk0000089f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000297 ),
+ .S(\blk00000003/sig000002a4 ),
+ .Q(\blk00000003/sig00000295 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk0000089e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000028f ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000294 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000089d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000292 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000293 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000089c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000289 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000089b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000028c ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e8 )
+ );
+ FDR \blk00000003/blk0000089a (
+ .C(clk),
+ .D(\blk00000003/sig000009b9 ),
+ .R(ce),
+ .Q(\blk00000003/sig000009b1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000899 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000261 ),
+ .R(\blk00000003/sig00000264 ),
+ .Q(\blk00000003/sig0000025f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000898 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000253 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig0000025d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000897 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000259 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig0000025c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000896 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000256 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig0000025b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000895 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000225 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000223 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000894 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000b6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000b4 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000893 (
+ .I0(\blk00000003/sig000009a6 ),
+ .I1(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig00000998 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000892 (
+ .I0(\blk00000003/sig000009a7 ),
+ .I1(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig0000099b )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000891 (
+ .I0(\blk00000003/sig000009a8 ),
+ .I1(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig0000099e )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000890 (
+ .I0(\blk00000003/sig000009a9 ),
+ .I1(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig000009a1 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDE ))
+ \blk00000003/blk0000088f (
+ .I0(\blk00000003/sig000009aa ),
+ .I1(\blk00000003/sig00000931 ),
+ .I2(\blk00000003/sig000001dc ),
+ .O(\blk00000003/sig000009a4 )
+ );
+ LUT3 #(
+ .INIT ( 8'h04 ))
+ \blk00000003/blk0000088e (
+ .I0(\blk00000003/sig000001dc ),
+ .I1(\blk00000003/sig0000004a ),
+ .I2(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig00000996 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000088d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000010d ),
+ .I3(NlwRenamedSig_OI_dout_2[45]),
+ .O(\blk00000003/sig00000994 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000088c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000010c ),
+ .I3(NlwRenamedSig_OI_dout_2[46]),
+ .O(\blk00000003/sig00000995 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000088b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000010e ),
+ .I3(NlwRenamedSig_OI_dout_2[44]),
+ .O(\blk00000003/sig00000993 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000088a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000110 ),
+ .I3(NlwRenamedSig_OI_dout_2[42]),
+ .O(\blk00000003/sig00000991 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000889 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000010f ),
+ .I3(NlwRenamedSig_OI_dout_2[43]),
+ .O(\blk00000003/sig00000992 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000888 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000111 ),
+ .I3(NlwRenamedSig_OI_dout_2[41]),
+ .O(\blk00000003/sig00000990 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000887 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000113 ),
+ .I3(NlwRenamedSig_OI_dout_2[39]),
+ .O(\blk00000003/sig0000098e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000886 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000112 ),
+ .I3(NlwRenamedSig_OI_dout_2[40]),
+ .O(\blk00000003/sig0000098f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000885 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000114 ),
+ .I3(NlwRenamedSig_OI_dout_2[38]),
+ .O(\blk00000003/sig0000098d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000884 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000116 ),
+ .I3(NlwRenamedSig_OI_dout_2[36]),
+ .O(\blk00000003/sig0000098b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000883 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000115 ),
+ .I3(NlwRenamedSig_OI_dout_2[37]),
+ .O(\blk00000003/sig0000098c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000882 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000117 ),
+ .I3(NlwRenamedSig_OI_dout_2[35]),
+ .O(\blk00000003/sig0000098a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000881 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000119 ),
+ .I3(NlwRenamedSig_OI_dout_2[33]),
+ .O(\blk00000003/sig00000988 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000880 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000118 ),
+ .I3(NlwRenamedSig_OI_dout_2[34]),
+ .O(\blk00000003/sig00000989 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000087f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000011a ),
+ .I3(NlwRenamedSig_OI_dout_2[32]),
+ .O(\blk00000003/sig00000987 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000087e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000011c ),
+ .I3(NlwRenamedSig_OI_dout_2[30]),
+ .O(\blk00000003/sig00000985 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000087d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000011b ),
+ .I3(NlwRenamedSig_OI_dout_2[31]),
+ .O(\blk00000003/sig00000986 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000087c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000011d ),
+ .I3(NlwRenamedSig_OI_dout_2[29]),
+ .O(\blk00000003/sig00000984 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000087b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000011f ),
+ .I3(NlwRenamedSig_OI_dout_2[27]),
+ .O(\blk00000003/sig00000982 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000087a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000011e ),
+ .I3(NlwRenamedSig_OI_dout_2[28]),
+ .O(\blk00000003/sig00000983 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000879 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000120 ),
+ .I3(NlwRenamedSig_OI_dout_2[26]),
+ .O(\blk00000003/sig00000981 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000878 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000122 ),
+ .I3(NlwRenamedSig_OI_dout_2[24]),
+ .O(\blk00000003/sig0000097f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000877 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000121 ),
+ .I3(NlwRenamedSig_OI_dout_2[25]),
+ .O(\blk00000003/sig00000980 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000876 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000123 ),
+ .I3(NlwRenamedSig_OI_dout_2[23]),
+ .O(\blk00000003/sig0000097e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000875 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000125 ),
+ .I3(NlwRenamedSig_OI_dout_2[21]),
+ .O(\blk00000003/sig0000097c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000874 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000124 ),
+ .I3(NlwRenamedSig_OI_dout_2[22]),
+ .O(\blk00000003/sig0000097d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000873 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000126 ),
+ .I3(NlwRenamedSig_OI_dout_2[20]),
+ .O(\blk00000003/sig0000097b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000872 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000128 ),
+ .I3(NlwRenamedSig_OI_dout_2[18]),
+ .O(\blk00000003/sig00000979 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000871 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000127 ),
+ .I3(NlwRenamedSig_OI_dout_2[19]),
+ .O(\blk00000003/sig0000097a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000870 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000129 ),
+ .I3(NlwRenamedSig_OI_dout_2[17]),
+ .O(\blk00000003/sig00000978 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000086f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000012b ),
+ .I3(NlwRenamedSig_OI_dout_2[15]),
+ .O(\blk00000003/sig00000976 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000086e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000012a ),
+ .I3(NlwRenamedSig_OI_dout_2[16]),
+ .O(\blk00000003/sig00000977 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000086d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000012c ),
+ .I3(NlwRenamedSig_OI_dout_2[14]),
+ .O(\blk00000003/sig00000975 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000086c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000012e ),
+ .I3(NlwRenamedSig_OI_dout_2[12]),
+ .O(\blk00000003/sig00000973 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000086b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000012d ),
+ .I3(NlwRenamedSig_OI_dout_2[13]),
+ .O(\blk00000003/sig00000974 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000086a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000012f ),
+ .I3(NlwRenamedSig_OI_dout_2[11]),
+ .O(\blk00000003/sig00000972 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000869 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000131 ),
+ .I3(NlwRenamedSig_OI_dout_2[9]),
+ .O(\blk00000003/sig00000970 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000868 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000130 ),
+ .I3(NlwRenamedSig_OI_dout_2[10]),
+ .O(\blk00000003/sig00000971 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000867 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000132 ),
+ .I3(NlwRenamedSig_OI_dout_2[8]),
+ .O(\blk00000003/sig0000096f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000866 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000134 ),
+ .I3(NlwRenamedSig_OI_dout_2[6]),
+ .O(\blk00000003/sig0000096d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000865 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000133 ),
+ .I3(NlwRenamedSig_OI_dout_2[7]),
+ .O(\blk00000003/sig0000096e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000864 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000135 ),
+ .I3(NlwRenamedSig_OI_dout_2[5]),
+ .O(\blk00000003/sig0000096c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000863 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000137 ),
+ .I3(NlwRenamedSig_OI_dout_2[3]),
+ .O(\blk00000003/sig0000096a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000862 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000136 ),
+ .I3(NlwRenamedSig_OI_dout_2[4]),
+ .O(\blk00000003/sig0000096b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000861 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000138 ),
+ .I3(NlwRenamedSig_OI_dout_2[2]),
+ .O(\blk00000003/sig00000969 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000860 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000139 ),
+ .I3(NlwRenamedSig_OI_dout_2[1]),
+ .O(\blk00000003/sig00000968 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000085f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000183 ),
+ .I3(NlwRenamedSig_OI_dout_1[46]),
+ .O(\blk00000003/sig00000966 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000085e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000013a ),
+ .I3(NlwRenamedSig_OI_dout_2[0]),
+ .O(\blk00000003/sig00000967 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000085d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000184 ),
+ .I3(NlwRenamedSig_OI_dout_1[45]),
+ .O(\blk00000003/sig00000965 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000085c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000186 ),
+ .I3(NlwRenamedSig_OI_dout_1[43]),
+ .O(\blk00000003/sig00000963 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000085b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000185 ),
+ .I3(NlwRenamedSig_OI_dout_1[44]),
+ .O(\blk00000003/sig00000964 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000085a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000187 ),
+ .I3(NlwRenamedSig_OI_dout_1[42]),
+ .O(\blk00000003/sig00000962 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000859 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000189 ),
+ .I3(NlwRenamedSig_OI_dout_1[40]),
+ .O(\blk00000003/sig00000960 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000858 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000188 ),
+ .I3(NlwRenamedSig_OI_dout_1[41]),
+ .O(\blk00000003/sig00000961 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000857 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000018a ),
+ .I3(NlwRenamedSig_OI_dout_1[39]),
+ .O(\blk00000003/sig0000095f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000856 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000018c ),
+ .I3(NlwRenamedSig_OI_dout_1[37]),
+ .O(\blk00000003/sig0000095d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000855 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000018b ),
+ .I3(NlwRenamedSig_OI_dout_1[38]),
+ .O(\blk00000003/sig0000095e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000854 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000018d ),
+ .I3(NlwRenamedSig_OI_dout_1[36]),
+ .O(\blk00000003/sig0000095c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000853 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000018f ),
+ .I3(NlwRenamedSig_OI_dout_1[34]),
+ .O(\blk00000003/sig0000095a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000852 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000018e ),
+ .I3(NlwRenamedSig_OI_dout_1[35]),
+ .O(\blk00000003/sig0000095b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000851 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000190 ),
+ .I3(NlwRenamedSig_OI_dout_1[33]),
+ .O(\blk00000003/sig00000959 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000850 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000192 ),
+ .I3(NlwRenamedSig_OI_dout_1[31]),
+ .O(\blk00000003/sig00000957 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000084f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000191 ),
+ .I3(NlwRenamedSig_OI_dout_1[32]),
+ .O(\blk00000003/sig00000958 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000084e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000193 ),
+ .I3(NlwRenamedSig_OI_dout_1[30]),
+ .O(\blk00000003/sig00000956 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000084d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000195 ),
+ .I3(NlwRenamedSig_OI_dout_1[28]),
+ .O(\blk00000003/sig00000954 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000084c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000194 ),
+ .I3(NlwRenamedSig_OI_dout_1[29]),
+ .O(\blk00000003/sig00000955 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000084b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000196 ),
+ .I3(NlwRenamedSig_OI_dout_1[27]),
+ .O(\blk00000003/sig00000953 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000084a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000198 ),
+ .I3(NlwRenamedSig_OI_dout_1[25]),
+ .O(\blk00000003/sig00000951 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000849 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000197 ),
+ .I3(NlwRenamedSig_OI_dout_1[26]),
+ .O(\blk00000003/sig00000952 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000848 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig00000199 ),
+ .I3(NlwRenamedSig_OI_dout_1[24]),
+ .O(\blk00000003/sig00000950 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000847 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000019b ),
+ .I3(NlwRenamedSig_OI_dout_1[22]),
+ .O(\blk00000003/sig0000094e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000846 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000019a ),
+ .I3(NlwRenamedSig_OI_dout_1[23]),
+ .O(\blk00000003/sig0000094f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000845 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000019c ),
+ .I3(NlwRenamedSig_OI_dout_1[21]),
+ .O(\blk00000003/sig0000094d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000844 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000019e ),
+ .I3(NlwRenamedSig_OI_dout_1[19]),
+ .O(\blk00000003/sig0000094b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000843 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000019d ),
+ .I3(NlwRenamedSig_OI_dout_1[20]),
+ .O(\blk00000003/sig0000094c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000842 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig0000019f ),
+ .I3(NlwRenamedSig_OI_dout_1[18]),
+ .O(\blk00000003/sig0000094a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000841 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a1 ),
+ .I3(NlwRenamedSig_OI_dout_1[16]),
+ .O(\blk00000003/sig00000948 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000840 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a0 ),
+ .I3(NlwRenamedSig_OI_dout_1[17]),
+ .O(\blk00000003/sig00000949 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000083f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a2 ),
+ .I3(NlwRenamedSig_OI_dout_1[15]),
+ .O(\blk00000003/sig00000947 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000083e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a4 ),
+ .I3(NlwRenamedSig_OI_dout_1[13]),
+ .O(\blk00000003/sig00000945 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000083d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a3 ),
+ .I3(NlwRenamedSig_OI_dout_1[14]),
+ .O(\blk00000003/sig00000946 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000083c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a5 ),
+ .I3(NlwRenamedSig_OI_dout_1[12]),
+ .O(\blk00000003/sig00000944 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000083b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a7 ),
+ .I3(NlwRenamedSig_OI_dout_1[10]),
+ .O(\blk00000003/sig00000942 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000083a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a6 ),
+ .I3(NlwRenamedSig_OI_dout_1[11]),
+ .O(\blk00000003/sig00000943 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000839 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a8 ),
+ .I3(NlwRenamedSig_OI_dout_1[9]),
+ .O(\blk00000003/sig00000941 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000838 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001aa ),
+ .I3(NlwRenamedSig_OI_dout_1[7]),
+ .O(\blk00000003/sig0000093f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000837 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001a9 ),
+ .I3(NlwRenamedSig_OI_dout_1[8]),
+ .O(\blk00000003/sig00000940 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000836 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001ab ),
+ .I3(NlwRenamedSig_OI_dout_1[6]),
+ .O(\blk00000003/sig0000093e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000835 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001ad ),
+ .I3(NlwRenamedSig_OI_dout_1[4]),
+ .O(\blk00000003/sig0000093c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000834 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001ac ),
+ .I3(NlwRenamedSig_OI_dout_1[5]),
+ .O(\blk00000003/sig0000093d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000833 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001ae ),
+ .I3(NlwRenamedSig_OI_dout_1[3]),
+ .O(\blk00000003/sig0000093b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000832 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001b0 ),
+ .I3(NlwRenamedSig_OI_dout_1[1]),
+ .O(\blk00000003/sig00000939 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000831 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001af ),
+ .I3(NlwRenamedSig_OI_dout_1[2]),
+ .O(\blk00000003/sig0000093a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000830 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001dc ),
+ .I2(\blk00000003/sig000001b1 ),
+ .I3(NlwRenamedSig_OI_dout_1[0]),
+ .O(\blk00000003/sig00000938 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000082f (
+ .I0(\blk00000003/sig00000936 ),
+ .I1(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig00000920 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000082e (
+ .I0(ce),
+ .I1(\blk00000003/sig000001de ),
+ .O(\blk00000003/sig000009b8 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000082d (
+ .I0(ce),
+ .I1(\blk00000003/sig0000075a ),
+ .O(\blk00000003/sig000009b7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000082c (
+ .I0(ce),
+ .I1(\blk00000003/sig00000754 ),
+ .O(\blk00000003/sig000009b6 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000082b (
+ .I0(ce),
+ .I1(\blk00000003/sig0000074f ),
+ .O(\blk00000003/sig000009b5 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000082a (
+ .I0(ce),
+ .I1(\blk00000003/sig00000744 ),
+ .O(\blk00000003/sig000009b4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000829 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000745 ),
+ .O(\blk00000003/sig0000091e )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000828 (
+ .I0(sclr),
+ .I1(\blk00000003/sig000009b3 ),
+ .O(\blk00000003/sig0000029d )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000827 (
+ .I0(\blk00000003/sig000002a3 ),
+ .I1(\blk00000003/sig000009b2 ),
+ .O(\blk00000003/sig00000298 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000826 (
+ .I0(\blk00000003/sig00000295 ),
+ .I1(\blk00000003/sig000002a3 ),
+ .O(\blk00000003/sig00000296 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDA ))
+ \blk00000003/blk00000825 (
+ .I0(\blk00000003/sig000002a3 ),
+ .I1(\blk00000003/sig000009b2 ),
+ .I2(\blk00000003/sig0000029a ),
+ .O(\blk00000003/sig0000029b )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000824 (
+ .I0(\blk00000003/sig00000293 ),
+ .I1(\blk00000003/sig000001d7 ),
+ .O(\blk00000003/sig00000291 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000823 (
+ .I0(nd),
+ .I1(\blk00000003/sig000000b2 ),
+ .O(\blk00000003/sig0000028d )
+ );
+ LUT3 #(
+ .INIT ( 8'hEA ))
+ \blk00000003/blk00000822 (
+ .I0(\blk00000003/sig000001e8 ),
+ .I1(nd),
+ .I2(\blk00000003/sig000000b2 ),
+ .O(\blk00000003/sig0000028b )
+ );
+ LUT3 #(
+ .INIT ( 8'hDA ))
+ \blk00000003/blk00000821 (
+ .I0(nd),
+ .I1(\blk00000003/sig000000b2 ),
+ .I2(\blk00000003/sig000001e9 ),
+ .O(\blk00000003/sig00000288 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000820 (
+ .I0(\blk00000003/sig00000240 ),
+ .I1(\blk00000003/sig00000247 ),
+ .O(\blk00000003/sig00000284 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000081f (
+ .I0(\blk00000003/sig00000247 ),
+ .I1(\blk00000003/sig0000023f ),
+ .O(\blk00000003/sig00000281 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000081e (
+ .I0(\blk00000003/sig00000247 ),
+ .I1(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig0000027f )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk0000081d (
+ .I0(\blk00000003/sig00000245 ),
+ .I1(\blk00000003/sig0000024d ),
+ .I2(\blk00000003/sig00000247 ),
+ .O(\blk00000003/sig0000027a )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk0000081c (
+ .I0(\blk00000003/sig00000245 ),
+ .I1(\blk00000003/sig00000243 ),
+ .I2(\blk00000003/sig00000247 ),
+ .O(\blk00000003/sig0000027c )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000081b (
+ .I0(\blk00000003/sig00000240 ),
+ .I1(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig00000273 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000081a (
+ .I0(\blk00000003/sig0000023f ),
+ .I1(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig00000271 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000819 (
+ .I0(\blk00000003/sig0000023d ),
+ .I1(\blk00000003/sig00000247 ),
+ .I2(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig0000026c )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000818 (
+ .I0(\blk00000003/sig00000242 ),
+ .I1(\blk00000003/sig00000243 ),
+ .O(\blk00000003/sig00000268 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000817 (
+ .I0(\blk00000003/sig00000241 ),
+ .I1(\blk00000003/sig00000243 ),
+ .I2(\blk00000003/sig0000024d ),
+ .O(\blk00000003/sig0000026a )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000816 (
+ .I0(\blk00000003/sig00000250 ),
+ .I1(\blk00000003/sig000009b1 ),
+ .O(\blk00000003/sig00000263 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000815 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000227 ),
+ .I2(\blk00000003/sig0000022f ),
+ .O(\blk00000003/sig0000025a )
+ );
+ LUT4 #(
+ .INIT ( 16'hE6CC ))
+ \blk00000003/blk00000814 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig0000025d ),
+ .I2(\blk00000003/sig00000227 ),
+ .I3(\blk00000003/sig0000022f ),
+ .O(\blk00000003/sig00000252 )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk00000813 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig00000250 ),
+ .O(\blk00000003/sig00000246 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000812 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig0000022f ),
+ .O(\blk00000003/sig00000228 )
+ );
+ LUT3 #(
+ .INIT ( 8'h20 ))
+ \blk00000003/blk00000811 (
+ .I0(coef_we),
+ .I1(coef_ld),
+ .I2(\blk00000003/sig0000023b ),
+ .O(\blk00000003/sig0000024e )
+ );
+ LUT3 #(
+ .INIT ( 8'h20 ))
+ \blk00000003/blk00000810 (
+ .I0(\blk00000003/sig0000025b ),
+ .I1(\blk00000003/sig0000025c ),
+ .I2(\blk00000003/sig0000025d ),
+ .O(\blk00000003/sig0000022c )
+ );
+ LUT3 #(
+ .INIT ( 8'h04 ))
+ \blk00000003/blk0000080f (
+ .I0(\blk00000003/sig0000025c ),
+ .I1(\blk00000003/sig0000025b ),
+ .I2(\blk00000003/sig0000025d ),
+ .O(\blk00000003/sig0000022a )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk0000080e (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig00000250 ),
+ .I2(\blk00000003/sig00000239 ),
+ .O(\blk00000003/sig00000222 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk0000080d (
+ .I0(coef_we),
+ .I1(\blk00000003/sig0000023b ),
+ .I2(\blk00000003/sig00000239 ),
+ .O(\blk00000003/sig0000021f )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000080c (
+ .I0(\blk00000003/sig00000223 ),
+ .I1(coef_we),
+ .O(\blk00000003/sig00000224 )
+ );
+ LUT5 #(
+ .INIT ( 32'hFFFF2AAA ))
+ \blk00000003/blk0000080b (
+ .I0(\blk00000003/sig0000023b ),
+ .I1(coef_we),
+ .I2(\blk00000003/sig0000022f ),
+ .I3(\blk00000003/sig00000227 ),
+ .I4(coef_ld),
+ .O(\blk00000003/sig0000023a )
+ );
+ LUT4 #(
+ .INIT ( 16'hFF8A ))
+ \blk00000003/blk0000080a (
+ .I0(\blk00000003/sig00000239 ),
+ .I1(\blk00000003/sig0000023b ),
+ .I2(coef_we),
+ .I3(coef_ld),
+ .O(\blk00000003/sig00000238 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000809 (
+ .I0(\blk00000003/sig000000ad ),
+ .I1(nd),
+ .O(\blk00000003/sig000001e6 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000808 (
+ .I0(\blk00000003/sig000000c6 ),
+ .I1(\blk00000003/sig000001dc ),
+ .O(\blk00000003/sig000001e4 )
+ );
+ LUT3 #(
+ .INIT ( 8'h10 ))
+ \blk00000003/blk00000807 (
+ .I0(\blk00000003/sig000000c6 ),
+ .I1(\blk00000003/sig00000931 ),
+ .I2(\blk00000003/sig000009b0 ),
+ .O(\blk00000003/sig000000c7 )
+ );
+ LUT3 #(
+ .INIT ( 8'hEA ))
+ \blk00000003/blk00000806 (
+ .I0(sclr),
+ .I1(ce),
+ .I2(\blk00000003/sig00000931 ),
+ .O(\blk00000003/sig000001dd )
+ );
+ LUT5 #(
+ .INIT ( 32'h00002000 ))
+ \blk00000003/blk00000805 (
+ .I0(\blk00000003/sig000009a6 ),
+ .I1(\blk00000003/sig000009a7 ),
+ .I2(\blk00000003/sig000009a8 ),
+ .I3(\blk00000003/sig000009a9 ),
+ .I4(\blk00000003/sig000009aa ),
+ .O(\blk00000003/sig000000c9 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk00000804 (
+ .I0(\blk00000003/sig000001d7 ),
+ .I1(\blk00000003/sig000001ca ),
+ .I2(\blk00000003/sig000001e5 ),
+ .O(\blk00000003/sig000001d6 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000803 (
+ .I0(\blk00000003/sig00000294 ),
+ .I1(\blk00000003/sig000001e1 ),
+ .O(\blk00000003/sig000001d8 )
+ );
+ LUT3 #(
+ .INIT ( 8'hD8 ))
+ \blk00000003/blk00000802 (
+ .I0(ce),
+ .I1(\blk00000003/sig000009ac ),
+ .I2(\blk00000003/sig000000bc ),
+ .O(\blk00000003/sig000000bb )
+ );
+ LUT3 #(
+ .INIT ( 8'h72 ))
+ \blk00000003/blk00000801 (
+ .I0(ce),
+ .I1(\blk00000003/sig000009ac ),
+ .I2(\blk00000003/sig000000ba ),
+ .O(\blk00000003/sig000000b9 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000800 (
+ .I0(\blk00000003/sig000000b4 ),
+ .I1(\blk00000003/sig000001ca ),
+ .O(\blk00000003/sig000000b5 )
+ );
+ LUT5 #(
+ .INIT ( 32'hCEEE8AAA ))
+ \blk00000003/blk000007ff (
+ .I0(\blk00000003/sig000001ca ),
+ .I1(\blk00000003/sig000001e5 ),
+ .I2(\blk00000003/sig000001d5 ),
+ .I3(\blk00000003/sig000001d7 ),
+ .I4(\blk00000003/sig000001d3 ),
+ .O(\blk00000003/sig000001d4 )
+ );
+ LUT4 #(
+ .INIT ( 16'h8808 ))
+ \blk00000003/blk000007fe (
+ .I0(\blk00000003/sig000001d5 ),
+ .I1(\blk00000003/sig000009af ),
+ .I2(\blk00000003/sig000001d7 ),
+ .I3(\blk00000003/sig000001e5 ),
+ .O(\blk00000003/sig000001d0 )
+ );
+ LUT3 #(
+ .INIT ( 8'h09 ))
+ \blk00000003/blk000007fd (
+ .I0(\blk00000003/sig000009ae ),
+ .I1(\blk00000003/sig000001e8 ),
+ .I2(\blk00000003/sig000001e9 ),
+ .O(\blk00000003/sig000000b0 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5540 ))
+ \blk00000003/blk000007fc (
+ .I0(\blk00000003/sig000001e5 ),
+ .I1(\blk00000003/sig000001d5 ),
+ .I2(\blk00000003/sig000001d7 ),
+ .I3(\blk00000003/sig000001d3 ),
+ .O(\blk00000003/sig000001d2 )
+ );
+ LUT3 #(
+ .INIT ( 8'h9A ))
+ \blk00000003/blk000007fb (
+ .I0(\blk00000003/sig00000293 ),
+ .I1(\blk00000003/sig00000294 ),
+ .I2(\blk00000003/sig000001e1 ),
+ .O(\blk00000003/sig000001da )
+ );
+ LUT4 #(
+ .INIT ( 16'hFDA8 ))
+ \blk00000003/blk000007fa (
+ .I0(ce),
+ .I1(\blk00000003/sig000009ac ),
+ .I2(\blk00000003/sig000009ad ),
+ .I3(\blk00000003/sig000000b8 ),
+ .O(\blk00000003/sig000000b7 )
+ );
+ MUXCY \blk00000003/blk000007f9 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(NlwRenamedSig_OI_rfd),
+ .S(\blk00000003/sig000009ab ),
+ .O(\blk00000003/sig000009a3 )
+ );
+ MUXCY_L \blk00000003/blk000007f8 (
+ .CI(\blk00000003/sig000009a3 ),
+ .DI(\blk00000003/sig000009aa ),
+ .S(\blk00000003/sig000009a4 ),
+ .LO(\blk00000003/sig000009a0 )
+ );
+ MUXCY_L \blk00000003/blk000007f7 (
+ .CI(\blk00000003/sig000009a0 ),
+ .DI(\blk00000003/sig000009a9 ),
+ .S(\blk00000003/sig000009a1 ),
+ .LO(\blk00000003/sig0000099d )
+ );
+ MUXCY_L \blk00000003/blk000007f6 (
+ .CI(\blk00000003/sig0000099d ),
+ .DI(\blk00000003/sig000009a8 ),
+ .S(\blk00000003/sig0000099e ),
+ .LO(\blk00000003/sig0000099a )
+ );
+ MUXCY_L \blk00000003/blk000007f5 (
+ .CI(\blk00000003/sig0000099a ),
+ .DI(\blk00000003/sig000009a7 ),
+ .S(\blk00000003/sig0000099b ),
+ .LO(\blk00000003/sig00000997 )
+ );
+ MUXCY_D \blk00000003/blk000007f4 (
+ .CI(\blk00000003/sig00000997 ),
+ .DI(\blk00000003/sig000009a6 ),
+ .S(\blk00000003/sig00000998 ),
+ .O(\NLW_blk00000003/blk000007f4_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000007f4_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000007f3 (
+ .CI(\blk00000003/sig000009a3 ),
+ .LI(\blk00000003/sig000009a4 ),
+ .O(\blk00000003/sig000009a5 )
+ );
+ XORCY \blk00000003/blk000007f2 (
+ .CI(\blk00000003/sig000009a0 ),
+ .LI(\blk00000003/sig000009a1 ),
+ .O(\blk00000003/sig000009a2 )
+ );
+ XORCY \blk00000003/blk000007f1 (
+ .CI(\blk00000003/sig0000099d ),
+ .LI(\blk00000003/sig0000099e ),
+ .O(\blk00000003/sig0000099f )
+ );
+ XORCY \blk00000003/blk000007f0 (
+ .CI(\blk00000003/sig0000099a ),
+ .LI(\blk00000003/sig0000099b ),
+ .O(\blk00000003/sig0000099c )
+ );
+ XORCY \blk00000003/blk000007ef (
+ .CI(\blk00000003/sig00000997 ),
+ .LI(\blk00000003/sig00000998 ),
+ .O(\blk00000003/sig00000999 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000996 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000004a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000995 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[46])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000994 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[45])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000993 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[44])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000992 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[43])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000991 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[42])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000990 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[41])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000098f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[40])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000098e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[39])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000098d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[38])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000098c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[37])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000098b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[36])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000098a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[35])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000989 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[34])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007e0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000988 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[33])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007df (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000987 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[32])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007de (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000986 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[31])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007dd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000985 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[30])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007dc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000984 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[29])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007db (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000983 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[28])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007da (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000982 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[27])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000981 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[26])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000980 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[25])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000097f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[24])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000097e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[23])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000097d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[22])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000097c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[21])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000097b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[20])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000097a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[19])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000979 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[18])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000978 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[17])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000977 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[16])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000976 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[15])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000975 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[14])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000974 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[13])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000973 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[12])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000972 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[11])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000971 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[10])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000970 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[9])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000096f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[8])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000096e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[7])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000096d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[6])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000096c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[5])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000096b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[4])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000096a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[3])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000969 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[2])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000968 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[1])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000967 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[0])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000966 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[46])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000965 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[45])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000964 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[44])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000963 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[43])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000962 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[42])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000961 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[41])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000960 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[40])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000095f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[39])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000095e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[38])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000095d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[37])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000095c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[36])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000095b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[35])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000095a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[34])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000959 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[33])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007b0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000958 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[32])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007af (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000957 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[31])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ae (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000956 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[30])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000955 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[29])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000954 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[28])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000953 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[27])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000952 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[26])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000951 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[25])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000950 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[24])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000094f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[23])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000094e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[22])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000094d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[21])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000094c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[20])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000094b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[19])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000094a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[18])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000949 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[17])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000007a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000948 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[16])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000079f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000947 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[15])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000079e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000946 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[14])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000079d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000945 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[13])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000079c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000944 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[12])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000079b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000943 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[11])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000079a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000942 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[10])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000799 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000941 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[9])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000798 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000940 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[8])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000797 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000093f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[7])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000796 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000093e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[6])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000795 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000093d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[5])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000794 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000093c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[4])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000793 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000093b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[3])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000792 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000093a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[2])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000791 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000939 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[1])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000790 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000938 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[0])
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000078f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000937 ),
+ .Q(\blk00000003/sig000001dc )
+ );
+ MUXCY_L \blk00000003/blk0000078e (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000936 ),
+ .S(\blk00000003/sig00000920 ),
+ .LO(\blk00000003/sig0000092e )
+ );
+ MUXCY_L \blk00000003/blk0000078d (
+ .CI(\blk00000003/sig0000092e ),
+ .DI(\blk00000003/sig00000935 ),
+ .S(\blk00000003/sig0000092f ),
+ .LO(\blk00000003/sig0000092b )
+ );
+ MUXCY_L \blk00000003/blk0000078c (
+ .CI(\blk00000003/sig0000092b ),
+ .DI(\blk00000003/sig00000934 ),
+ .S(\blk00000003/sig0000092c ),
+ .LO(\blk00000003/sig00000928 )
+ );
+ MUXCY_L \blk00000003/blk0000078b (
+ .CI(\blk00000003/sig00000928 ),
+ .DI(\blk00000003/sig00000933 ),
+ .S(\blk00000003/sig00000929 ),
+ .LO(\blk00000003/sig00000925 )
+ );
+ MUXCY_L \blk00000003/blk0000078a (
+ .CI(\blk00000003/sig00000925 ),
+ .DI(\blk00000003/sig00000932 ),
+ .S(\blk00000003/sig00000926 ),
+ .LO(\blk00000003/sig00000922 )
+ );
+ MUXCY_D \blk00000003/blk00000789 (
+ .CI(\blk00000003/sig00000922 ),
+ .DI(\blk00000003/sig00000931 ),
+ .S(\blk00000003/sig00000923 ),
+ .O(\NLW_blk00000003/blk00000789_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk00000789_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk00000788 (
+ .CI(\blk00000003/sig0000092e ),
+ .LI(\blk00000003/sig0000092f ),
+ .O(\blk00000003/sig00000930 )
+ );
+ XORCY \blk00000003/blk00000787 (
+ .CI(\blk00000003/sig0000092b ),
+ .LI(\blk00000003/sig0000092c ),
+ .O(\blk00000003/sig0000092d )
+ );
+ XORCY \blk00000003/blk00000786 (
+ .CI(\blk00000003/sig00000928 ),
+ .LI(\blk00000003/sig00000929 ),
+ .O(\blk00000003/sig0000092a )
+ );
+ XORCY \blk00000003/blk00000785 (
+ .CI(\blk00000003/sig00000925 ),
+ .LI(\blk00000003/sig00000926 ),
+ .O(\blk00000003/sig00000927 )
+ );
+ XORCY \blk00000003/blk00000784 (
+ .CI(\blk00000003/sig00000922 ),
+ .LI(\blk00000003/sig00000923 ),
+ .O(\blk00000003/sig00000924 )
+ );
+ XORCY \blk00000003/blk00000783 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000920 ),
+ .O(\blk00000003/sig00000921 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003d2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000779 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075a (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003d1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000778 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000759 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003d0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000777 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000758 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003cf ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000776 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000757 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003ce ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000775 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000756 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003cd ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000774 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000755 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003cc ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000773 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000754 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003cb ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000772 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000753 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003ca ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000771 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000752 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000770 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000751 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000076f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000750 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000076e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000076d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074e (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000076c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074d (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000076b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074c (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000076a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000769 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074a (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000768 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000749 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003c0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000767 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000748 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003bf ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000766 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000747 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003be ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000765 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000746 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003bd ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000764 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000745 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003bc ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000763 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000744 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig000003bb ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000762 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000743 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000432 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000791 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000742 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000431 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000790 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000741 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000430 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000078f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000740 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000042f ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000078e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000042e ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000078d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073e (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000042d ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000078c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073d (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000042c ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000078b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073c (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000042b ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000078a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073b (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000042a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000789 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073a (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000429 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000788 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000739 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000428 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000787 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000738 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000427 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000786 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000737 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000426 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000785 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000736 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000425 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000784 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000735 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000424 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000783 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000734 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000423 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000782 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000733 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000422 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000781 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000732 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000421 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000780 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000731 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig00000420 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000077f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000730 (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000041f ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000077e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072f (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000041e ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000077d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072e (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000041d ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000077c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072d (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000041c ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000077b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072c (
+ .C(clk),
+ .CE(\blk00000003/sig0000091e ),
+ .D(\blk00000003/sig0000041b ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000077a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000011f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000295 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000075f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000011e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000075c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000011d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000075b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000011c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000761 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000075d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000011b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000760 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000075a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000011a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029a ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000075e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075f ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000759 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000118 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075e ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000758 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075d ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000755 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000116 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000757 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000115 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075b ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000756 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000114 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000754 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000113 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000759 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000750 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000112 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000758 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000751 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000111 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000757 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000753 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000110 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000756 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000752 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000755 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000074e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000754 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000074f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000753 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000074c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000752 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000074a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000751 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000748 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000750 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000746 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000109 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074f ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000744 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000108 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074e ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000742 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000107 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074c ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000074d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000106 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074a ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000074b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000105 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000748 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000749 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000104 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000746 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000747 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000103 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000744 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000745 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000102 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000742 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000743 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000101 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000740 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000741 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000100 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073f ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000740 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000073f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073d ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000073e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000237 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000073d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073a ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000073c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000739 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000073b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000738 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000073a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000737 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000739 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000736 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000738 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000735 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000737 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000734 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000736 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000733 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000735 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000262 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000734 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000025f ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000733 )
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000f2 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000f2_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000f2_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000f2_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000f2_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000f2_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000f2_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000f2_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig000006d3 , \blk00000003/sig000006d4 , \blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 ,
+\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc ,
+\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df , \blk00000003/sig000006e0 , \blk00000003/sig000006e1 ,
+\blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , \blk00000003/sig000006e5 , \blk00000003/sig000006e6 ,
+\blk00000003/sig000006e7 , \blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb ,
+\blk00000003/sig000006ec , \blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 ,
+\blk00000003/sig000006f1 , \blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 ,
+\blk00000003/sig000006f6 , \blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa ,
+\blk00000003/sig000006fb , \blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff ,
+\blk00000003/sig00000700 , \blk00000003/sig00000701 , \blk00000003/sig00000702 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000f2_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 ,
+\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa ,
+\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af ,
+\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 }),
+ .BCOUT({\NLW_blk00000003/blk000000f2_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f2_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000703 , \blk00000003/sig00000703 , \blk00000003/sig00000704 , \blk00000003/sig00000705 , \blk00000003/sig00000706 ,
+\blk00000003/sig00000707 , \blk00000003/sig00000708 , \blk00000003/sig00000709 , \blk00000003/sig0000070a , \blk00000003/sig0000070b ,
+\blk00000003/sig0000070c , \blk00000003/sig0000070d , \blk00000003/sig0000070e , \blk00000003/sig0000070f , \blk00000003/sig00000710 ,
+\blk00000003/sig00000711 , \blk00000003/sig00000712 , \blk00000003/sig00000713 , \blk00000003/sig00000714 , \blk00000003/sig00000715 ,
+\blk00000003/sig00000716 , \blk00000003/sig00000717 , \blk00000003/sig00000718 , \blk00000003/sig00000719 , \blk00000003/sig0000071a }),
+ .P({\NLW_blk00000003/blk000000f2_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f2_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f2_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071b ,
+\blk00000003/sig0000071b , \blk00000003/sig0000071b , \blk00000003/sig0000071c , \blk00000003/sig0000071d , \blk00000003/sig0000071e ,
+\blk00000003/sig0000071f , \blk00000003/sig00000720 , \blk00000003/sig00000721 , \blk00000003/sig00000722 , \blk00000003/sig00000723 ,
+\blk00000003/sig00000724 , \blk00000003/sig00000725 , \blk00000003/sig00000726 , \blk00000003/sig00000727 , \blk00000003/sig00000728 ,
+\blk00000003/sig00000729 , \blk00000003/sig0000072a , \blk00000003/sig0000072b , \blk00000003/sig0000072c , \blk00000003/sig0000072d ,
+\blk00000003/sig0000072e , \blk00000003/sig0000072f , \blk00000003/sig00000730 , \blk00000003/sig00000731 , \blk00000003/sig00000732 }),
+ .PCOUT({\blk00000003/sig000003eb , \blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef ,
+\blk00000003/sig000003f0 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 ,
+\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 ,
+\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe ,
+\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 ,
+\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 ,
+\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d ,
+\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 ,
+\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 ,
+\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000f1 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000f1_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000f1_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000f1_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000f1_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000f1_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000f1_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000f1_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , \blk00000003/sig00000677 ,
+\blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , \blk00000003/sig0000067c ,
+\blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , \blk00000003/sig00000681 ,
+\blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , \blk00000003/sig00000686 ,
+\blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , \blk00000003/sig0000068b ,
+\blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , \blk00000003/sig00000690 ,
+\blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , \blk00000003/sig00000695 ,
+\blk00000003/sig00000696 , \blk00000003/sig00000697 , \blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a ,
+\blk00000003/sig0000069b , \blk00000003/sig0000069c , \blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f ,
+\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000f1_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig0000052f , \blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 ,
+\blk00000003/sig00000534 , \blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 ,
+\blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d ,
+\blk00000003/sig0000053e , \blk00000003/sig0000053f , \blk00000003/sig00000540 }),
+ .BCOUT({\NLW_blk00000003/blk000000f1_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f1_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000006a3 , \blk00000003/sig000006a3 , \blk00000003/sig000006a4 , \blk00000003/sig000006a5 , \blk00000003/sig000006a6 ,
+\blk00000003/sig000006a7 , \blk00000003/sig000006a8 , \blk00000003/sig000006a9 , \blk00000003/sig000006aa , \blk00000003/sig000006ab ,
+\blk00000003/sig000006ac , \blk00000003/sig000006ad , \blk00000003/sig000006ae , \blk00000003/sig000006af , \blk00000003/sig000006b0 ,
+\blk00000003/sig000006b1 , \blk00000003/sig000006b2 , \blk00000003/sig000006b3 , \blk00000003/sig000006b4 , \blk00000003/sig000006b5 ,
+\blk00000003/sig000006b6 , \blk00000003/sig000006b7 , \blk00000003/sig000006b8 , \blk00000003/sig000006b9 , \blk00000003/sig000006ba }),
+ .P({\NLW_blk00000003/blk000000f1_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f1_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f1_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bb ,
+\blk00000003/sig000006bb , \blk00000003/sig000006bb , \blk00000003/sig000006bc , \blk00000003/sig000006bd , \blk00000003/sig000006be ,
+\blk00000003/sig000006bf , \blk00000003/sig000006c0 , \blk00000003/sig000006c1 , \blk00000003/sig000006c2 , \blk00000003/sig000006c3 ,
+\blk00000003/sig000006c4 , \blk00000003/sig000006c5 , \blk00000003/sig000006c6 , \blk00000003/sig000006c7 , \blk00000003/sig000006c8 ,
+\blk00000003/sig000006c9 , \blk00000003/sig000006ca , \blk00000003/sig000006cb , \blk00000003/sig000006cc , \blk00000003/sig000006cd ,
+\blk00000003/sig000006ce , \blk00000003/sig000006cf , \blk00000003/sig000006d0 , \blk00000003/sig000006d1 , \blk00000003/sig000006d2 }),
+ .PCOUT({\blk00000003/sig000006d3 , \blk00000003/sig000006d4 , \blk00000003/sig000006d5 , \blk00000003/sig000006d6 , \blk00000003/sig000006d7 ,
+\blk00000003/sig000006d8 , \blk00000003/sig000006d9 , \blk00000003/sig000006da , \blk00000003/sig000006db , \blk00000003/sig000006dc ,
+\blk00000003/sig000006dd , \blk00000003/sig000006de , \blk00000003/sig000006df , \blk00000003/sig000006e0 , \blk00000003/sig000006e1 ,
+\blk00000003/sig000006e2 , \blk00000003/sig000006e3 , \blk00000003/sig000006e4 , \blk00000003/sig000006e5 , \blk00000003/sig000006e6 ,
+\blk00000003/sig000006e7 , \blk00000003/sig000006e8 , \blk00000003/sig000006e9 , \blk00000003/sig000006ea , \blk00000003/sig000006eb ,
+\blk00000003/sig000006ec , \blk00000003/sig000006ed , \blk00000003/sig000006ee , \blk00000003/sig000006ef , \blk00000003/sig000006f0 ,
+\blk00000003/sig000006f1 , \blk00000003/sig000006f2 , \blk00000003/sig000006f3 , \blk00000003/sig000006f4 , \blk00000003/sig000006f5 ,
+\blk00000003/sig000006f6 , \blk00000003/sig000006f7 , \blk00000003/sig000006f8 , \blk00000003/sig000006f9 , \blk00000003/sig000006fa ,
+\blk00000003/sig000006fb , \blk00000003/sig000006fc , \blk00000003/sig000006fd , \blk00000003/sig000006fe , \blk00000003/sig000006ff ,
+\blk00000003/sig00000700 , \blk00000003/sig00000701 , \blk00000003/sig00000702 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000f0 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000f0_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000f0_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000f0_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000f0_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000f0_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000f0_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000f0_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 ,
+\blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c ,
+\blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , \blk00000003/sig00000621 ,
+\blk00000003/sig00000622 , \blk00000003/sig00000623 , \blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 ,
+\blk00000003/sig00000627 , \blk00000003/sig00000628 , \blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b ,
+\blk00000003/sig0000062c , \blk00000003/sig0000062d , \blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 ,
+\blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 ,
+\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a ,
+\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , \blk00000003/sig0000063e , \blk00000003/sig0000063f ,
+\blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000f0_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 ,
+\blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 ,
+\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb ,
+\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce }),
+ .BCOUT({\NLW_blk00000003/blk000000f0_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f0_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000643 , \blk00000003/sig00000643 , \blk00000003/sig00000644 , \blk00000003/sig00000645 , \blk00000003/sig00000646 ,
+\blk00000003/sig00000647 , \blk00000003/sig00000648 , \blk00000003/sig00000649 , \blk00000003/sig0000064a , \blk00000003/sig0000064b ,
+\blk00000003/sig0000064c , \blk00000003/sig0000064d , \blk00000003/sig0000064e , \blk00000003/sig0000064f , \blk00000003/sig00000650 ,
+\blk00000003/sig00000651 , \blk00000003/sig00000652 , \blk00000003/sig00000653 , \blk00000003/sig00000654 , \blk00000003/sig00000655 ,
+\blk00000003/sig00000656 , \blk00000003/sig00000657 , \blk00000003/sig00000658 , \blk00000003/sig00000659 , \blk00000003/sig0000065a }),
+ .P({\NLW_blk00000003/blk000000f0_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f0_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f0_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065b ,
+\blk00000003/sig0000065b , \blk00000003/sig0000065b , \blk00000003/sig0000065c , \blk00000003/sig0000065d , \blk00000003/sig0000065e ,
+\blk00000003/sig0000065f , \blk00000003/sig00000660 , \blk00000003/sig00000661 , \blk00000003/sig00000662 , \blk00000003/sig00000663 ,
+\blk00000003/sig00000664 , \blk00000003/sig00000665 , \blk00000003/sig00000666 , \blk00000003/sig00000667 , \blk00000003/sig00000668 ,
+\blk00000003/sig00000669 , \blk00000003/sig0000066a , \blk00000003/sig0000066b , \blk00000003/sig0000066c , \blk00000003/sig0000066d ,
+\blk00000003/sig0000066e , \blk00000003/sig0000066f , \blk00000003/sig00000670 , \blk00000003/sig00000671 , \blk00000003/sig00000672 }),
+ .PCOUT({\blk00000003/sig00000673 , \blk00000003/sig00000674 , \blk00000003/sig00000675 , \blk00000003/sig00000676 , \blk00000003/sig00000677 ,
+\blk00000003/sig00000678 , \blk00000003/sig00000679 , \blk00000003/sig0000067a , \blk00000003/sig0000067b , \blk00000003/sig0000067c ,
+\blk00000003/sig0000067d , \blk00000003/sig0000067e , \blk00000003/sig0000067f , \blk00000003/sig00000680 , \blk00000003/sig00000681 ,
+\blk00000003/sig00000682 , \blk00000003/sig00000683 , \blk00000003/sig00000684 , \blk00000003/sig00000685 , \blk00000003/sig00000686 ,
+\blk00000003/sig00000687 , \blk00000003/sig00000688 , \blk00000003/sig00000689 , \blk00000003/sig0000068a , \blk00000003/sig0000068b ,
+\blk00000003/sig0000068c , \blk00000003/sig0000068d , \blk00000003/sig0000068e , \blk00000003/sig0000068f , \blk00000003/sig00000690 ,
+\blk00000003/sig00000691 , \blk00000003/sig00000692 , \blk00000003/sig00000693 , \blk00000003/sig00000694 , \blk00000003/sig00000695 ,
+\blk00000003/sig00000696 , \blk00000003/sig00000697 , \blk00000003/sig00000698 , \blk00000003/sig00000699 , \blk00000003/sig0000069a ,
+\blk00000003/sig0000069b , \blk00000003/sig0000069c , \blk00000003/sig0000069d , \blk00000003/sig0000069e , \blk00000003/sig0000069f ,
+\blk00000003/sig000006a0 , \blk00000003/sig000006a1 , \blk00000003/sig000006a2 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000ef (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000ef_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000ef_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000ef_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000ef_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000ef_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000ef_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000ef_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d ,
+\blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 ,
+\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 ,
+\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c ,
+\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 ,
+\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 ,
+\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b ,
+\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 ,
+\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 ,
+\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000ef_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig0000044b , \blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f ,
+\blk00000003/sig00000450 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 ,
+\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 ,
+\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c }),
+ .BCOUT({\NLW_blk00000003/blk000000ef_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ef_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000005e3 , \blk00000003/sig000005e3 , \blk00000003/sig000005e4 , \blk00000003/sig000005e5 , \blk00000003/sig000005e6 ,
+\blk00000003/sig000005e7 , \blk00000003/sig000005e8 , \blk00000003/sig000005e9 , \blk00000003/sig000005ea , \blk00000003/sig000005eb ,
+\blk00000003/sig000005ec , \blk00000003/sig000005ed , \blk00000003/sig000005ee , \blk00000003/sig000005ef , \blk00000003/sig000005f0 ,
+\blk00000003/sig000005f1 , \blk00000003/sig000005f2 , \blk00000003/sig000005f3 , \blk00000003/sig000005f4 , \blk00000003/sig000005f5 ,
+\blk00000003/sig000005f6 , \blk00000003/sig000005f7 , \blk00000003/sig000005f8 , \blk00000003/sig000005f9 , \blk00000003/sig000005fa }),
+ .P({\NLW_blk00000003/blk000000ef_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ef_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ef_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fb ,
+\blk00000003/sig000005fb , \blk00000003/sig000005fb , \blk00000003/sig000005fc , \blk00000003/sig000005fd , \blk00000003/sig000005fe ,
+\blk00000003/sig000005ff , \blk00000003/sig00000600 , \blk00000003/sig00000601 , \blk00000003/sig00000602 , \blk00000003/sig00000603 ,
+\blk00000003/sig00000604 , \blk00000003/sig00000605 , \blk00000003/sig00000606 , \blk00000003/sig00000607 , \blk00000003/sig00000608 ,
+\blk00000003/sig00000609 , \blk00000003/sig0000060a , \blk00000003/sig0000060b , \blk00000003/sig0000060c , \blk00000003/sig0000060d ,
+\blk00000003/sig0000060e , \blk00000003/sig0000060f , \blk00000003/sig00000610 , \blk00000003/sig00000611 , \blk00000003/sig00000612 }),
+ .PCOUT({\blk00000003/sig00000613 , \blk00000003/sig00000614 , \blk00000003/sig00000615 , \blk00000003/sig00000616 , \blk00000003/sig00000617 ,
+\blk00000003/sig00000618 , \blk00000003/sig00000619 , \blk00000003/sig0000061a , \blk00000003/sig0000061b , \blk00000003/sig0000061c ,
+\blk00000003/sig0000061d , \blk00000003/sig0000061e , \blk00000003/sig0000061f , \blk00000003/sig00000620 , \blk00000003/sig00000621 ,
+\blk00000003/sig00000622 , \blk00000003/sig00000623 , \blk00000003/sig00000624 , \blk00000003/sig00000625 , \blk00000003/sig00000626 ,
+\blk00000003/sig00000627 , \blk00000003/sig00000628 , \blk00000003/sig00000629 , \blk00000003/sig0000062a , \blk00000003/sig0000062b ,
+\blk00000003/sig0000062c , \blk00000003/sig0000062d , \blk00000003/sig0000062e , \blk00000003/sig0000062f , \blk00000003/sig00000630 ,
+\blk00000003/sig00000631 , \blk00000003/sig00000632 , \blk00000003/sig00000633 , \blk00000003/sig00000634 , \blk00000003/sig00000635 ,
+\blk00000003/sig00000636 , \blk00000003/sig00000637 , \blk00000003/sig00000638 , \blk00000003/sig00000639 , \blk00000003/sig0000063a ,
+\blk00000003/sig0000063b , \blk00000003/sig0000063c , \blk00000003/sig0000063d , \blk00000003/sig0000063e , \blk00000003/sig0000063f ,
+\blk00000003/sig00000640 , \blk00000003/sig00000641 , \blk00000003/sig00000642 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000ee (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000ee_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000ee_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000ee_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000ee_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000ee_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000ee_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000ee_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 ,
+\blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a ,
+\blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f ,
+\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 ,
+\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 ,
+\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e ,
+\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 ,
+\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 ,
+\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d ,
+\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000ee_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000005a1 , \blk00000003/sig000005a2 , \blk00000003/sig000005a3 , \blk00000003/sig000005a4 , \blk00000003/sig000005a5 ,
+\blk00000003/sig000005a6 , \blk00000003/sig000005a7 , \blk00000003/sig000005a8 , \blk00000003/sig000005a9 , \blk00000003/sig000005aa ,
+\blk00000003/sig000005ab , \blk00000003/sig000005ac , \blk00000003/sig000005ad , \blk00000003/sig000005ae , \blk00000003/sig000005af ,
+\blk00000003/sig000005b0 , \blk00000003/sig000005b1 , \blk00000003/sig000005b2 }),
+ .BCOUT({\NLW_blk00000003/blk000000ee_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ee_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000005b3 , \blk00000003/sig000005b3 , \blk00000003/sig000005b4 , \blk00000003/sig000005b5 , \blk00000003/sig000005b6 ,
+\blk00000003/sig000005b7 , \blk00000003/sig000005b8 , \blk00000003/sig000005b9 , \blk00000003/sig000005ba , \blk00000003/sig000005bb ,
+\blk00000003/sig000005bc , \blk00000003/sig000005bd , \blk00000003/sig000005be , \blk00000003/sig000005bf , \blk00000003/sig000005c0 ,
+\blk00000003/sig000005c1 , \blk00000003/sig000005c2 , \blk00000003/sig000005c3 , \blk00000003/sig000005c4 , \blk00000003/sig000005c5 ,
+\blk00000003/sig000005c6 , \blk00000003/sig000005c7 , \blk00000003/sig000005c8 , \blk00000003/sig000005c9 , \blk00000003/sig000005ca }),
+ .P({\NLW_blk00000003/blk000000ee_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ee_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ee_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cb ,
+\blk00000003/sig000005cb , \blk00000003/sig000005cb , \blk00000003/sig000005cc , \blk00000003/sig000005cd , \blk00000003/sig000005ce ,
+\blk00000003/sig000005cf , \blk00000003/sig000005d0 , \blk00000003/sig000005d1 , \blk00000003/sig000005d2 , \blk00000003/sig000005d3 ,
+\blk00000003/sig000005d4 , \blk00000003/sig000005d5 , \blk00000003/sig000005d6 , \blk00000003/sig000005d7 , \blk00000003/sig000005d8 ,
+\blk00000003/sig000005d9 , \blk00000003/sig000005da , \blk00000003/sig000005db , \blk00000003/sig000005dc , \blk00000003/sig000005dd ,
+\blk00000003/sig000005de , \blk00000003/sig000005df , \blk00000003/sig000005e0 , \blk00000003/sig000005e1 , \blk00000003/sig000005e2 }),
+ .PCOUT({\blk00000003/sig00000379 , \blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d ,
+\blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 ,
+\blk00000003/sig00000383 , \blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 ,
+\blk00000003/sig00000388 , \blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c ,
+\blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 ,
+\blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 ,
+\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b ,
+\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 ,
+\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 ,
+\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000ed (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000ed_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000ed_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000ed_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000ed_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000ed_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000ed_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000ed_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 ,
+\blk00000003/sig00000504 , \blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 ,
+\blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , \blk00000003/sig0000050d ,
+\blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , \blk00000003/sig00000512 ,
+\blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , \blk00000003/sig00000517 ,
+\blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , \blk00000003/sig0000051c ,
+\blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 ,
+\blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 ,
+\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b ,
+\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000ed_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig0000052f , \blk00000003/sig00000530 , \blk00000003/sig00000531 , \blk00000003/sig00000532 , \blk00000003/sig00000533 ,
+\blk00000003/sig00000534 , \blk00000003/sig00000535 , \blk00000003/sig00000536 , \blk00000003/sig00000537 , \blk00000003/sig00000538 ,
+\blk00000003/sig00000539 , \blk00000003/sig0000053a , \blk00000003/sig0000053b , \blk00000003/sig0000053c , \blk00000003/sig0000053d ,
+\blk00000003/sig0000053e , \blk00000003/sig0000053f , \blk00000003/sig00000540 }),
+ .BCOUT({\NLW_blk00000003/blk000000ed_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ed_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000541 , \blk00000003/sig00000541 , \blk00000003/sig00000542 , \blk00000003/sig00000543 , \blk00000003/sig00000544 ,
+\blk00000003/sig00000545 , \blk00000003/sig00000546 , \blk00000003/sig00000547 , \blk00000003/sig00000548 , \blk00000003/sig00000549 ,
+\blk00000003/sig0000054a , \blk00000003/sig0000054b , \blk00000003/sig0000054c , \blk00000003/sig0000054d , \blk00000003/sig0000054e ,
+\blk00000003/sig0000054f , \blk00000003/sig00000550 , \blk00000003/sig00000551 , \blk00000003/sig00000552 , \blk00000003/sig00000553 ,
+\blk00000003/sig00000554 , \blk00000003/sig00000555 , \blk00000003/sig00000556 , \blk00000003/sig00000557 , \blk00000003/sig00000558 }),
+ .P({\NLW_blk00000003/blk000000ed_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ed_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ed_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig00000559 ,
+\blk00000003/sig00000559 , \blk00000003/sig00000559 , \blk00000003/sig0000055a , \blk00000003/sig0000055b , \blk00000003/sig0000055c ,
+\blk00000003/sig0000055d , \blk00000003/sig0000055e , \blk00000003/sig0000055f , \blk00000003/sig00000560 , \blk00000003/sig00000561 ,
+\blk00000003/sig00000562 , \blk00000003/sig00000563 , \blk00000003/sig00000564 , \blk00000003/sig00000565 , \blk00000003/sig00000566 ,
+\blk00000003/sig00000567 , \blk00000003/sig00000568 , \blk00000003/sig00000569 , \blk00000003/sig0000056a , \blk00000003/sig0000056b ,
+\blk00000003/sig0000056c , \blk00000003/sig0000056d , \blk00000003/sig0000056e , \blk00000003/sig0000056f , \blk00000003/sig00000570 }),
+ .PCOUT({\blk00000003/sig00000571 , \blk00000003/sig00000572 , \blk00000003/sig00000573 , \blk00000003/sig00000574 , \blk00000003/sig00000575 ,
+\blk00000003/sig00000576 , \blk00000003/sig00000577 , \blk00000003/sig00000578 , \blk00000003/sig00000579 , \blk00000003/sig0000057a ,
+\blk00000003/sig0000057b , \blk00000003/sig0000057c , \blk00000003/sig0000057d , \blk00000003/sig0000057e , \blk00000003/sig0000057f ,
+\blk00000003/sig00000580 , \blk00000003/sig00000581 , \blk00000003/sig00000582 , \blk00000003/sig00000583 , \blk00000003/sig00000584 ,
+\blk00000003/sig00000585 , \blk00000003/sig00000586 , \blk00000003/sig00000587 , \blk00000003/sig00000588 , \blk00000003/sig00000589 ,
+\blk00000003/sig0000058a , \blk00000003/sig0000058b , \blk00000003/sig0000058c , \blk00000003/sig0000058d , \blk00000003/sig0000058e ,
+\blk00000003/sig0000058f , \blk00000003/sig00000590 , \blk00000003/sig00000591 , \blk00000003/sig00000592 , \blk00000003/sig00000593 ,
+\blk00000003/sig00000594 , \blk00000003/sig00000595 , \blk00000003/sig00000596 , \blk00000003/sig00000597 , \blk00000003/sig00000598 ,
+\blk00000003/sig00000599 , \blk00000003/sig0000059a , \blk00000003/sig0000059b , \blk00000003/sig0000059c , \blk00000003/sig0000059d ,
+\blk00000003/sig0000059e , \blk00000003/sig0000059f , \blk00000003/sig000005a0 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000ec (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000ec_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000ec_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000ec_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000ec_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000ec_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000ec_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000ec_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 ,
+\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 ,
+\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b ,
+\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 ,
+\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 ,
+\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa ,
+\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af ,
+\blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 ,
+\blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 ,
+\blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000ec_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000004bd , \blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 ,
+\blk00000003/sig000004c2 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 ,
+\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb ,
+\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce }),
+ .BCOUT({\NLW_blk00000003/blk000000ec_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ec_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000004cf , \blk00000003/sig000004cf , \blk00000003/sig000004d0 , \blk00000003/sig000004d1 , \blk00000003/sig000004d2 ,
+\blk00000003/sig000004d3 , \blk00000003/sig000004d4 , \blk00000003/sig000004d5 , \blk00000003/sig000004d6 , \blk00000003/sig000004d7 ,
+\blk00000003/sig000004d8 , \blk00000003/sig000004d9 , \blk00000003/sig000004da , \blk00000003/sig000004db , \blk00000003/sig000004dc ,
+\blk00000003/sig000004dd , \blk00000003/sig000004de , \blk00000003/sig000004df , \blk00000003/sig000004e0 , \blk00000003/sig000004e1 ,
+\blk00000003/sig000004e2 , \blk00000003/sig000004e3 , \blk00000003/sig000004e4 , \blk00000003/sig000004e5 , \blk00000003/sig000004e6 }),
+ .P({\NLW_blk00000003/blk000000ec_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ec_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ec_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e7 ,
+\blk00000003/sig000004e7 , \blk00000003/sig000004e7 , \blk00000003/sig000004e8 , \blk00000003/sig000004e9 , \blk00000003/sig000004ea ,
+\blk00000003/sig000004eb , \blk00000003/sig000004ec , \blk00000003/sig000004ed , \blk00000003/sig000004ee , \blk00000003/sig000004ef ,
+\blk00000003/sig000004f0 , \blk00000003/sig000004f1 , \blk00000003/sig000004f2 , \blk00000003/sig000004f3 , \blk00000003/sig000004f4 ,
+\blk00000003/sig000004f5 , \blk00000003/sig000004f6 , \blk00000003/sig000004f7 , \blk00000003/sig000004f8 , \blk00000003/sig000004f9 ,
+\blk00000003/sig000004fa , \blk00000003/sig000004fb , \blk00000003/sig000004fc , \blk00000003/sig000004fd , \blk00000003/sig000004fe }),
+ .PCOUT({\blk00000003/sig000004ff , \blk00000003/sig00000500 , \blk00000003/sig00000501 , \blk00000003/sig00000502 , \blk00000003/sig00000503 ,
+\blk00000003/sig00000504 , \blk00000003/sig00000505 , \blk00000003/sig00000506 , \blk00000003/sig00000507 , \blk00000003/sig00000508 ,
+\blk00000003/sig00000509 , \blk00000003/sig0000050a , \blk00000003/sig0000050b , \blk00000003/sig0000050c , \blk00000003/sig0000050d ,
+\blk00000003/sig0000050e , \blk00000003/sig0000050f , \blk00000003/sig00000510 , \blk00000003/sig00000511 , \blk00000003/sig00000512 ,
+\blk00000003/sig00000513 , \blk00000003/sig00000514 , \blk00000003/sig00000515 , \blk00000003/sig00000516 , \blk00000003/sig00000517 ,
+\blk00000003/sig00000518 , \blk00000003/sig00000519 , \blk00000003/sig0000051a , \blk00000003/sig0000051b , \blk00000003/sig0000051c ,
+\blk00000003/sig0000051d , \blk00000003/sig0000051e , \blk00000003/sig0000051f , \blk00000003/sig00000520 , \blk00000003/sig00000521 ,
+\blk00000003/sig00000522 , \blk00000003/sig00000523 , \blk00000003/sig00000524 , \blk00000003/sig00000525 , \blk00000003/sig00000526 ,
+\blk00000003/sig00000527 , \blk00000003/sig00000528 , \blk00000003/sig00000529 , \blk00000003/sig0000052a , \blk00000003/sig0000052b ,
+\blk00000003/sig0000052c , \blk00000003/sig0000052d , \blk00000003/sig0000052e }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000eb (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000eb_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000eb_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000eb_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000eb_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000eb_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000eb_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000eb_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed ,
+\blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 ,
+\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 ,
+\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc ,
+\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 ,
+\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 ,
+\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b ,
+\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 ,
+\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 ,
+\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000eb_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig0000044b , \blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f ,
+\blk00000003/sig00000450 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 ,
+\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 ,
+\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c }),
+ .BCOUT({\NLW_blk00000003/blk000000eb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000eb_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig0000045d , \blk00000003/sig0000045d , \blk00000003/sig0000045e , \blk00000003/sig0000045f , \blk00000003/sig00000460 ,
+\blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 , \blk00000003/sig00000464 , \blk00000003/sig00000465 ,
+\blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 , \blk00000003/sig00000469 , \blk00000003/sig0000046a ,
+\blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d , \blk00000003/sig0000046e , \blk00000003/sig0000046f ,
+\blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 , \blk00000003/sig00000473 , \blk00000003/sig00000474 }),
+ .P({\NLW_blk00000003/blk000000eb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000eb_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000eb_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000475 ,
+\blk00000003/sig00000475 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 , \blk00000003/sig00000478 ,
+\blk00000003/sig00000479 , \blk00000003/sig0000047a , \blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d ,
+\blk00000003/sig0000047e , \blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 ,
+\blk00000003/sig00000483 , \blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 ,
+\blk00000003/sig00000488 , \blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c }),
+ .PCOUT({\blk00000003/sig0000048d , \blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 ,
+\blk00000003/sig00000492 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 ,
+\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b ,
+\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 ,
+\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 ,
+\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa ,
+\blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae , \blk00000003/sig000004af ,
+\blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 , \blk00000003/sig000004b4 ,
+\blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 , \blk00000003/sig000004b9 ,
+\blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000ea (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000ea_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000ea_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000ea_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000ea_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000ea_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000ea_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000ea_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig000003eb , \blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef ,
+\blk00000003/sig000003f0 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 ,
+\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 ,
+\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe ,
+\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 ,
+\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 ,
+\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d ,
+\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 ,
+\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 ,
+\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000ea_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad ,
+\blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 ,
+\blk00000003/sig000003b3 , \blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 ,
+\blk00000003/sig000003b8 , \blk00000003/sig000003b9 , \blk00000003/sig000003ba }),
+ .BCOUT({\NLW_blk00000003/blk000000ea_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000ea_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig0000041b , \blk00000003/sig0000041b , \blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e ,
+\blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 ,
+\blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 , \blk00000003/sig00000427 , \blk00000003/sig00000428 ,
+\blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b , \blk00000003/sig0000042c , \blk00000003/sig0000042d ,
+\blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 , \blk00000003/sig00000431 , \blk00000003/sig00000432 }),
+ .P({\NLW_blk00000003/blk000000ea_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000ea_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000ea_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000433 ,
+\blk00000003/sig00000433 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 , \blk00000003/sig00000436 ,
+\blk00000003/sig00000437 , \blk00000003/sig00000438 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b ,
+\blk00000003/sig0000043c , \blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 ,
+\blk00000003/sig00000441 , \blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 ,
+\blk00000003/sig00000446 , \blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a }),
+ .PCOUT({\blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce ,
+\blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 ,
+\blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 ,
+\blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd ,
+\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 ,
+\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 ,
+\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec ,
+\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 ,
+\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 ,
+\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000e9 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000e9_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000e9_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000e9_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000e9_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000e9_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000e9_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000e9_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000379 , \blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d ,
+\blk00000003/sig0000037e , \blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 ,
+\blk00000003/sig00000383 , \blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 ,
+\blk00000003/sig00000388 , \blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c ,
+\blk00000003/sig0000038d , \blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 ,
+\blk00000003/sig00000392 , \blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 ,
+\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b ,
+\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 ,
+\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 ,
+\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000e9_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad ,
+\blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 ,
+\blk00000003/sig000003b3 , \blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 ,
+\blk00000003/sig000003b8 , \blk00000003/sig000003b9 , \blk00000003/sig000003ba }),
+ .BCOUT({\NLW_blk00000003/blk000000e9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e9_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000003bb , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be ,
+\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 ,
+\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 ,
+\blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd ,
+\blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 }),
+ .P({\NLW_blk00000003/blk000000e9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e9_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e9_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d3 ,
+\blk00000003/sig000003d3 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 ,
+\blk00000003/sig000003d7 , \blk00000003/sig000003d8 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db ,
+\blk00000003/sig000003dc , \blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 ,
+\blk00000003/sig000003e1 , \blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 ,
+\blk00000003/sig000003e6 , \blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea }),
+ .PCOUT({\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 ,
+\blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , \blk00000003/sig0000015b , \blk00000003/sig0000015c ,
+\blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , \blk00000003/sig00000160 , \blk00000003/sig00000161 ,
+\blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , \blk00000003/sig00000165 , \blk00000003/sig00000166 ,
+\blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , \blk00000003/sig0000016a , \blk00000003/sig0000016b ,
+\blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , \blk00000003/sig0000016f , \blk00000003/sig00000170 ,
+\blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , \blk00000003/sig00000175 ,
+\blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a ,
+\blk00000003/sig0000017b , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f ,
+\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000e8 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000e8_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000e8_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000e8_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000e8_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000e8_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000e8_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000e8_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000e8_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000002a7 , \blk00000003/sig000002a8 , \blk00000003/sig000002a9 , \blk00000003/sig000002aa , \blk00000003/sig000002ab ,
+\blk00000003/sig000002ac , \blk00000003/sig000002ad , \blk00000003/sig000002ae , \blk00000003/sig000002af , \blk00000003/sig000002b0 ,
+\blk00000003/sig000002b1 , \blk00000003/sig000002b2 , \blk00000003/sig000002b3 , \blk00000003/sig000002b4 , \blk00000003/sig000002b5 ,
+\blk00000003/sig000002b6 , \blk00000003/sig000002b7 , \blk00000003/sig000002b8 }),
+ .BCOUT({\NLW_blk00000003/blk000000e8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e8_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000319 , \blk00000003/sig00000319 , \blk00000003/sig0000031a , \blk00000003/sig0000031b , \blk00000003/sig0000031c ,
+\blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f , \blk00000003/sig00000320 , \blk00000003/sig00000321 ,
+\blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 ,
+\blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b ,
+\blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 }),
+ .P({\NLW_blk00000003/blk000000e8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e8_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e8_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000331 ,
+\blk00000003/sig00000331 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 , \blk00000003/sig00000334 ,
+\blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 , \blk00000003/sig00000338 , \blk00000003/sig00000339 ,
+\blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c , \blk00000003/sig0000033d , \blk00000003/sig0000033e ,
+\blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 , \blk00000003/sig00000342 , \blk00000003/sig00000343 ,
+\blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 , \blk00000003/sig00000347 , \blk00000003/sig00000348 }),
+ .PCOUT({\blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d ,
+\blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 ,
+\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 ,
+\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c ,
+\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 ,
+\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 ,
+\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b ,
+\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 ,
+\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 ,
+\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000e7 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000e7_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000e7_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000e7_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000e7_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000e7_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000e7_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000e7_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd,
+\blk00000003/sig00000049 , NlwRenamedSig_OI_rfd}),
+ .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000e7_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000002a7 , \blk00000003/sig000002a8 , \blk00000003/sig000002a9 , \blk00000003/sig000002aa , \blk00000003/sig000002ab ,
+\blk00000003/sig000002ac , \blk00000003/sig000002ad , \blk00000003/sig000002ae , \blk00000003/sig000002af , \blk00000003/sig000002b0 ,
+\blk00000003/sig000002b1 , \blk00000003/sig000002b2 , \blk00000003/sig000002b3 , \blk00000003/sig000002b4 , \blk00000003/sig000002b5 ,
+\blk00000003/sig000002b6 , \blk00000003/sig000002b7 , \blk00000003/sig000002b8 }),
+ .BCOUT({\NLW_blk00000003/blk000000e7_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000e7_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000002b9 , \blk00000003/sig000002b9 , \blk00000003/sig000002ba , \blk00000003/sig000002bb , \blk00000003/sig000002bc ,
+\blk00000003/sig000002bd , \blk00000003/sig000002be , \blk00000003/sig000002bf , \blk00000003/sig000002c0 , \blk00000003/sig000002c1 ,
+\blk00000003/sig000002c2 , \blk00000003/sig000002c3 , \blk00000003/sig000002c4 , \blk00000003/sig000002c5 , \blk00000003/sig000002c6 ,
+\blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 , \blk00000003/sig000002ca , \blk00000003/sig000002cb ,
+\blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce , \blk00000003/sig000002cf , \blk00000003/sig000002d0 }),
+ .P({\NLW_blk00000003/blk000000e7_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000e7_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000e7_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d1 ,
+\blk00000003/sig000002d1 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 , \blk00000003/sig000002d4 ,
+\blk00000003/sig000002d5 , \blk00000003/sig000002d6 , \blk00000003/sig000002d7 , \blk00000003/sig000002d8 , \blk00000003/sig000002d9 ,
+\blk00000003/sig000002da , \blk00000003/sig000002db , \blk00000003/sig000002dc , \blk00000003/sig000002dd , \blk00000003/sig000002de ,
+\blk00000003/sig000002df , \blk00000003/sig000002e0 , \blk00000003/sig000002e1 , \blk00000003/sig000002e2 , \blk00000003/sig000002e3 ,
+\blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 , \blk00000003/sig000002e7 , \blk00000003/sig000002e8 }),
+ .PCOUT({\blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed ,
+\blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 ,
+\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 ,
+\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc ,
+\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 ,
+\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 ,
+\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b ,
+\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 ,
+\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 ,
+\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001ce ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000002a6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a4 ),
+ .Q(\blk00000003/sig000002a5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a3 ),
+ .Q(\blk00000003/sig0000029f )
+ );
+ XORCY \blk00000003/blk000000e3 (
+ .CI(\blk00000003/sig0000029f ),
+ .LI(\blk00000003/sig000002a1 ),
+ .O(\blk00000003/sig000002a2 )
+ );
+ MUXCY_D \blk00000003/blk000000e2 (
+ .CI(\blk00000003/sig0000029f ),
+ .DI(\blk00000003/sig000002a0 ),
+ .S(\blk00000003/sig000002a1 ),
+ .O(\NLW_blk00000003/blk000000e2_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000e2_LO_UNCONNECTED )
+ );
+ FDE \blk00000003/blk000000e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029d ),
+ .Q(\blk00000003/sig0000029e )
+ );
+ XORCY \blk00000003/blk000000e0 (
+ .CI(\blk00000003/sig00000299 ),
+ .LI(\blk00000003/sig0000029b ),
+ .O(\blk00000003/sig0000029c )
+ );
+ MUXCY_D \blk00000003/blk000000df (
+ .CI(\blk00000003/sig00000299 ),
+ .DI(\blk00000003/sig0000029a ),
+ .S(\blk00000003/sig0000029b ),
+ .O(\NLW_blk00000003/blk000000df_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000df_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000de (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(NlwRenamedSig_OI_rfd),
+ .S(\blk00000003/sig00000298 ),
+ .O(\blk00000003/sig00000299 )
+ );
+ XORCY \blk00000003/blk000000dd (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000296 ),
+ .O(\blk00000003/sig00000297 )
+ );
+ MUXCY_D \blk00000003/blk000000dc (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000295 ),
+ .S(\blk00000003/sig00000296 ),
+ .O(\NLW_blk00000003/blk000000dc_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000dc_LO_UNCONNECTED )
+ );
+ MUXCY_L \blk00000003/blk000000db (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000294 ),
+ .S(\blk00000003/sig0000028e ),
+ .LO(\blk00000003/sig00000290 )
+ );
+ MUXCY_D \blk00000003/blk000000da (
+ .CI(\blk00000003/sig00000290 ),
+ .DI(\blk00000003/sig00000293 ),
+ .S(\blk00000003/sig00000291 ),
+ .O(\NLW_blk00000003/blk000000da_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000d9 (
+ .CI(\blk00000003/sig00000290 ),
+ .LI(\blk00000003/sig00000291 ),
+ .O(\blk00000003/sig00000292 )
+ );
+ XORCY \blk00000003/blk000000d8 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig0000028e ),
+ .O(\blk00000003/sig0000028f )
+ );
+ MUXCY_L \blk00000003/blk000000d7 (
+ .CI(\blk00000003/sig00000287 ),
+ .DI(\blk00000003/sig000001e9 ),
+ .S(\blk00000003/sig00000288 ),
+ .LO(\blk00000003/sig0000028a )
+ );
+ MUXCY_D \blk00000003/blk000000d6 (
+ .CI(\blk00000003/sig0000028a ),
+ .DI(\blk00000003/sig000001e8 ),
+ .S(\blk00000003/sig0000028b ),
+ .O(\NLW_blk00000003/blk000000d6_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000d5 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(NlwRenamedSig_OI_rfd),
+ .S(\blk00000003/sig0000028d ),
+ .O(\blk00000003/sig00000287 )
+ );
+ XORCY \blk00000003/blk000000d4 (
+ .CI(\blk00000003/sig0000028a ),
+ .LI(\blk00000003/sig0000028b ),
+ .O(\blk00000003/sig0000028c )
+ );
+ XORCY \blk00000003/blk000000d3 (
+ .CI(\blk00000003/sig00000287 ),
+ .LI(\blk00000003/sig00000288 ),
+ .O(\blk00000003/sig00000289 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000000d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000278 ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000221 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000277 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021e )
+ );
+ MUXCY_D \blk00000003/blk000000d0 (
+ .CI(\blk00000003/sig0000021e ),
+ .DI(\blk00000003/sig00000285 ),
+ .S(\blk00000003/sig00000286 ),
+ .O(\blk00000003/sig00000282 ),
+ .LO(\NLW_blk00000003/blk000000d0_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cf (
+ .CI(\blk00000003/sig00000282 ),
+ .DI(\blk00000003/sig00000283 ),
+ .S(\blk00000003/sig00000284 ),
+ .O(\blk00000003/sig00000280 ),
+ .LO(\NLW_blk00000003/blk000000cf_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000ce (
+ .CI(\blk00000003/sig00000280 ),
+ .DI(\blk00000003/sig00000276 ),
+ .S(\blk00000003/sig00000281 ),
+ .O(\blk00000003/sig0000027d ),
+ .LO(\NLW_blk00000003/blk000000ce_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cd (
+ .CI(\blk00000003/sig0000027d ),
+ .DI(\blk00000003/sig0000027e ),
+ .S(\blk00000003/sig0000027f ),
+ .O(\blk00000003/sig0000027b ),
+ .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cc (
+ .CI(\blk00000003/sig0000027b ),
+ .DI(\blk00000003/sig00000249 ),
+ .S(\blk00000003/sig0000027c ),
+ .O(\blk00000003/sig00000279 ),
+ .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cb (
+ .CI(\blk00000003/sig00000279 ),
+ .DI(\blk00000003/sig0000021b ),
+ .S(\blk00000003/sig0000027a ),
+ .O(\NLW_blk00000003/blk000000cb_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000277 )
+ );
+ XORCY \blk00000003/blk000000ca (
+ .CI(\blk00000003/sig00000277 ),
+ .LI(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig00000278 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000000c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000266 ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000276 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000265 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000001ce )
+ );
+ MUXCY_D \blk00000003/blk000000c7 (
+ .CI(\blk00000003/sig000001ce ),
+ .DI(\blk00000003/sig00000274 ),
+ .S(\blk00000003/sig00000275 ),
+ .O(\blk00000003/sig00000272 ),
+ .LO(\NLW_blk00000003/blk000000c7_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c6 (
+ .CI(\blk00000003/sig00000272 ),
+ .DI(\blk00000003/sig000001cf ),
+ .S(\blk00000003/sig00000273 ),
+ .O(\blk00000003/sig00000270 ),
+ .LO(\NLW_blk00000003/blk000000c6_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c5 (
+ .CI(\blk00000003/sig00000270 ),
+ .DI(\blk00000003/sig000001ce ),
+ .S(\blk00000003/sig00000271 ),
+ .O(\blk00000003/sig0000026d ),
+ .LO(\NLW_blk00000003/blk000000c5_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c4 (
+ .CI(\blk00000003/sig0000026d ),
+ .DI(\blk00000003/sig0000026e ),
+ .S(\blk00000003/sig0000026f ),
+ .O(\blk00000003/sig0000026b ),
+ .LO(\NLW_blk00000003/blk000000c4_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c3 (
+ .CI(\blk00000003/sig0000026b ),
+ .DI(\blk00000003/sig00000221 ),
+ .S(\blk00000003/sig0000026c ),
+ .O(\blk00000003/sig00000267 ),
+ .LO(\NLW_blk00000003/blk000000c3_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c2 (
+ .CI(\blk00000003/sig00000269 ),
+ .DI(\blk00000003/sig00000221 ),
+ .S(\blk00000003/sig0000026a ),
+ .O(\NLW_blk00000003/blk000000c2_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000265 )
+ );
+ MUXCY_D \blk00000003/blk000000c1 (
+ .CI(\blk00000003/sig00000267 ),
+ .DI(\blk00000003/sig0000023e ),
+ .S(\blk00000003/sig00000268 ),
+ .O(\blk00000003/sig00000269 ),
+ .LO(\NLW_blk00000003/blk000000c1_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000c0 (
+ .CI(\blk00000003/sig00000265 ),
+ .LI(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig00000266 )
+ );
+ FDE \blk00000003/blk000000bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000263 ),
+ .Q(\blk00000003/sig00000264 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000262 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024f ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000025e )
+ );
+ XORCY \blk00000003/blk000000bc (
+ .CI(\blk00000003/sig0000025e ),
+ .LI(\blk00000003/sig00000260 ),
+ .O(\blk00000003/sig00000261 )
+ );
+ MUXCY_D \blk00000003/blk000000bb (
+ .CI(\blk00000003/sig0000025e ),
+ .DI(\blk00000003/sig0000025f ),
+ .S(\blk00000003/sig00000260 ),
+ .O(\NLW_blk00000003/blk000000bb_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000bb_LO_UNCONNECTED )
+ );
+ MUXCY_L \blk00000003/blk000000ba (
+ .CI(\blk00000003/sig00000251 ),
+ .DI(\blk00000003/sig0000025d ),
+ .S(\blk00000003/sig00000252 ),
+ .LO(\blk00000003/sig00000257 )
+ );
+ MUXCY_L \blk00000003/blk000000b9 (
+ .CI(\blk00000003/sig00000257 ),
+ .DI(\blk00000003/sig0000025c ),
+ .S(\blk00000003/sig00000258 ),
+ .LO(\blk00000003/sig00000254 )
+ );
+ MUXCY_D \blk00000003/blk000000b8 (
+ .CI(\blk00000003/sig00000254 ),
+ .DI(\blk00000003/sig0000025b ),
+ .S(\blk00000003/sig00000255 ),
+ .O(\NLW_blk00000003/blk000000b8_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000b8_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000b7 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(NlwRenamedSig_OI_rfd),
+ .S(\blk00000003/sig0000025a ),
+ .O(\blk00000003/sig00000251 )
+ );
+ XORCY \blk00000003/blk000000b6 (
+ .CI(\blk00000003/sig00000257 ),
+ .LI(\blk00000003/sig00000258 ),
+ .O(\blk00000003/sig00000259 )
+ );
+ XORCY \blk00000003/blk000000b5 (
+ .CI(\blk00000003/sig00000254 ),
+ .LI(\blk00000003/sig00000255 ),
+ .O(\blk00000003/sig00000256 )
+ );
+ XORCY \blk00000003/blk000000b4 (
+ .CI(\blk00000003/sig00000251 ),
+ .LI(\blk00000003/sig00000252 ),
+ .O(\blk00000003/sig00000253 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000b3 (
+ .C(clk),
+ .CE(ce),
+ .D(coef_ld),
+ .Q(\blk00000003/sig00000250 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000b2 (
+ .C(clk),
+ .CE(ce),
+ .D(coef_we),
+ .Q(\blk00000003/sig0000024f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001df ),
+ .Q(\blk00000003/sig00000243 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000b0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024e ),
+ .Q(\blk00000003/sig00000236 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000af (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024c ),
+ .Q(\blk00000003/sig0000024d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ae (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024b ),
+ .Q(\blk00000003/sig00000234 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024a ),
+ .Q(\blk00000003/sig00000241 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000248 ),
+ .Q(\blk00000003/sig00000249 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000246 ),
+ .Q(\blk00000003/sig00000247 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000244 ),
+ .Q(\blk00000003/sig00000245 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000243 ),
+ .Q(\blk00000003/sig0000023f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000241 ),
+ .Q(\blk00000003/sig00000242 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023f ),
+ .Q(\blk00000003/sig00000240 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021d ),
+ .Q(\blk00000003/sig0000023e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000239 ),
+ .Q(\blk00000003/sig0000023d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000233 ),
+ .R(coef_ld),
+ .Q(\NLW_blk00000003/blk000000a4_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000230 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig0000022f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000022d ),
+ .R(coef_ld),
+ .Q(\NLW_blk00000003/blk000000a2_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000229 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000227 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000023c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023a ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000023b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000238 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000239 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000236 ),
+ .Q(\blk00000003/sig00000237 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000234 ),
+ .Q(\blk00000003/sig00000235 )
+ );
+ MUXCY_D \blk00000003/blk0000009b (
+ .CI(coef_we),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000232 ),
+ .O(\blk00000003/sig0000022b ),
+ .LO(\blk00000003/sig00000233 )
+ );
+ MUXCY_D \blk00000003/blk0000009a (
+ .CI(NlwRenamedSig_OI_rfd),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000231 ),
+ .O(\blk00000003/sig0000022e ),
+ .LO(\NLW_blk00000003/blk0000009a_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000099 (
+ .CI(\blk00000003/sig0000022e ),
+ .DI(\blk00000003/sig0000022f ),
+ .S(coef_we),
+ .O(\NLW_blk00000003/blk00000099_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000230 )
+ );
+ MUXCY_D \blk00000003/blk00000098 (
+ .CI(\blk00000003/sig0000022b ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig0000022c ),
+ .O(\NLW_blk00000003/blk00000098_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000022d )
+ );
+ MUXCY_D \blk00000003/blk00000097 (
+ .CI(NlwRenamedSig_OI_rfd),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig0000022a ),
+ .O(\blk00000003/sig00000226 ),
+ .LO(\NLW_blk00000003/blk00000097_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000096 (
+ .CI(\blk00000003/sig00000226 ),
+ .DI(\blk00000003/sig00000227 ),
+ .S(\blk00000003/sig00000228 ),
+ .O(\NLW_blk00000003/blk00000096_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000229 )
+ );
+ XORCY \blk00000003/blk00000095 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000224 ),
+ .O(\blk00000003/sig00000225 )
+ );
+ MUXCY_D \blk00000003/blk00000094 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000223 ),
+ .S(\blk00000003/sig00000224 ),
+ .O(\NLW_blk00000003/blk00000094_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk00000094_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk00000093 (
+ .CI(\blk00000003/sig0000021c ),
+ .LI(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig0000021a )
+ );
+ MUXCY_D \blk00000003/blk00000092 (
+ .CI(\blk00000003/sig00000220 ),
+ .DI(\blk00000003/sig00000221 ),
+ .S(\blk00000003/sig00000222 ),
+ .O(\NLW_blk00000003/blk00000092_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000021c )
+ );
+ MUXCY_D \blk00000003/blk00000091 (
+ .CI(\blk00000003/sig0000021d ),
+ .DI(\blk00000003/sig0000021e ),
+ .S(\blk00000003/sig0000021f ),
+ .O(\blk00000003/sig00000220 ),
+ .LO(\NLW_blk00000003/blk00000091_LO_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000090 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021c ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021d )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk0000008f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021a ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021b )
+ );
+ FDR #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk0000002b (
+ .C(clk),
+ .D(\blk00000003/sig000000be ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000be )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000029 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000028 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e4 ),
+ .R(\blk00000003/sig000001dd ),
+ .Q(data_valid)
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000027 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000026 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e1 )
+ );
+ FDRE \blk00000003/blk00000025 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001de ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001df )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000024 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001dc ),
+ .R(\blk00000003/sig000001dd ),
+ .Q(rdy)
+ );
+ FDRE \blk00000003/blk00000023 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001da ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001db )
+ );
+ FDSE \blk00000003/blk00000022 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d8 ),
+ .S(sclr),
+ .Q(\blk00000003/sig000001d9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000021 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cc ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000020 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001ca )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d0 ),
+ .R(sclr),
+ .Q(\NLW_blk00000003/blk0000001d_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001ce ),
+ .Q(\blk00000003/sig000001cf )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000c8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000c6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000019 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000c3 ),
+ .R(sclr),
+ .Q(\NLW_blk00000003/blk00000019_Q_UNCONNECTED )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000018 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000c4 ),
+ .S(sclr),
+ .Q(\blk00000003/sig000001cd )
+ );
+ MUXCY_D \blk00000003/blk00000017 (
+ .CI(\blk00000003/sig000001ca ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000001cb ),
+ .O(\NLW_blk00000003/blk00000017_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000001cc )
+ );
+ DSP48E1 #(
+ .ACASCREG ( 2 ),
+ .ADREG ( 0 ),
+ .ALUMODEREG ( 1 ),
+ .AREG ( 2 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 2 ),
+ .BREG ( 2 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 0 ),
+ .INMODEREG ( 0 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 1 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "FALSE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000016 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000016_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(ce),
+ .CEAD(\blk00000003/sig00000049 ),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000016_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000016_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000016_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000016_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(\blk00000003/sig00000049 ),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(ce),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000016_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000016_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b8 , \blk00000003/sig00000049 , \blk00000003/sig000000bc ,
+\blk00000003/sig000000ba , \blk00000003/sig000000bc }),
+ .PCIN({\blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 , \blk00000003/sig00000156 , \blk00000003/sig00000157 ,
+\blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a , \blk00000003/sig0000015b , \blk00000003/sig0000015c ,
+\blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f , \blk00000003/sig00000160 , \blk00000003/sig00000161 ,
+\blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 , \blk00000003/sig00000165 , \blk00000003/sig00000166 ,
+\blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 , \blk00000003/sig0000016a , \blk00000003/sig0000016b ,
+\blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e , \blk00000003/sig0000016f , \blk00000003/sig00000170 ,
+\blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 , \blk00000003/sig00000174 , \blk00000003/sig00000175 ,
+\blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 , \blk00000003/sig00000179 , \blk00000003/sig0000017a ,
+\blk00000003/sig0000017b , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f ,
+\blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000016_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe ,
+\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 ,
+\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 ,
+\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b }),
+ .BCOUT({\NLW_blk00000003/blk00000016_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .P({\NLW_blk00000003/blk00000016_P<47>_UNCONNECTED , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 ,
+\blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a ,
+\blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f ,
+\blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 ,
+\blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 ,
+\blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e ,
+\blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 ,
+\blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , \blk00000003/sig000001a8 ,
+\blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab , \blk00000003/sig000001ac , \blk00000003/sig000001ad ,
+\blk00000003/sig000001ae , \blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 }),
+ .A({\blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b2 ,
+\blk00000003/sig000001b2 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 , \blk00000003/sig000001b5 ,
+\blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 , \blk00000003/sig000001ba ,
+\blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be , \blk00000003/sig000001bf ,
+\blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 , \blk00000003/sig000001c4 ,
+\blk00000003/sig000001c5 , \blk00000003/sig000001c6 , \blk00000003/sig000001c7 , \blk00000003/sig000001c8 , \blk00000003/sig000001c9 }),
+ .PCOUT({\NLW_blk00000003/blk00000016_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<44>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<42>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<38>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<36>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<32>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<30>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000016_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000016_PCOUT<0>_UNCONNECTED }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 2 ),
+ .ADREG ( 0 ),
+ .ALUMODEREG ( 1 ),
+ .AREG ( 2 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 2 ),
+ .BREG ( 2 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 0 ),
+ .INMODEREG ( 0 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 1 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "FALSE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000015 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000015_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(ce),
+ .CEAD(\blk00000003/sig00000049 ),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000015_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000015_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000015_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000015_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(\blk00000003/sig00000049 ),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(ce),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000015_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000015_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b8 , \blk00000003/sig00000049 , \blk00000003/sig000000bc ,
+\blk00000003/sig000000ba , \blk00000003/sig000000bc }),
+ .PCIN({\blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce ,
+\blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 ,
+\blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 ,
+\blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd ,
+\blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 ,
+\blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 ,
+\blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec ,
+\blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 ,
+\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 ,
+\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000015_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , NlwRenamedSig_OI_rfd, \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe ,
+\blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 ,
+\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 ,
+\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b }),
+ .BCOUT({\NLW_blk00000003/blk00000015_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .P({\NLW_blk00000003/blk00000015_P<47>_UNCONNECTED , \blk00000003/sig0000010c , \blk00000003/sig0000010d , \blk00000003/sig0000010e ,
+\blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 , \blk00000003/sig00000113 ,
+\blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 , \blk00000003/sig00000118 ,
+\blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c , \blk00000003/sig0000011d ,
+\blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 , \blk00000003/sig00000122 ,
+\blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 , \blk00000003/sig00000127 ,
+\blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b , \blk00000003/sig0000012c ,
+\blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 , \blk00000003/sig00000131 ,
+\blk00000003/sig00000132 , \blk00000003/sig00000133 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 ,
+\blk00000003/sig00000137 , \blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a }),
+ .A({\blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013b ,
+\blk00000003/sig0000013b , \blk00000003/sig0000013b , \blk00000003/sig0000013c , \blk00000003/sig0000013d , \blk00000003/sig0000013e ,
+\blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 , \blk00000003/sig00000142 , \blk00000003/sig00000143 ,
+\blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 , \blk00000003/sig00000147 , \blk00000003/sig00000148 ,
+\blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b , \blk00000003/sig0000014c , \blk00000003/sig0000014d ,
+\blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 , \blk00000003/sig00000151 , \blk00000003/sig00000152 }),
+ .PCOUT({\NLW_blk00000003/blk00000015_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<44>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<42>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<38>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<36>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<32>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<30>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000015_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000015_PCOUT<0>_UNCONNECTED }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ MUXCY_D \blk00000003/blk00000014 (
+ .CI(NlwRenamedSig_OI_rfd),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000000c9 ),
+ .O(\blk00000003/sig000000c5 ),
+ .LO(\NLW_blk00000003/blk00000014_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000013 (
+ .CI(\blk00000003/sig000000c5 ),
+ .DI(\blk00000003/sig000000c6 ),
+ .S(\blk00000003/sig000000c7 ),
+ .O(\blk00000003/sig000000bd ),
+ .LO(\blk00000003/sig000000c8 )
+ );
+ XORCY \blk00000003/blk00000012 (
+ .CI(\blk00000003/sig000000c3 ),
+ .LI(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig000000c4 )
+ );
+ MUXCY_D \blk00000003/blk00000011 (
+ .CI(\blk00000003/sig000000c0 ),
+ .DI(\blk00000003/sig000000c1 ),
+ .S(\blk00000003/sig000000c2 ),
+ .O(\NLW_blk00000003/blk00000011_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000000c3 )
+ );
+ MUXCY_D \blk00000003/blk00000010 (
+ .CI(\blk00000003/sig000000bd ),
+ .DI(\blk00000003/sig000000be ),
+ .S(\blk00000003/sig000000bf ),
+ .O(\blk00000003/sig000000c0 ),
+ .LO(\NLW_blk00000003/blk00000010_LO_UNCONNECTED )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000000f (
+ .C(clk),
+ .D(\blk00000003/sig000000bb ),
+ .Q(\blk00000003/sig000000bc )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000000e (
+ .C(clk),
+ .D(\blk00000003/sig000000b9 ),
+ .Q(\blk00000003/sig000000ba )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000000d (
+ .C(clk),
+ .D(\blk00000003/sig000000b7 ),
+ .Q(\blk00000003/sig000000b8 )
+ );
+ XORCY \blk00000003/blk0000000c (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000000b5 ),
+ .O(\blk00000003/sig000000b6 )
+ );
+ MUXCY_D \blk00000003/blk0000000b (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000b4 ),
+ .S(\blk00000003/sig000000b5 ),
+ .O(\NLW_blk00000003/blk0000000b_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk0000000b_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk0000000a (
+ .CI(\blk00000003/sig000000af ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000000b3 ),
+ .O(\NLW_blk00000003/blk0000000a_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000000b1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000009 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000b1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000b2 )
+ );
+ MUXCY_D \blk00000003/blk00000008 (
+ .CI(NlwRenamedSig_OI_rfd),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000000b0 ),
+ .O(\blk00000003/sig000000ae ),
+ .LO(\NLW_blk00000003/blk00000008_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000007 (
+ .CI(\blk00000003/sig000000ae ),
+ .DI(\blk00000003/sig000000ad ),
+ .S(nd),
+ .O(\blk00000003/sig000000af ),
+ .LO(\blk00000003/sig000000ac )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000006 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000ac ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000ad )
+ );
+ VCC \blk00000003/blk00000005 (
+ .P(NlwRenamedSig_OI_rfd)
+ );
+ GND \blk00000003/blk00000004 (
+ .G(\blk00000003/sig00000049 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000002c/blk0000008e (
+ .I0(nd),
+ .I1(ce),
+ .O(\blk00000003/blk0000002c/sig00000c29 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000008d (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[22]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000008d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c27 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000008c (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[21]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000008c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c26 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000008b (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[23]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000008b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c28 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000008a (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[19]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000008a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c24 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000089 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[18]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000089_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c23 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000088 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[20]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000088_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c25 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000087 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[16]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000087_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c21 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000086 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[15]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000086_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c20 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000085 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[17]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000085_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c22 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000084 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[13]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000084_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c1e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000083 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[12]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000083_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c1d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000082 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[14]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000082_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c1f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000081 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[10]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000081_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c1b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000080 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[9]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000080_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c1a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000007f (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[11]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000007f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c1c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000007e (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[7]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000007e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c18 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000007d (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[6]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000007d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c17 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000007c (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[8]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000007c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c19 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000007b (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[4]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000007b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c15 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000007a (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[3]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000007a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c14 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000079 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[5]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000079_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c16 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000078 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[1]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000078_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c12 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000077 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[0]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000077_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c11 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000076 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_2_2[2]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000076_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c13 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000075 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[22]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000075_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c0f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000074 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[21]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000074_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c0e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000073 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[23]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000073_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c10 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000072 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[19]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000072_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c0c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000071 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[18]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000071_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c0b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000070 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[20]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000070_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c0d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000006f (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[16]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000006f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c09 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000006e (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[15]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000006e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c08 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000006d (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[17]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000006d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c0a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000006c (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[13]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000006c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c06 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000006b (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[12]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000006b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c05 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000006a (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[14]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000006a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c07 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000069 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[10]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000069_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c03 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000068 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[9]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000068_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c02 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000067 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[11]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000067_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c04 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000066 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[7]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000066_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c00 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000065 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[6]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000065_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bff )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000064 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[8]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000064_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000c01 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000063 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[4]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000063_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bfd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000062 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[3]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000062_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bfc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000061 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[5]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000061_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bfe )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk00000060 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[1]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk00000060_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bfa )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000005f (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[0]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000005f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bf9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002c/blk0000005e (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/sig000001e7 ),
+ .A3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .A4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .D(din_1_1[2]),
+ .DPRA0(\blk00000003/sig000001d9 ),
+ .DPRA1(\blk00000003/sig000001db ),
+ .DPRA2(\blk00000003/sig000001e3 ),
+ .DPRA3(\blk00000003/blk0000002c/sig00000bf8 ),
+ .DPRA4(\blk00000003/blk0000002c/sig00000bf8 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002c/sig00000c29 ),
+ .SPO(\NLW_blk00000003/blk0000002c/blk0000005e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002c/sig00000bfb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000005d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c28 ),
+ .Q(\blk00000003/sig000001ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000005c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c27 ),
+ .Q(\blk00000003/sig000001eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000005b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c26 ),
+ .Q(\blk00000003/sig000001ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000005a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c25 ),
+ .Q(\blk00000003/sig000001ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000059 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c24 ),
+ .Q(\blk00000003/sig000001ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000058 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c23 ),
+ .Q(\blk00000003/sig000001ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000057 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c22 ),
+ .Q(\blk00000003/sig000001f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000056 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c21 ),
+ .Q(\blk00000003/sig000001f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000055 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c20 ),
+ .Q(\blk00000003/sig000001f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000054 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c1f ),
+ .Q(\blk00000003/sig000001f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000053 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c1e ),
+ .Q(\blk00000003/sig000001f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000052 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c1d ),
+ .Q(\blk00000003/sig000001f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000051 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c1c ),
+ .Q(\blk00000003/sig000001f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000050 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c1b ),
+ .Q(\blk00000003/sig000001f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000004f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c1a ),
+ .Q(\blk00000003/sig000001f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000004e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c19 ),
+ .Q(\blk00000003/sig000001f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000004d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c18 ),
+ .Q(\blk00000003/sig000001fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000004c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c17 ),
+ .Q(\blk00000003/sig000001fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000004b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c16 ),
+ .Q(\blk00000003/sig000001fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000004a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c15 ),
+ .Q(\blk00000003/sig000001fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000049 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c14 ),
+ .Q(\blk00000003/sig000001fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000048 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c13 ),
+ .Q(\blk00000003/sig000001ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000047 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c12 ),
+ .Q(\blk00000003/sig00000200 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000046 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c11 ),
+ .Q(\blk00000003/sig00000201 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000045 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c10 ),
+ .Q(\blk00000003/sig00000202 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000044 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c0f ),
+ .Q(\blk00000003/sig00000203 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000043 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c0e ),
+ .Q(\blk00000003/sig00000204 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000042 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c0d ),
+ .Q(\blk00000003/sig00000205 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000041 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c0c ),
+ .Q(\blk00000003/sig00000206 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000040 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c0b ),
+ .Q(\blk00000003/sig00000207 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000003f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c0a ),
+ .Q(\blk00000003/sig00000208 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000003e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c09 ),
+ .Q(\blk00000003/sig00000209 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000003d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c08 ),
+ .Q(\blk00000003/sig0000020a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000003c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c07 ),
+ .Q(\blk00000003/sig0000020b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000003b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c06 ),
+ .Q(\blk00000003/sig0000020c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000003a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c05 ),
+ .Q(\blk00000003/sig0000020d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000039 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c04 ),
+ .Q(\blk00000003/sig0000020e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000038 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c03 ),
+ .Q(\blk00000003/sig0000020f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000037 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c02 ),
+ .Q(\blk00000003/sig00000210 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000036 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c01 ),
+ .Q(\blk00000003/sig00000211 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000035 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000c00 ),
+ .Q(\blk00000003/sig00000212 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000034 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bff ),
+ .Q(\blk00000003/sig00000213 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000033 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bfe ),
+ .Q(\blk00000003/sig00000214 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000032 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bfd ),
+ .Q(\blk00000003/sig00000215 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000031 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bfc ),
+ .Q(\blk00000003/sig00000216 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk00000030 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bfb ),
+ .Q(\blk00000003/sig00000217 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000002f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bfa ),
+ .Q(\blk00000003/sig00000218 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002c/blk0000002e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002c/sig00000bf9 ),
+ .Q(\blk00000003/sig00000219 )
+ );
+ GND \blk00000003/blk0000002c/blk0000002d (
+ .G(\blk00000003/blk0000002c/sig00000bf8 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000120/blk00000152 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000743 ),
+ .O(\blk00000003/blk00000120/sig00000c77 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000151 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b4 ),
+ .Q(\blk00000003/blk00000120/sig00000c75 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000151_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000150 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b5 ),
+ .Q(\blk00000003/blk00000120/sig00000c74 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000150_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000014f (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b3 ),
+ .Q(\blk00000003/blk00000120/sig00000c76 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000014f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000014e (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b7 ),
+ .Q(\blk00000003/blk00000120/sig00000c72 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000014e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000014d (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b8 ),
+ .Q(\blk00000003/blk00000120/sig00000c71 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000014d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000014c (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b6 ),
+ .Q(\blk00000003/blk00000120/sig00000c73 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000014c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000014b (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ba ),
+ .Q(\blk00000003/blk00000120/sig00000c6f ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000014b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000014a (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005bb ),
+ .Q(\blk00000003/blk00000120/sig00000c6e ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000014a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000149 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b9 ),
+ .Q(\blk00000003/blk00000120/sig00000c70 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000149_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000148 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005bd ),
+ .Q(\blk00000003/blk00000120/sig00000c6c ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000148_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000147 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005be ),
+ .Q(\blk00000003/blk00000120/sig00000c6b ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000147_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000146 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005bc ),
+ .Q(\blk00000003/blk00000120/sig00000c6d ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000146_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000145 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c0 ),
+ .Q(\blk00000003/blk00000120/sig00000c69 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000145_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000144 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c1 ),
+ .Q(\blk00000003/blk00000120/sig00000c68 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000144_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000143 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005bf ),
+ .Q(\blk00000003/blk00000120/sig00000c6a ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000143_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000142 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c3 ),
+ .Q(\blk00000003/blk00000120/sig00000c66 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000142_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000141 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c4 ),
+ .Q(\blk00000003/blk00000120/sig00000c65 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000141_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk00000140 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c2 ),
+ .Q(\blk00000003/blk00000120/sig00000c67 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk00000140_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000013f (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c6 ),
+ .Q(\blk00000003/blk00000120/sig00000c63 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000013f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000013e (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c7 ),
+ .Q(\blk00000003/blk00000120/sig00000c62 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000013e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000013d (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c5 ),
+ .Q(\blk00000003/blk00000120/sig00000c64 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000013d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000013c (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c9 ),
+ .Q(\blk00000003/blk00000120/sig00000c60 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000013c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000013b (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ca ),
+ .Q(\blk00000003/blk00000120/sig00000c5f ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000013b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000120/blk0000013a (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000120/sig00000c5e ),
+ .A2(\blk00000003/blk00000120/sig00000c5e ),
+ .A3(\blk00000003/blk00000120/sig00000c5e ),
+ .CE(\blk00000003/blk00000120/sig00000c77 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005c8 ),
+ .Q(\blk00000003/blk00000120/sig00000c61 ),
+ .Q15(\NLW_blk00000003/blk00000120/blk0000013a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000139 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c76 ),
+ .Q(\blk00000003/sig000003bb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000138 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c75 ),
+ .Q(\blk00000003/sig000003bc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000137 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c74 ),
+ .Q(\blk00000003/sig000003bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000136 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c73 ),
+ .Q(\blk00000003/sig000003be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000135 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c72 ),
+ .Q(\blk00000003/sig000003bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000134 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c71 ),
+ .Q(\blk00000003/sig000003c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000133 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c70 ),
+ .Q(\blk00000003/sig000003c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000132 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c6f ),
+ .Q(\blk00000003/sig000003c2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000131 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c6e ),
+ .Q(\blk00000003/sig000003c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000130 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c6d ),
+ .Q(\blk00000003/sig000003c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk0000012f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c6c ),
+ .Q(\blk00000003/sig000003c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk0000012e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c6b ),
+ .Q(\blk00000003/sig000003c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk0000012d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c6a ),
+ .Q(\blk00000003/sig000003c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk0000012c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c69 ),
+ .Q(\blk00000003/sig000003c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk0000012b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c68 ),
+ .Q(\blk00000003/sig000003c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk0000012a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c67 ),
+ .Q(\blk00000003/sig000003ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000129 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c66 ),
+ .Q(\blk00000003/sig000003cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000128 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c65 ),
+ .Q(\blk00000003/sig000003cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000127 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c64 ),
+ .Q(\blk00000003/sig000003cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000126 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c63 ),
+ .Q(\blk00000003/sig000003ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000125 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c62 ),
+ .Q(\blk00000003/sig000003cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000124 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c61 ),
+ .Q(\blk00000003/sig000003d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000123 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c60 ),
+ .Q(\blk00000003/sig000003d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000120/blk00000122 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000120/sig00000c5f ),
+ .Q(\blk00000003/sig000003d2 )
+ );
+ GND \blk00000003/blk00000120/blk00000121 (
+ .G(\blk00000003/blk00000120/sig00000c5e )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000153/blk00000185 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000745 ),
+ .O(\blk00000003/blk00000153/sig00000cc5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000184 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000763 ),
+ .Q(\blk00000003/blk00000153/sig00000cc3 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000184_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000183 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000764 ),
+ .Q(\blk00000003/blk00000153/sig00000cc2 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000183_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000182 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000762 ),
+ .Q(\blk00000003/blk00000153/sig00000cc4 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000182_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000181 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000766 ),
+ .Q(\blk00000003/blk00000153/sig00000cc0 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000181_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000180 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000767 ),
+ .Q(\blk00000003/blk00000153/sig00000cbf ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000180_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000017f (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000765 ),
+ .Q(\blk00000003/blk00000153/sig00000cc1 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000017f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000017e (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000769 ),
+ .Q(\blk00000003/blk00000153/sig00000cbd ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000017e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000017d (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076a ),
+ .Q(\blk00000003/blk00000153/sig00000cbc ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000017d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000017c (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000768 ),
+ .Q(\blk00000003/blk00000153/sig00000cbe ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000017c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000017b (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076c ),
+ .Q(\blk00000003/blk00000153/sig00000cba ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000017b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000017a (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076d ),
+ .Q(\blk00000003/blk00000153/sig00000cb9 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000017a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000179 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076b ),
+ .Q(\blk00000003/blk00000153/sig00000cbb ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000179_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000178 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076f ),
+ .Q(\blk00000003/blk00000153/sig00000cb7 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000178_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000177 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000770 ),
+ .Q(\blk00000003/blk00000153/sig00000cb6 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000177_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000176 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000076e ),
+ .Q(\blk00000003/blk00000153/sig00000cb8 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000176_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000175 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000772 ),
+ .Q(\blk00000003/blk00000153/sig00000cb4 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000175_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000174 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000773 ),
+ .Q(\blk00000003/blk00000153/sig00000cb3 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000174_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000173 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000771 ),
+ .Q(\blk00000003/blk00000153/sig00000cb5 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000173_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000172 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000775 ),
+ .Q(\blk00000003/blk00000153/sig00000cb1 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000172_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000171 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000776 ),
+ .Q(\blk00000003/blk00000153/sig00000cb0 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000171_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk00000170 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000774 ),
+ .Q(\blk00000003/blk00000153/sig00000cb2 ),
+ .Q15(\NLW_blk00000003/blk00000153/blk00000170_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000016f (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000778 ),
+ .Q(\blk00000003/blk00000153/sig00000cae ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000016f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000016e (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000779 ),
+ .Q(\blk00000003/blk00000153/sig00000cad ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000016e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000153/blk0000016d (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk00000153/sig00000cac ),
+ .A2(\blk00000003/blk00000153/sig00000cac ),
+ .A3(\blk00000003/blk00000153/sig00000cac ),
+ .CE(\blk00000003/blk00000153/sig00000cc5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000777 ),
+ .Q(\blk00000003/blk00000153/sig00000caf ),
+ .Q15(\NLW_blk00000003/blk00000153/blk0000016d_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000016c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cc4 ),
+ .Q(\blk00000003/sig000003d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000016b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cc3 ),
+ .Q(\blk00000003/sig000003d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000016a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cc2 ),
+ .Q(\blk00000003/sig000003d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000169 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cc1 ),
+ .Q(\blk00000003/sig000003d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000168 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cc0 ),
+ .Q(\blk00000003/sig000003d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000167 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cbf ),
+ .Q(\blk00000003/sig000003d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000166 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cbe ),
+ .Q(\blk00000003/sig000003d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000165 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cbd ),
+ .Q(\blk00000003/sig000003da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000164 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cbc ),
+ .Q(\blk00000003/sig000003db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000163 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cbb ),
+ .Q(\blk00000003/sig000003dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000162 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cba ),
+ .Q(\blk00000003/sig000003dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000161 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb9 ),
+ .Q(\blk00000003/sig000003de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000160 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb8 ),
+ .Q(\blk00000003/sig000003df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000015f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb7 ),
+ .Q(\blk00000003/sig000003e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000015e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb6 ),
+ .Q(\blk00000003/sig000003e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000015d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb5 ),
+ .Q(\blk00000003/sig000003e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000015c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb4 ),
+ .Q(\blk00000003/sig000003e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000015b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb3 ),
+ .Q(\blk00000003/sig000003e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk0000015a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb2 ),
+ .Q(\blk00000003/sig000003e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000159 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb1 ),
+ .Q(\blk00000003/sig000003e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000158 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cb0 ),
+ .Q(\blk00000003/sig000003e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000157 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000caf ),
+ .Q(\blk00000003/sig000003e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000156 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cae ),
+ .Q(\blk00000003/sig000003e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000153/blk00000155 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000153/sig00000cad ),
+ .Q(\blk00000003/sig000003ea )
+ );
+ GND \blk00000003/blk00000153/blk00000154 (
+ .G(\blk00000003/blk00000153/sig00000cac )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000186/blk000001b8 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000743 ),
+ .O(\blk00000003/blk00000186/sig00000d13 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b7 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000704 ),
+ .Q(\blk00000003/blk00000186/sig00000d11 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b6 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000705 ),
+ .Q(\blk00000003/blk00000186/sig00000d10 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b5 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000703 ),
+ .Q(\blk00000003/blk00000186/sig00000d12 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b4 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000707 ),
+ .Q(\blk00000003/blk00000186/sig00000d0e ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b3 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000708 ),
+ .Q(\blk00000003/blk00000186/sig00000d0d ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b2 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000706 ),
+ .Q(\blk00000003/blk00000186/sig00000d0f ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b1 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070a ),
+ .Q(\blk00000003/blk00000186/sig00000d0b ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001b0 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070b ),
+ .Q(\blk00000003/blk00000186/sig00000d0a ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001b0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001af (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000709 ),
+ .Q(\blk00000003/blk00000186/sig00000d0c ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001ae (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070d ),
+ .Q(\blk00000003/blk00000186/sig00000d08 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001ad (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070e ),
+ .Q(\blk00000003/blk00000186/sig00000d07 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001ac (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070c ),
+ .Q(\blk00000003/blk00000186/sig00000d09 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001ab (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000710 ),
+ .Q(\blk00000003/blk00000186/sig00000d05 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001aa (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000711 ),
+ .Q(\blk00000003/blk00000186/sig00000d04 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a9 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070f ),
+ .Q(\blk00000003/blk00000186/sig00000d06 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a8 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000713 ),
+ .Q(\blk00000003/blk00000186/sig00000d02 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a7 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000714 ),
+ .Q(\blk00000003/blk00000186/sig00000d01 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a6 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000712 ),
+ .Q(\blk00000003/blk00000186/sig00000d03 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a5 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000716 ),
+ .Q(\blk00000003/blk00000186/sig00000cff ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a4 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000717 ),
+ .Q(\blk00000003/blk00000186/sig00000cfe ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a3 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000715 ),
+ .Q(\blk00000003/blk00000186/sig00000d00 ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a2 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000719 ),
+ .Q(\blk00000003/blk00000186/sig00000cfc ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a1 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000071a ),
+ .Q(\blk00000003/blk00000186/sig00000cfb ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000186/blk000001a0 (
+ .A0(\blk00000003/sig00000749 ),
+ .A1(\blk00000003/blk00000186/sig00000cfa ),
+ .A2(\blk00000003/blk00000186/sig00000cfa ),
+ .A3(\blk00000003/blk00000186/sig00000cfa ),
+ .CE(\blk00000003/blk00000186/sig00000d13 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000718 ),
+ .Q(\blk00000003/blk00000186/sig00000cfd ),
+ .Q15(\NLW_blk00000003/blk00000186/blk000001a0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000019f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d12 ),
+ .Q(\blk00000003/sig0000041b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000019e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d11 ),
+ .Q(\blk00000003/sig0000041c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000019d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d10 ),
+ .Q(\blk00000003/sig0000041d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000019c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d0f ),
+ .Q(\blk00000003/sig0000041e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000019b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d0e ),
+ .Q(\blk00000003/sig0000041f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000019a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d0d ),
+ .Q(\blk00000003/sig00000420 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000199 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d0c ),
+ .Q(\blk00000003/sig00000421 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000198 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d0b ),
+ .Q(\blk00000003/sig00000422 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000197 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d0a ),
+ .Q(\blk00000003/sig00000423 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000196 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d09 ),
+ .Q(\blk00000003/sig00000424 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000195 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d08 ),
+ .Q(\blk00000003/sig00000425 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000194 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d07 ),
+ .Q(\blk00000003/sig00000426 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000193 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d06 ),
+ .Q(\blk00000003/sig00000427 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000192 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d05 ),
+ .Q(\blk00000003/sig00000428 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000191 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d04 ),
+ .Q(\blk00000003/sig00000429 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000190 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d03 ),
+ .Q(\blk00000003/sig0000042a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000018f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d02 ),
+ .Q(\blk00000003/sig0000042b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000018e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d01 ),
+ .Q(\blk00000003/sig0000042c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000018d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000d00 ),
+ .Q(\blk00000003/sig0000042d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000018c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000cff ),
+ .Q(\blk00000003/sig0000042e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000018b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000cfe ),
+ .Q(\blk00000003/sig0000042f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk0000018a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000cfd ),
+ .Q(\blk00000003/sig00000430 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000189 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000cfc ),
+ .Q(\blk00000003/sig00000431 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000186/blk00000188 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000186/sig00000cfb ),
+ .Q(\blk00000003/sig00000432 )
+ );
+ GND \blk00000003/blk00000186/blk00000187 (
+ .G(\blk00000003/blk00000186/sig00000cfa )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000001b9/blk000001eb (
+ .I0(ce),
+ .I1(\blk00000003/sig00000745 ),
+ .O(\blk00000003/blk000001b9/sig00000d61 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001ea (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077b ),
+ .Q(\blk00000003/blk000001b9/sig00000d5f ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001ea_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e9 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077c ),
+ .Q(\blk00000003/blk000001b9/sig00000d5e ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e8 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077a ),
+ .Q(\blk00000003/blk000001b9/sig00000d60 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e7 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077e ),
+ .Q(\blk00000003/blk000001b9/sig00000d5c ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e6 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077f ),
+ .Q(\blk00000003/blk000001b9/sig00000d5b ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e5 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000077d ),
+ .Q(\blk00000003/blk000001b9/sig00000d5d ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e4 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000781 ),
+ .Q(\blk00000003/blk000001b9/sig00000d59 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e3 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000782 ),
+ .Q(\blk00000003/blk000001b9/sig00000d58 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e2 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000780 ),
+ .Q(\blk00000003/blk000001b9/sig00000d5a ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e1 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000784 ),
+ .Q(\blk00000003/blk000001b9/sig00000d56 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001e0 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000785 ),
+ .Q(\blk00000003/blk000001b9/sig00000d55 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001df (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000783 ),
+ .Q(\blk00000003/blk000001b9/sig00000d57 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001de (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000787 ),
+ .Q(\blk00000003/blk000001b9/sig00000d53 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001dd (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000788 ),
+ .Q(\blk00000003/blk000001b9/sig00000d52 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001dc (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000786 ),
+ .Q(\blk00000003/blk000001b9/sig00000d54 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001db (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078a ),
+ .Q(\blk00000003/blk000001b9/sig00000d50 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001da (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078b ),
+ .Q(\blk00000003/blk000001b9/sig00000d4f ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d9 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000789 ),
+ .Q(\blk00000003/blk000001b9/sig00000d51 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d8 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078d ),
+ .Q(\blk00000003/blk000001b9/sig00000d4d ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d7 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078e ),
+ .Q(\blk00000003/blk000001b9/sig00000d4c ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d6 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078c ),
+ .Q(\blk00000003/blk000001b9/sig00000d4e ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d5 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000790 ),
+ .Q(\blk00000003/blk000001b9/sig00000d4a ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d4 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000791 ),
+ .Q(\blk00000003/blk000001b9/sig00000d49 ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b9/blk000001d3 (
+ .A0(\blk00000003/sig00000747 ),
+ .A1(\blk00000003/blk000001b9/sig00000d48 ),
+ .A2(\blk00000003/blk000001b9/sig00000d48 ),
+ .A3(\blk00000003/blk000001b9/sig00000d48 ),
+ .CE(\blk00000003/blk000001b9/sig00000d61 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000078f ),
+ .Q(\blk00000003/blk000001b9/sig00000d4b ),
+ .Q15(\NLW_blk00000003/blk000001b9/blk000001d3_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d60 ),
+ .Q(\blk00000003/sig00000433 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d5f ),
+ .Q(\blk00000003/sig00000434 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d5e ),
+ .Q(\blk00000003/sig00000435 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d5d ),
+ .Q(\blk00000003/sig00000436 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d5c ),
+ .Q(\blk00000003/sig00000437 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d5b ),
+ .Q(\blk00000003/sig00000438 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d5a ),
+ .Q(\blk00000003/sig00000439 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d59 ),
+ .Q(\blk00000003/sig0000043a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d58 ),
+ .Q(\blk00000003/sig0000043b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d57 ),
+ .Q(\blk00000003/sig0000043c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d56 ),
+ .Q(\blk00000003/sig0000043d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d55 ),
+ .Q(\blk00000003/sig0000043e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d54 ),
+ .Q(\blk00000003/sig0000043f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d53 ),
+ .Q(\blk00000003/sig00000440 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d52 ),
+ .Q(\blk00000003/sig00000441 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d51 ),
+ .Q(\blk00000003/sig00000442 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d50 ),
+ .Q(\blk00000003/sig00000443 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d4f ),
+ .Q(\blk00000003/sig00000444 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d4e ),
+ .Q(\blk00000003/sig00000445 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d4d ),
+ .Q(\blk00000003/sig00000446 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d4c ),
+ .Q(\blk00000003/sig00000447 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d4b ),
+ .Q(\blk00000003/sig00000448 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d4a ),
+ .Q(\blk00000003/sig00000449 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b9/blk000001bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b9/sig00000d49 ),
+ .Q(\blk00000003/sig0000044a )
+ );
+ GND \blk00000003/blk000001b9/blk000001ba (
+ .G(\blk00000003/blk000001b9/sig00000d48 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000001ec/blk0000021e (
+ .I0(ce),
+ .I1(\blk00000003/sig00000742 ),
+ .O(\blk00000003/blk000001ec/sig00000daf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000021d (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000542 ),
+ .Q(\blk00000003/blk000001ec/sig00000dad ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000021d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000021c (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000543 ),
+ .Q(\blk00000003/blk000001ec/sig00000dac ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000021c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000021b (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000541 ),
+ .Q(\blk00000003/blk000001ec/sig00000dae ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000021b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000021a (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000545 ),
+ .Q(\blk00000003/blk000001ec/sig00000daa ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000021a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000219 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000546 ),
+ .Q(\blk00000003/blk000001ec/sig00000da9 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000219_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000218 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000544 ),
+ .Q(\blk00000003/blk000001ec/sig00000dab ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000218_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000217 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000548 ),
+ .Q(\blk00000003/blk000001ec/sig00000da7 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000217_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000216 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000549 ),
+ .Q(\blk00000003/blk000001ec/sig00000da6 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000216_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000215 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000547 ),
+ .Q(\blk00000003/blk000001ec/sig00000da8 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000215_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000214 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054b ),
+ .Q(\blk00000003/blk000001ec/sig00000da4 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000214_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000213 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054c ),
+ .Q(\blk00000003/blk000001ec/sig00000da3 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000213_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000212 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054a ),
+ .Q(\blk00000003/blk000001ec/sig00000da5 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000212_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000211 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054e ),
+ .Q(\blk00000003/blk000001ec/sig00000da1 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000211_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000210 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054f ),
+ .Q(\blk00000003/blk000001ec/sig00000da0 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000210_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000020f (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054d ),
+ .Q(\blk00000003/blk000001ec/sig00000da2 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000020f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000020e (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000551 ),
+ .Q(\blk00000003/blk000001ec/sig00000d9e ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000020e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000020d (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000552 ),
+ .Q(\blk00000003/blk000001ec/sig00000d9d ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000020d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000020c (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000550 ),
+ .Q(\blk00000003/blk000001ec/sig00000d9f ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000020c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000020b (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000554 ),
+ .Q(\blk00000003/blk000001ec/sig00000d9b ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000020b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk0000020a (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000555 ),
+ .Q(\blk00000003/blk000001ec/sig00000d9a ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk0000020a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000209 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000553 ),
+ .Q(\blk00000003/blk000001ec/sig00000d9c ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000209_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000208 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000557 ),
+ .Q(\blk00000003/blk000001ec/sig00000d98 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000208_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000207 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000558 ),
+ .Q(\blk00000003/blk000001ec/sig00000d97 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000207_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001ec/blk00000206 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk000001ec/sig00000d96 ),
+ .A2(\blk00000003/blk000001ec/sig00000d96 ),
+ .A3(\blk00000003/blk000001ec/sig00000d96 ),
+ .CE(\blk00000003/blk000001ec/sig00000daf ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000556 ),
+ .Q(\blk00000003/blk000001ec/sig00000d99 ),
+ .Q15(\NLW_blk00000003/blk000001ec/blk00000206_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk00000205 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000dae ),
+ .Q(\blk00000003/sig000005b3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk00000204 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000dad ),
+ .Q(\blk00000003/sig000005b4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk00000203 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000dac ),
+ .Q(\blk00000003/sig000005b5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk00000202 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000dab ),
+ .Q(\blk00000003/sig000005b6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk00000201 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000daa ),
+ .Q(\blk00000003/sig000005b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk00000200 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da9 ),
+ .Q(\blk00000003/sig000005b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da8 ),
+ .Q(\blk00000003/sig000005b9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da7 ),
+ .Q(\blk00000003/sig000005ba )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da6 ),
+ .Q(\blk00000003/sig000005bb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da5 ),
+ .Q(\blk00000003/sig000005bc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da4 ),
+ .Q(\blk00000003/sig000005bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da3 ),
+ .Q(\blk00000003/sig000005be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da2 ),
+ .Q(\blk00000003/sig000005bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da1 ),
+ .Q(\blk00000003/sig000005c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000da0 ),
+ .Q(\blk00000003/sig000005c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d9f ),
+ .Q(\blk00000003/sig000005c2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d9e ),
+ .Q(\blk00000003/sig000005c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d9d ),
+ .Q(\blk00000003/sig000005c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d9c ),
+ .Q(\blk00000003/sig000005c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d9b ),
+ .Q(\blk00000003/sig000005c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d9a ),
+ .Q(\blk00000003/sig000005c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d99 ),
+ .Q(\blk00000003/sig000005c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d98 ),
+ .Q(\blk00000003/sig000005c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001ec/blk000001ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001ec/sig00000d97 ),
+ .Q(\blk00000003/sig000005ca )
+ );
+ GND \blk00000003/blk000001ec/blk000001ed (
+ .G(\blk00000003/blk000001ec/sig00000d96 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000021f/blk00000251 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000744 ),
+ .O(\blk00000003/blk0000021f/sig00000dfd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000250 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000793 ),
+ .Q(\blk00000003/blk0000021f/sig00000dfb ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000250_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000024f (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000794 ),
+ .Q(\blk00000003/blk0000021f/sig00000dfa ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000024f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000024e (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000792 ),
+ .Q(\blk00000003/blk0000021f/sig00000dfc ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000024e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000024d (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000796 ),
+ .Q(\blk00000003/blk0000021f/sig00000df8 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000024d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000024c (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000797 ),
+ .Q(\blk00000003/blk0000021f/sig00000df7 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000024c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000024b (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000795 ),
+ .Q(\blk00000003/blk0000021f/sig00000df9 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000024b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000024a (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000799 ),
+ .Q(\blk00000003/blk0000021f/sig00000df5 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000024a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000249 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079a ),
+ .Q(\blk00000003/blk0000021f/sig00000df4 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000249_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000248 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000798 ),
+ .Q(\blk00000003/blk0000021f/sig00000df6 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000248_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000247 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079c ),
+ .Q(\blk00000003/blk0000021f/sig00000df2 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000247_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000246 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079d ),
+ .Q(\blk00000003/blk0000021f/sig00000df1 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000246_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000245 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079b ),
+ .Q(\blk00000003/blk0000021f/sig00000df3 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000245_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000244 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079f ),
+ .Q(\blk00000003/blk0000021f/sig00000def ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000244_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000243 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a0 ),
+ .Q(\blk00000003/blk0000021f/sig00000dee ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000243_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000242 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000079e ),
+ .Q(\blk00000003/blk0000021f/sig00000df0 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000242_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000241 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a2 ),
+ .Q(\blk00000003/blk0000021f/sig00000dec ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000241_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000240 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a3 ),
+ .Q(\blk00000003/blk0000021f/sig00000deb ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000240_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000023f (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a1 ),
+ .Q(\blk00000003/blk0000021f/sig00000ded ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000023f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000023e (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a5 ),
+ .Q(\blk00000003/blk0000021f/sig00000de9 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000023e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000023d (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a6 ),
+ .Q(\blk00000003/blk0000021f/sig00000de8 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000023d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000023c (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a4 ),
+ .Q(\blk00000003/blk0000021f/sig00000dea ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000023c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000023b (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a8 ),
+ .Q(\blk00000003/blk0000021f/sig00000de6 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000023b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk0000023a (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a9 ),
+ .Q(\blk00000003/blk0000021f/sig00000de5 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk0000023a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000021f/blk00000239 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk0000021f/sig00000de4 ),
+ .A2(\blk00000003/blk0000021f/sig00000de4 ),
+ .A3(\blk00000003/blk0000021f/sig00000de4 ),
+ .CE(\blk00000003/blk0000021f/sig00000dfd ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007a7 ),
+ .Q(\blk00000003/blk0000021f/sig00000de7 ),
+ .Q15(\NLW_blk00000003/blk0000021f/blk00000239_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000238 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000dfc ),
+ .Q(\blk00000003/sig000005cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000237 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000dfb ),
+ .Q(\blk00000003/sig000005cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000236 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000dfa ),
+ .Q(\blk00000003/sig000005cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000235 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df9 ),
+ .Q(\blk00000003/sig000005ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000234 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df8 ),
+ .Q(\blk00000003/sig000005cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000233 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df7 ),
+ .Q(\blk00000003/sig000005d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000232 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df6 ),
+ .Q(\blk00000003/sig000005d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000231 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df5 ),
+ .Q(\blk00000003/sig000005d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000230 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df4 ),
+ .Q(\blk00000003/sig000005d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk0000022f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df3 ),
+ .Q(\blk00000003/sig000005d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk0000022e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df2 ),
+ .Q(\blk00000003/sig000005d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk0000022d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df1 ),
+ .Q(\blk00000003/sig000005d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk0000022c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000df0 ),
+ .Q(\blk00000003/sig000005d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk0000022b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000def ),
+ .Q(\blk00000003/sig000005d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk0000022a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000dee ),
+ .Q(\blk00000003/sig000005d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000229 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000ded ),
+ .Q(\blk00000003/sig000005da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000228 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000dec ),
+ .Q(\blk00000003/sig000005db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000227 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000deb ),
+ .Q(\blk00000003/sig000005dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000226 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000dea ),
+ .Q(\blk00000003/sig000005dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000225 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000de9 ),
+ .Q(\blk00000003/sig000005de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000224 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000de8 ),
+ .Q(\blk00000003/sig000005df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000223 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000de7 ),
+ .Q(\blk00000003/sig000005e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000222 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000de6 ),
+ .Q(\blk00000003/sig000005e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000021f/blk00000221 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000021f/sig00000de5 ),
+ .Q(\blk00000003/sig000005e2 )
+ );
+ GND \blk00000003/blk0000021f/blk00000220 (
+ .G(\blk00000003/blk0000021f/sig00000de4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000252/blk00000284 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000742 ),
+ .O(\blk00000003/blk00000252/sig00000e4b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000283 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a4 ),
+ .Q(\blk00000003/blk00000252/sig00000e49 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000283_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000282 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a5 ),
+ .Q(\blk00000003/blk00000252/sig00000e48 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000282_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000281 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a3 ),
+ .Q(\blk00000003/blk00000252/sig00000e4a ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000281_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000280 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a7 ),
+ .Q(\blk00000003/blk00000252/sig00000e46 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000280_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000027f (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a8 ),
+ .Q(\blk00000003/blk00000252/sig00000e45 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000027f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000027e (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a6 ),
+ .Q(\blk00000003/blk00000252/sig00000e47 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000027e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000027d (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006aa ),
+ .Q(\blk00000003/blk00000252/sig00000e43 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000027d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000027c (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ab ),
+ .Q(\blk00000003/blk00000252/sig00000e42 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000027c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000027b (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006a9 ),
+ .Q(\blk00000003/blk00000252/sig00000e44 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000027b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000027a (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ad ),
+ .Q(\blk00000003/blk00000252/sig00000e40 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000027a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000279 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ae ),
+ .Q(\blk00000003/blk00000252/sig00000e3f ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000279_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000278 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ac ),
+ .Q(\blk00000003/blk00000252/sig00000e41 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000278_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000277 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b0 ),
+ .Q(\blk00000003/blk00000252/sig00000e3d ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000277_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000276 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b1 ),
+ .Q(\blk00000003/blk00000252/sig00000e3c ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000276_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000275 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006af ),
+ .Q(\blk00000003/blk00000252/sig00000e3e ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000275_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000274 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b3 ),
+ .Q(\blk00000003/blk00000252/sig00000e3a ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000274_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000273 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b4 ),
+ .Q(\blk00000003/blk00000252/sig00000e39 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000273_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000272 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b2 ),
+ .Q(\blk00000003/blk00000252/sig00000e3b ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000272_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000271 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b6 ),
+ .Q(\blk00000003/blk00000252/sig00000e37 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000271_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk00000270 (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b7 ),
+ .Q(\blk00000003/blk00000252/sig00000e36 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk00000270_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000026f (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b5 ),
+ .Q(\blk00000003/blk00000252/sig00000e38 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000026f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000026e (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b9 ),
+ .Q(\blk00000003/blk00000252/sig00000e34 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000026e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000026d (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ba ),
+ .Q(\blk00000003/blk00000252/sig00000e33 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000026d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000252/blk0000026c (
+ .A0(\blk00000003/sig00000748 ),
+ .A1(\blk00000003/blk00000252/sig00000e32 ),
+ .A2(\blk00000003/blk00000252/sig00000e32 ),
+ .A3(\blk00000003/blk00000252/sig00000e32 ),
+ .CE(\blk00000003/blk00000252/sig00000e4b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000006b8 ),
+ .Q(\blk00000003/blk00000252/sig00000e35 ),
+ .Q15(\NLW_blk00000003/blk00000252/blk0000026c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000026b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e4a ),
+ .Q(\blk00000003/sig00000703 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000026a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e49 ),
+ .Q(\blk00000003/sig00000704 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000269 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e48 ),
+ .Q(\blk00000003/sig00000705 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000268 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e47 ),
+ .Q(\blk00000003/sig00000706 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000267 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e46 ),
+ .Q(\blk00000003/sig00000707 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000266 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e45 ),
+ .Q(\blk00000003/sig00000708 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000265 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e44 ),
+ .Q(\blk00000003/sig00000709 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000264 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e43 ),
+ .Q(\blk00000003/sig0000070a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000263 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e42 ),
+ .Q(\blk00000003/sig0000070b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000262 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e41 ),
+ .Q(\blk00000003/sig0000070c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000261 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e40 ),
+ .Q(\blk00000003/sig0000070d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000260 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e3f ),
+ .Q(\blk00000003/sig0000070e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000025f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e3e ),
+ .Q(\blk00000003/sig0000070f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000025e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e3d ),
+ .Q(\blk00000003/sig00000710 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000025d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e3c ),
+ .Q(\blk00000003/sig00000711 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000025c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e3b ),
+ .Q(\blk00000003/sig00000712 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000025b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e3a ),
+ .Q(\blk00000003/sig00000713 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk0000025a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e39 ),
+ .Q(\blk00000003/sig00000714 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000259 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e38 ),
+ .Q(\blk00000003/sig00000715 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000258 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e37 ),
+ .Q(\blk00000003/sig00000716 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000257 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e36 ),
+ .Q(\blk00000003/sig00000717 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000256 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e35 ),
+ .Q(\blk00000003/sig00000718 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000255 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e34 ),
+ .Q(\blk00000003/sig00000719 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000252/blk00000254 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000252/sig00000e33 ),
+ .Q(\blk00000003/sig0000071a )
+ );
+ GND \blk00000003/blk00000252/blk00000253 (
+ .G(\blk00000003/blk00000252/sig00000e32 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000285/blk000002b7 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000744 ),
+ .O(\blk00000003/blk00000285/sig00000e99 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b6 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ab ),
+ .Q(\blk00000003/blk00000285/sig00000e97 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b5 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ac ),
+ .Q(\blk00000003/blk00000285/sig00000e96 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b4 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007aa ),
+ .Q(\blk00000003/blk00000285/sig00000e98 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b3 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ae ),
+ .Q(\blk00000003/blk00000285/sig00000e94 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b2 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007af ),
+ .Q(\blk00000003/blk00000285/sig00000e93 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b1 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ad ),
+ .Q(\blk00000003/blk00000285/sig00000e95 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002b0 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b1 ),
+ .Q(\blk00000003/blk00000285/sig00000e91 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002b0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002af (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b2 ),
+ .Q(\blk00000003/blk00000285/sig00000e90 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002ae (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b0 ),
+ .Q(\blk00000003/blk00000285/sig00000e92 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002ad (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b4 ),
+ .Q(\blk00000003/blk00000285/sig00000e8e ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002ac (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b5 ),
+ .Q(\blk00000003/blk00000285/sig00000e8d ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002ab (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b3 ),
+ .Q(\blk00000003/blk00000285/sig00000e8f ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002aa (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b7 ),
+ .Q(\blk00000003/blk00000285/sig00000e8b ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a9 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b8 ),
+ .Q(\blk00000003/blk00000285/sig00000e8a ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a8 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b6 ),
+ .Q(\blk00000003/blk00000285/sig00000e8c ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a7 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ba ),
+ .Q(\blk00000003/blk00000285/sig00000e88 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a6 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bb ),
+ .Q(\blk00000003/blk00000285/sig00000e87 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a5 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007b9 ),
+ .Q(\blk00000003/blk00000285/sig00000e89 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a4 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bd ),
+ .Q(\blk00000003/blk00000285/sig00000e85 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a3 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007be ),
+ .Q(\blk00000003/blk00000285/sig00000e84 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a2 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bc ),
+ .Q(\blk00000003/blk00000285/sig00000e86 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a1 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c0 ),
+ .Q(\blk00000003/blk00000285/sig00000e82 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk000002a0 (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c1 ),
+ .Q(\blk00000003/blk00000285/sig00000e81 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk000002a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000285/blk0000029f (
+ .A0(\blk00000003/sig00000746 ),
+ .A1(\blk00000003/blk00000285/sig00000e80 ),
+ .A2(\blk00000003/blk00000285/sig00000e80 ),
+ .A3(\blk00000003/blk00000285/sig00000e80 ),
+ .CE(\blk00000003/blk00000285/sig00000e99 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007bf ),
+ .Q(\blk00000003/blk00000285/sig00000e83 ),
+ .Q15(\NLW_blk00000003/blk00000285/blk0000029f_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000029e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e98 ),
+ .Q(\blk00000003/sig0000071b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000029d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e97 ),
+ .Q(\blk00000003/sig0000071c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000029c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e96 ),
+ .Q(\blk00000003/sig0000071d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000029b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e95 ),
+ .Q(\blk00000003/sig0000071e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000029a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e94 ),
+ .Q(\blk00000003/sig0000071f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000299 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e93 ),
+ .Q(\blk00000003/sig00000720 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000298 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e92 ),
+ .Q(\blk00000003/sig00000721 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000297 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e91 ),
+ .Q(\blk00000003/sig00000722 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000296 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e90 ),
+ .Q(\blk00000003/sig00000723 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000295 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e8f ),
+ .Q(\blk00000003/sig00000724 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000294 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e8e ),
+ .Q(\blk00000003/sig00000725 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000293 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e8d ),
+ .Q(\blk00000003/sig00000726 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000292 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e8c ),
+ .Q(\blk00000003/sig00000727 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000291 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e8b ),
+ .Q(\blk00000003/sig00000728 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000290 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e8a ),
+ .Q(\blk00000003/sig00000729 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000028f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e89 ),
+ .Q(\blk00000003/sig0000072a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000028e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e88 ),
+ .Q(\blk00000003/sig0000072b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000028d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e87 ),
+ .Q(\blk00000003/sig0000072c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000028c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e86 ),
+ .Q(\blk00000003/sig0000072d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000028b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e85 ),
+ .Q(\blk00000003/sig0000072e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk0000028a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e84 ),
+ .Q(\blk00000003/sig0000072f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000289 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e83 ),
+ .Q(\blk00000003/sig00000730 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000288 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e82 ),
+ .Q(\blk00000003/sig00000731 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000285/blk00000287 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000285/sig00000e81 ),
+ .Q(\blk00000003/sig00000732 )
+ );
+ GND \blk00000003/blk00000285/blk00000286 (
+ .G(\blk00000003/blk00000285/sig00000e80 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000002b8/blk000002ea (
+ .I0(ce),
+ .I1(\blk00000003/sig0000074e ),
+ .O(\blk00000003/blk000002b8/sig00000ee7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e9 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d0 ),
+ .Q(\blk00000003/blk000002b8/sig00000ee5 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e8 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d1 ),
+ .Q(\blk00000003/blk000002b8/sig00000ee4 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e7 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004cf ),
+ .Q(\blk00000003/blk000002b8/sig00000ee6 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e6 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d3 ),
+ .Q(\blk00000003/blk000002b8/sig00000ee2 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e5 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d4 ),
+ .Q(\blk00000003/blk000002b8/sig00000ee1 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e4 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d2 ),
+ .Q(\blk00000003/blk000002b8/sig00000ee3 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e3 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d6 ),
+ .Q(\blk00000003/blk000002b8/sig00000edf ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e2 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d7 ),
+ .Q(\blk00000003/blk000002b8/sig00000ede ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e1 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d5 ),
+ .Q(\blk00000003/blk000002b8/sig00000ee0 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002e0 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d9 ),
+ .Q(\blk00000003/blk000002b8/sig00000edc ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002df (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004da ),
+ .Q(\blk00000003/blk000002b8/sig00000edb ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002de (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004d8 ),
+ .Q(\blk00000003/blk000002b8/sig00000edd ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002dd (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004dc ),
+ .Q(\blk00000003/blk000002b8/sig00000ed9 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002dc (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004dd ),
+ .Q(\blk00000003/blk000002b8/sig00000ed8 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002db (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004db ),
+ .Q(\blk00000003/blk000002b8/sig00000eda ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002da (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004df ),
+ .Q(\blk00000003/blk000002b8/sig00000ed6 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d9 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e0 ),
+ .Q(\blk00000003/blk000002b8/sig00000ed5 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d8 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004de ),
+ .Q(\blk00000003/blk000002b8/sig00000ed7 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d7 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e2 ),
+ .Q(\blk00000003/blk000002b8/sig00000ed3 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d6 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e3 ),
+ .Q(\blk00000003/blk000002b8/sig00000ed2 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d5 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e1 ),
+ .Q(\blk00000003/blk000002b8/sig00000ed4 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d4 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e5 ),
+ .Q(\blk00000003/blk000002b8/sig00000ed0 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d3 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e6 ),
+ .Q(\blk00000003/blk000002b8/sig00000ecf ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002b8/blk000002d2 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk000002b8/sig00000ece ),
+ .A2(\blk00000003/blk000002b8/sig00000ece ),
+ .A3(\blk00000003/blk000002b8/sig00000ece ),
+ .CE(\blk00000003/blk000002b8/sig00000ee7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e4 ),
+ .Q(\blk00000003/blk000002b8/sig00000ed1 ),
+ .Q15(\NLW_blk00000003/blk000002b8/blk000002d2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee6 ),
+ .Q(\blk00000003/sig00000541 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee5 ),
+ .Q(\blk00000003/sig00000542 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee4 ),
+ .Q(\blk00000003/sig00000543 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee3 ),
+ .Q(\blk00000003/sig00000544 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee2 ),
+ .Q(\blk00000003/sig00000545 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee1 ),
+ .Q(\blk00000003/sig00000546 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ee0 ),
+ .Q(\blk00000003/sig00000547 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000edf ),
+ .Q(\blk00000003/sig00000548 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ede ),
+ .Q(\blk00000003/sig00000549 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000edd ),
+ .Q(\blk00000003/sig0000054a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000edc ),
+ .Q(\blk00000003/sig0000054b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000edb ),
+ .Q(\blk00000003/sig0000054c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000eda ),
+ .Q(\blk00000003/sig0000054d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed9 ),
+ .Q(\blk00000003/sig0000054e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed8 ),
+ .Q(\blk00000003/sig0000054f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed7 ),
+ .Q(\blk00000003/sig00000550 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed6 ),
+ .Q(\blk00000003/sig00000551 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed5 ),
+ .Q(\blk00000003/sig00000552 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed4 ),
+ .Q(\blk00000003/sig00000553 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed3 ),
+ .Q(\blk00000003/sig00000554 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed2 ),
+ .Q(\blk00000003/sig00000555 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed1 ),
+ .Q(\blk00000003/sig00000556 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ed0 ),
+ .Q(\blk00000003/sig00000557 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b8/blk000002ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b8/sig00000ecf ),
+ .Q(\blk00000003/sig00000558 )
+ );
+ GND \blk00000003/blk000002b8/blk000002b9 (
+ .G(\blk00000003/blk000002b8/sig00000ece )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000002eb/blk0000031d (
+ .I0(ce),
+ .I1(\blk00000003/sig0000074f ),
+ .O(\blk00000003/blk000002eb/sig00000f35 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000031c (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c3 ),
+ .Q(\blk00000003/blk000002eb/sig00000f33 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000031c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000031b (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c4 ),
+ .Q(\blk00000003/blk000002eb/sig00000f32 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000031b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000031a (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c2 ),
+ .Q(\blk00000003/blk000002eb/sig00000f34 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000031a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000319 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c6 ),
+ .Q(\blk00000003/blk000002eb/sig00000f30 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000319_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000318 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c7 ),
+ .Q(\blk00000003/blk000002eb/sig00000f2f ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000318_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000317 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c5 ),
+ .Q(\blk00000003/blk000002eb/sig00000f31 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000317_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000316 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c9 ),
+ .Q(\blk00000003/blk000002eb/sig00000f2d ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000316_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000315 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ca ),
+ .Q(\blk00000003/blk000002eb/sig00000f2c ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000315_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000314 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007c8 ),
+ .Q(\blk00000003/blk000002eb/sig00000f2e ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000314_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000313 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cc ),
+ .Q(\blk00000003/blk000002eb/sig00000f2a ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000313_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000312 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cd ),
+ .Q(\blk00000003/blk000002eb/sig00000f29 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000312_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000311 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cb ),
+ .Q(\blk00000003/blk000002eb/sig00000f2b ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000311_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000310 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007cf ),
+ .Q(\blk00000003/blk000002eb/sig00000f27 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000310_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000030f (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d0 ),
+ .Q(\blk00000003/blk000002eb/sig00000f26 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000030f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000030e (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ce ),
+ .Q(\blk00000003/blk000002eb/sig00000f28 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000030e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000030d (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d2 ),
+ .Q(\blk00000003/blk000002eb/sig00000f24 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000030d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000030c (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d3 ),
+ .Q(\blk00000003/blk000002eb/sig00000f23 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000030c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000030b (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d1 ),
+ .Q(\blk00000003/blk000002eb/sig00000f25 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000030b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk0000030a (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d5 ),
+ .Q(\blk00000003/blk000002eb/sig00000f21 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk0000030a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000309 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d6 ),
+ .Q(\blk00000003/blk000002eb/sig00000f20 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000309_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000308 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d4 ),
+ .Q(\blk00000003/blk000002eb/sig00000f22 ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000308_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000307 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d8 ),
+ .Q(\blk00000003/blk000002eb/sig00000f1e ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000307_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000306 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d9 ),
+ .Q(\blk00000003/blk000002eb/sig00000f1d ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000306_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002eb/blk00000305 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk000002eb/sig00000f1c ),
+ .A2(\blk00000003/blk000002eb/sig00000f1c ),
+ .A3(\blk00000003/blk000002eb/sig00000f1c ),
+ .CE(\blk00000003/blk000002eb/sig00000f35 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007d7 ),
+ .Q(\blk00000003/blk000002eb/sig00000f1f ),
+ .Q15(\NLW_blk00000003/blk000002eb/blk00000305_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk00000304 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f34 ),
+ .Q(\blk00000003/sig00000559 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk00000303 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f33 ),
+ .Q(\blk00000003/sig0000055a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk00000302 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f32 ),
+ .Q(\blk00000003/sig0000055b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk00000301 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f31 ),
+ .Q(\blk00000003/sig0000055c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk00000300 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f30 ),
+ .Q(\blk00000003/sig0000055d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f2f ),
+ .Q(\blk00000003/sig0000055e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f2e ),
+ .Q(\blk00000003/sig0000055f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f2d ),
+ .Q(\blk00000003/sig00000560 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f2c ),
+ .Q(\blk00000003/sig00000561 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f2b ),
+ .Q(\blk00000003/sig00000562 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f2a ),
+ .Q(\blk00000003/sig00000563 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f29 ),
+ .Q(\blk00000003/sig00000564 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f28 ),
+ .Q(\blk00000003/sig00000565 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f27 ),
+ .Q(\blk00000003/sig00000566 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f26 ),
+ .Q(\blk00000003/sig00000567 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f25 ),
+ .Q(\blk00000003/sig00000568 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f24 ),
+ .Q(\blk00000003/sig00000569 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f23 ),
+ .Q(\blk00000003/sig0000056a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f22 ),
+ .Q(\blk00000003/sig0000056b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f21 ),
+ .Q(\blk00000003/sig0000056c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f20 ),
+ .Q(\blk00000003/sig0000056d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f1f ),
+ .Q(\blk00000003/sig0000056e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f1e ),
+ .Q(\blk00000003/sig0000056f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002eb/blk000002ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002eb/sig00000f1d ),
+ .Q(\blk00000003/sig00000570 )
+ );
+ GND \blk00000003/blk000002eb/blk000002ec (
+ .G(\blk00000003/blk000002eb/sig00000f1c )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000031e/blk00000350 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000074e ),
+ .O(\blk00000003/blk0000031e/sig00000f83 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000034f (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000644 ),
+ .Q(\blk00000003/blk0000031e/sig00000f81 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000034f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000034e (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000645 ),
+ .Q(\blk00000003/blk0000031e/sig00000f80 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000034e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000034d (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000643 ),
+ .Q(\blk00000003/blk0000031e/sig00000f82 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000034d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000034c (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000647 ),
+ .Q(\blk00000003/blk0000031e/sig00000f7e ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000034c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000034b (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000648 ),
+ .Q(\blk00000003/blk0000031e/sig00000f7d ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000034b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000034a (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000646 ),
+ .Q(\blk00000003/blk0000031e/sig00000f7f ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000034a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000349 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000064a ),
+ .Q(\blk00000003/blk0000031e/sig00000f7b ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000349_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000348 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000064b ),
+ .Q(\blk00000003/blk0000031e/sig00000f7a ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000348_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000347 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000649 ),
+ .Q(\blk00000003/blk0000031e/sig00000f7c ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000347_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000346 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000064d ),
+ .Q(\blk00000003/blk0000031e/sig00000f78 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000346_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000345 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000064e ),
+ .Q(\blk00000003/blk0000031e/sig00000f77 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000345_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000344 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000064c ),
+ .Q(\blk00000003/blk0000031e/sig00000f79 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000344_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000343 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000650 ),
+ .Q(\blk00000003/blk0000031e/sig00000f75 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000343_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000342 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000651 ),
+ .Q(\blk00000003/blk0000031e/sig00000f74 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000342_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000341 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000064f ),
+ .Q(\blk00000003/blk0000031e/sig00000f76 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000341_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000340 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000653 ),
+ .Q(\blk00000003/blk0000031e/sig00000f72 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000340_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000033f (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000654 ),
+ .Q(\blk00000003/blk0000031e/sig00000f71 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000033f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000033e (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000652 ),
+ .Q(\blk00000003/blk0000031e/sig00000f73 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000033e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000033d (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000656 ),
+ .Q(\blk00000003/blk0000031e/sig00000f6f ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000033d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000033c (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000657 ),
+ .Q(\blk00000003/blk0000031e/sig00000f6e ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000033c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000033b (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000655 ),
+ .Q(\blk00000003/blk0000031e/sig00000f70 ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000033b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk0000033a (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000659 ),
+ .Q(\blk00000003/blk0000031e/sig00000f6c ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk0000033a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000339 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000065a ),
+ .Q(\blk00000003/blk0000031e/sig00000f6b ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000339_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000031e/blk00000338 (
+ .A0(\blk00000003/sig00000751 ),
+ .A1(\blk00000003/blk0000031e/sig00000f6a ),
+ .A2(\blk00000003/blk0000031e/sig00000f6a ),
+ .A3(\blk00000003/blk0000031e/sig00000f6a ),
+ .CE(\blk00000003/blk0000031e/sig00000f83 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000658 ),
+ .Q(\blk00000003/blk0000031e/sig00000f6d ),
+ .Q15(\NLW_blk00000003/blk0000031e/blk00000338_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000337 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f82 ),
+ .Q(\blk00000003/sig000006a3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000336 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f81 ),
+ .Q(\blk00000003/sig000006a4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000335 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f80 ),
+ .Q(\blk00000003/sig000006a5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000334 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f7f ),
+ .Q(\blk00000003/sig000006a6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000333 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f7e ),
+ .Q(\blk00000003/sig000006a7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000332 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f7d ),
+ .Q(\blk00000003/sig000006a8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000331 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f7c ),
+ .Q(\blk00000003/sig000006a9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000330 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f7b ),
+ .Q(\blk00000003/sig000006aa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk0000032f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f7a ),
+ .Q(\blk00000003/sig000006ab )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk0000032e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f79 ),
+ .Q(\blk00000003/sig000006ac )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk0000032d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f78 ),
+ .Q(\blk00000003/sig000006ad )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk0000032c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f77 ),
+ .Q(\blk00000003/sig000006ae )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk0000032b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f76 ),
+ .Q(\blk00000003/sig000006af )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk0000032a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f75 ),
+ .Q(\blk00000003/sig000006b0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000329 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f74 ),
+ .Q(\blk00000003/sig000006b1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000328 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f73 ),
+ .Q(\blk00000003/sig000006b2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000327 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f72 ),
+ .Q(\blk00000003/sig000006b3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000326 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f71 ),
+ .Q(\blk00000003/sig000006b4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000325 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f70 ),
+ .Q(\blk00000003/sig000006b5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000324 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f6f ),
+ .Q(\blk00000003/sig000006b6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000323 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f6e ),
+ .Q(\blk00000003/sig000006b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000322 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f6d ),
+ .Q(\blk00000003/sig000006b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000321 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f6c ),
+ .Q(\blk00000003/sig000006b9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e/blk00000320 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000031e/sig00000f6b ),
+ .Q(\blk00000003/sig000006ba )
+ );
+ GND \blk00000003/blk0000031e/blk0000031f (
+ .G(\blk00000003/blk0000031e/sig00000f6a )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000351/blk00000383 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000074f ),
+ .O(\blk00000003/blk00000351/sig00000fd1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000382 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007db ),
+ .Q(\blk00000003/blk00000351/sig00000fcf ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000382_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000381 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007dc ),
+ .Q(\blk00000003/blk00000351/sig00000fce ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000381_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000380 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007da ),
+ .Q(\blk00000003/blk00000351/sig00000fd0 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000380_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000037f (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007de ),
+ .Q(\blk00000003/blk00000351/sig00000fcc ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000037f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000037e (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007df ),
+ .Q(\blk00000003/blk00000351/sig00000fcb ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000037e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000037d (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007dd ),
+ .Q(\blk00000003/blk00000351/sig00000fcd ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000037d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000037c (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e1 ),
+ .Q(\blk00000003/blk00000351/sig00000fc9 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000037c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000037b (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e2 ),
+ .Q(\blk00000003/blk00000351/sig00000fc8 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000037b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000037a (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e0 ),
+ .Q(\blk00000003/blk00000351/sig00000fca ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000037a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000379 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e4 ),
+ .Q(\blk00000003/blk00000351/sig00000fc6 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000379_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000378 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e5 ),
+ .Q(\blk00000003/blk00000351/sig00000fc5 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000378_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000377 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e3 ),
+ .Q(\blk00000003/blk00000351/sig00000fc7 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000377_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000376 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e7 ),
+ .Q(\blk00000003/blk00000351/sig00000fc3 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000376_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000375 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e8 ),
+ .Q(\blk00000003/blk00000351/sig00000fc2 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000375_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000374 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e6 ),
+ .Q(\blk00000003/blk00000351/sig00000fc4 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000374_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000373 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ea ),
+ .Q(\blk00000003/blk00000351/sig00000fc0 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000373_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000372 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007eb ),
+ .Q(\blk00000003/blk00000351/sig00000fbf ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000372_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000371 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007e9 ),
+ .Q(\blk00000003/blk00000351/sig00000fc1 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000371_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk00000370 (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ed ),
+ .Q(\blk00000003/blk00000351/sig00000fbd ),
+ .Q15(\NLW_blk00000003/blk00000351/blk00000370_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000036f (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ee ),
+ .Q(\blk00000003/blk00000351/sig00000fbc ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000036f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000036e (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ec ),
+ .Q(\blk00000003/blk00000351/sig00000fbe ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000036e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000036d (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f0 ),
+ .Q(\blk00000003/blk00000351/sig00000fba ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000036d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000036c (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f1 ),
+ .Q(\blk00000003/blk00000351/sig00000fb9 ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000036c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000351/blk0000036b (
+ .A0(\blk00000003/sig00000750 ),
+ .A1(\blk00000003/blk00000351/sig00000fb8 ),
+ .A2(\blk00000003/blk00000351/sig00000fb8 ),
+ .A3(\blk00000003/blk00000351/sig00000fb8 ),
+ .CE(\blk00000003/blk00000351/sig00000fd1 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ef ),
+ .Q(\blk00000003/blk00000351/sig00000fbb ),
+ .Q15(\NLW_blk00000003/blk00000351/blk0000036b_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000036a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fd0 ),
+ .Q(\blk00000003/sig000006bb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000369 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fcf ),
+ .Q(\blk00000003/sig000006bc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000368 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fce ),
+ .Q(\blk00000003/sig000006bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000367 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fcd ),
+ .Q(\blk00000003/sig000006be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000366 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fcc ),
+ .Q(\blk00000003/sig000006bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000365 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fcb ),
+ .Q(\blk00000003/sig000006c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000364 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fca ),
+ .Q(\blk00000003/sig000006c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000363 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc9 ),
+ .Q(\blk00000003/sig000006c2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000362 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc8 ),
+ .Q(\blk00000003/sig000006c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000361 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc7 ),
+ .Q(\blk00000003/sig000006c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000360 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc6 ),
+ .Q(\blk00000003/sig000006c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000035f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc5 ),
+ .Q(\blk00000003/sig000006c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000035e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc4 ),
+ .Q(\blk00000003/sig000006c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000035d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc3 ),
+ .Q(\blk00000003/sig000006c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000035c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc2 ),
+ .Q(\blk00000003/sig000006c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000035b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc1 ),
+ .Q(\blk00000003/sig000006ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk0000035a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fc0 ),
+ .Q(\blk00000003/sig000006cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000359 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fbf ),
+ .Q(\blk00000003/sig000006cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000358 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fbe ),
+ .Q(\blk00000003/sig000006cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000357 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fbd ),
+ .Q(\blk00000003/sig000006ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000356 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fbc ),
+ .Q(\blk00000003/sig000006cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000355 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fbb ),
+ .Q(\blk00000003/sig000006d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000354 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fba ),
+ .Q(\blk00000003/sig000006d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351/blk00000353 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000351/sig00000fb9 ),
+ .Q(\blk00000003/sig000006d2 )
+ );
+ GND \blk00000003/blk00000351/blk00000352 (
+ .G(\blk00000003/blk00000351/sig00000fb8 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000384/blk000003b6 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000755 ),
+ .O(\blk00000003/blk00000384/sig0000101f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003b5 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045e ),
+ .Q(\blk00000003/blk00000384/sig0000101d ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003b5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003b4 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045f ),
+ .Q(\blk00000003/blk00000384/sig0000101c ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003b4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003b3 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045d ),
+ .Q(\blk00000003/blk00000384/sig0000101e ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003b3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003b2 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000461 ),
+ .Q(\blk00000003/blk00000384/sig0000101a ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003b2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003b1 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000462 ),
+ .Q(\blk00000003/blk00000384/sig00001019 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003b1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003b0 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000460 ),
+ .Q(\blk00000003/blk00000384/sig0000101b ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003b0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003af (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000464 ),
+ .Q(\blk00000003/blk00000384/sig00001017 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003ae (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000465 ),
+ .Q(\blk00000003/blk00000384/sig00001016 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003ad (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000463 ),
+ .Q(\blk00000003/blk00000384/sig00001018 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003ac (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000467 ),
+ .Q(\blk00000003/blk00000384/sig00001014 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003ab (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000468 ),
+ .Q(\blk00000003/blk00000384/sig00001013 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003aa (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000466 ),
+ .Q(\blk00000003/blk00000384/sig00001015 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a9 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046a ),
+ .Q(\blk00000003/blk00000384/sig00001011 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a8 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046b ),
+ .Q(\blk00000003/blk00000384/sig00001010 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a7 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000469 ),
+ .Q(\blk00000003/blk00000384/sig00001012 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a6 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046d ),
+ .Q(\blk00000003/blk00000384/sig0000100e ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a5 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046e ),
+ .Q(\blk00000003/blk00000384/sig0000100d ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a4 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046c ),
+ .Q(\blk00000003/blk00000384/sig0000100f ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a3 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000470 ),
+ .Q(\blk00000003/blk00000384/sig0000100b ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a2 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000471 ),
+ .Q(\blk00000003/blk00000384/sig0000100a ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a1 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046f ),
+ .Q(\blk00000003/blk00000384/sig0000100c ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk000003a0 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000473 ),
+ .Q(\blk00000003/blk00000384/sig00001008 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk000003a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk0000039f (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000474 ),
+ .Q(\blk00000003/blk00000384/sig00001007 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk0000039f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000384/blk0000039e (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk00000384/sig00001006 ),
+ .A2(\blk00000003/blk00000384/sig00001006 ),
+ .A3(\blk00000003/blk00000384/sig00001006 ),
+ .CE(\blk00000003/blk00000384/sig0000101f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000472 ),
+ .Q(\blk00000003/blk00000384/sig00001009 ),
+ .Q15(\NLW_blk00000003/blk00000384/blk0000039e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000039d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000101e ),
+ .Q(\blk00000003/sig000004cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000039c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000101d ),
+ .Q(\blk00000003/sig000004d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000039b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000101c ),
+ .Q(\blk00000003/sig000004d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000039a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000101b ),
+ .Q(\blk00000003/sig000004d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000399 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000101a ),
+ .Q(\blk00000003/sig000004d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000398 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001019 ),
+ .Q(\blk00000003/sig000004d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000397 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001018 ),
+ .Q(\blk00000003/sig000004d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000396 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001017 ),
+ .Q(\blk00000003/sig000004d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000395 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001016 ),
+ .Q(\blk00000003/sig000004d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000394 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001015 ),
+ .Q(\blk00000003/sig000004d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000393 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001014 ),
+ .Q(\blk00000003/sig000004d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000392 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001013 ),
+ .Q(\blk00000003/sig000004da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000391 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001012 ),
+ .Q(\blk00000003/sig000004db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000390 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001011 ),
+ .Q(\blk00000003/sig000004dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000038f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001010 ),
+ .Q(\blk00000003/sig000004dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000038e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000100f ),
+ .Q(\blk00000003/sig000004de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000038d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000100e ),
+ .Q(\blk00000003/sig000004df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000038c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000100d ),
+ .Q(\blk00000003/sig000004e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000038b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000100c ),
+ .Q(\blk00000003/sig000004e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk0000038a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000100b ),
+ .Q(\blk00000003/sig000004e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000389 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig0000100a ),
+ .Q(\blk00000003/sig000004e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000388 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001009 ),
+ .Q(\blk00000003/sig000004e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000387 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001008 ),
+ .Q(\blk00000003/sig000004e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000384/blk00000386 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000384/sig00001007 ),
+ .Q(\blk00000003/sig000004e6 )
+ );
+ GND \blk00000003/blk00000384/blk00000385 (
+ .G(\blk00000003/blk00000384/sig00001006 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000003b7/blk000003e9 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000754 ),
+ .O(\blk00000003/blk000003b7/sig0000106d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e8 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f3 ),
+ .Q(\blk00000003/blk000003b7/sig0000106b ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e7 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f4 ),
+ .Q(\blk00000003/blk000003b7/sig0000106a ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e6 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f2 ),
+ .Q(\blk00000003/blk000003b7/sig0000106c ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e5 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f6 ),
+ .Q(\blk00000003/blk000003b7/sig00001068 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e4 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f7 ),
+ .Q(\blk00000003/blk000003b7/sig00001067 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e3 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f5 ),
+ .Q(\blk00000003/blk000003b7/sig00001069 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e2 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f9 ),
+ .Q(\blk00000003/blk000003b7/sig00001065 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e1 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fa ),
+ .Q(\blk00000003/blk000003b7/sig00001064 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003e0 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007f8 ),
+ .Q(\blk00000003/blk000003b7/sig00001066 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003df (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fc ),
+ .Q(\blk00000003/blk000003b7/sig00001062 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003de (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fd ),
+ .Q(\blk00000003/blk000003b7/sig00001061 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003dd (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fb ),
+ .Q(\blk00000003/blk000003b7/sig00001063 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003dc (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007ff ),
+ .Q(\blk00000003/blk000003b7/sig0000105f ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003db (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000800 ),
+ .Q(\blk00000003/blk000003b7/sig0000105e ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003da (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000007fe ),
+ .Q(\blk00000003/blk000003b7/sig00001060 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d9 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000802 ),
+ .Q(\blk00000003/blk000003b7/sig0000105c ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d8 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000803 ),
+ .Q(\blk00000003/blk000003b7/sig0000105b ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d7 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000801 ),
+ .Q(\blk00000003/blk000003b7/sig0000105d ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d6 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000805 ),
+ .Q(\blk00000003/blk000003b7/sig00001059 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d5 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000806 ),
+ .Q(\blk00000003/blk000003b7/sig00001058 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d4 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000804 ),
+ .Q(\blk00000003/blk000003b7/sig0000105a ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d3 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000808 ),
+ .Q(\blk00000003/blk000003b7/sig00001056 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d2 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000809 ),
+ .Q(\blk00000003/blk000003b7/sig00001055 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003b7/blk000003d1 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk000003b7/sig00001054 ),
+ .A2(\blk00000003/blk000003b7/sig00001054 ),
+ .A3(\blk00000003/blk000003b7/sig00001054 ),
+ .CE(\blk00000003/blk000003b7/sig0000106d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000807 ),
+ .Q(\blk00000003/blk000003b7/sig00001057 ),
+ .Q15(\NLW_blk00000003/blk000003b7/blk000003d1_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000106c ),
+ .Q(\blk00000003/sig000004e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000106b ),
+ .Q(\blk00000003/sig000004e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000106a ),
+ .Q(\blk00000003/sig000004e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001069 ),
+ .Q(\blk00000003/sig000004ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001068 ),
+ .Q(\blk00000003/sig000004eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001067 ),
+ .Q(\blk00000003/sig000004ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001066 ),
+ .Q(\blk00000003/sig000004ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001065 ),
+ .Q(\blk00000003/sig000004ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001064 ),
+ .Q(\blk00000003/sig000004ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001063 ),
+ .Q(\blk00000003/sig000004f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001062 ),
+ .Q(\blk00000003/sig000004f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001061 ),
+ .Q(\blk00000003/sig000004f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001060 ),
+ .Q(\blk00000003/sig000004f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000105f ),
+ .Q(\blk00000003/sig000004f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000105e ),
+ .Q(\blk00000003/sig000004f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000105d ),
+ .Q(\blk00000003/sig000004f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000105c ),
+ .Q(\blk00000003/sig000004f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000105b ),
+ .Q(\blk00000003/sig000004f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig0000105a ),
+ .Q(\blk00000003/sig000004f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001059 ),
+ .Q(\blk00000003/sig000004fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001058 ),
+ .Q(\blk00000003/sig000004fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001057 ),
+ .Q(\blk00000003/sig000004fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001056 ),
+ .Q(\blk00000003/sig000004fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7/blk000003b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b7/sig00001055 ),
+ .Q(\blk00000003/sig000004fe )
+ );
+ GND \blk00000003/blk000003b7/blk000003b8 (
+ .G(\blk00000003/blk000003b7/sig00001054 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000003ea/blk0000041c (
+ .I0(ce),
+ .I1(\blk00000003/sig00000755 ),
+ .O(\blk00000003/blk000003ea/sig000010bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000041b (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e4 ),
+ .Q(\blk00000003/blk000003ea/sig000010b9 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000041b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000041a (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e5 ),
+ .Q(\blk00000003/blk000003ea/sig000010b8 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000041a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000419 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e3 ),
+ .Q(\blk00000003/blk000003ea/sig000010ba ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000419_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000418 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e7 ),
+ .Q(\blk00000003/blk000003ea/sig000010b6 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000418_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000417 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e8 ),
+ .Q(\blk00000003/blk000003ea/sig000010b5 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000417_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000416 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e6 ),
+ .Q(\blk00000003/blk000003ea/sig000010b7 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000416_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000415 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ea ),
+ .Q(\blk00000003/blk000003ea/sig000010b3 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000415_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000414 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005eb ),
+ .Q(\blk00000003/blk000003ea/sig000010b2 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000414_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000413 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e9 ),
+ .Q(\blk00000003/blk000003ea/sig000010b4 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000413_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000412 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ed ),
+ .Q(\blk00000003/blk000003ea/sig000010b0 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000412_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000411 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ee ),
+ .Q(\blk00000003/blk000003ea/sig000010af ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000411_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000410 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ec ),
+ .Q(\blk00000003/blk000003ea/sig000010b1 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000410_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000040f (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f0 ),
+ .Q(\blk00000003/blk000003ea/sig000010ad ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000040f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000040e (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f1 ),
+ .Q(\blk00000003/blk000003ea/sig000010ac ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000040e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000040d (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ef ),
+ .Q(\blk00000003/blk000003ea/sig000010ae ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000040d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000040c (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f3 ),
+ .Q(\blk00000003/blk000003ea/sig000010aa ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000040c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000040b (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f4 ),
+ .Q(\blk00000003/blk000003ea/sig000010a9 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000040b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk0000040a (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f2 ),
+ .Q(\blk00000003/blk000003ea/sig000010ab ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk0000040a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000409 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f6 ),
+ .Q(\blk00000003/blk000003ea/sig000010a7 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000409_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000408 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f7 ),
+ .Q(\blk00000003/blk000003ea/sig000010a6 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000408_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000407 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f5 ),
+ .Q(\blk00000003/blk000003ea/sig000010a8 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000407_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000406 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f9 ),
+ .Q(\blk00000003/blk000003ea/sig000010a4 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000406_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000405 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005fa ),
+ .Q(\blk00000003/blk000003ea/sig000010a3 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000405_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000003ea/blk00000404 (
+ .A0(\blk00000003/sig00000758 ),
+ .A1(\blk00000003/blk000003ea/sig000010a2 ),
+ .A2(\blk00000003/blk000003ea/sig000010a2 ),
+ .A3(\blk00000003/blk000003ea/sig000010a2 ),
+ .CE(\blk00000003/blk000003ea/sig000010bb ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f8 ),
+ .Q(\blk00000003/blk000003ea/sig000010a5 ),
+ .Q15(\NLW_blk00000003/blk000003ea/blk00000404_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk00000403 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010ba ),
+ .Q(\blk00000003/sig00000643 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk00000402 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b9 ),
+ .Q(\blk00000003/sig00000644 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk00000401 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b8 ),
+ .Q(\blk00000003/sig00000645 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk00000400 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b7 ),
+ .Q(\blk00000003/sig00000646 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b6 ),
+ .Q(\blk00000003/sig00000647 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b5 ),
+ .Q(\blk00000003/sig00000648 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b4 ),
+ .Q(\blk00000003/sig00000649 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b3 ),
+ .Q(\blk00000003/sig0000064a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b2 ),
+ .Q(\blk00000003/sig0000064b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b1 ),
+ .Q(\blk00000003/sig0000064c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010b0 ),
+ .Q(\blk00000003/sig0000064d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010af ),
+ .Q(\blk00000003/sig0000064e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010ae ),
+ .Q(\blk00000003/sig0000064f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010ad ),
+ .Q(\blk00000003/sig00000650 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010ac ),
+ .Q(\blk00000003/sig00000651 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010ab ),
+ .Q(\blk00000003/sig00000652 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010aa ),
+ .Q(\blk00000003/sig00000653 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a9 ),
+ .Q(\blk00000003/sig00000654 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a8 ),
+ .Q(\blk00000003/sig00000655 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a7 ),
+ .Q(\blk00000003/sig00000656 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a6 ),
+ .Q(\blk00000003/sig00000657 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a5 ),
+ .Q(\blk00000003/sig00000658 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a4 ),
+ .Q(\blk00000003/sig00000659 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea/blk000003ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003ea/sig000010a3 ),
+ .Q(\blk00000003/sig0000065a )
+ );
+ GND \blk00000003/blk000003ea/blk000003eb (
+ .G(\blk00000003/blk000003ea/sig000010a2 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000041d/blk0000044f (
+ .I0(ce),
+ .I1(\blk00000003/sig00000754 ),
+ .O(\blk00000003/blk0000041d/sig00001109 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000044e (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080b ),
+ .Q(\blk00000003/blk0000041d/sig00001107 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000044e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000044d (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080c ),
+ .Q(\blk00000003/blk0000041d/sig00001106 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000044d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000044c (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080a ),
+ .Q(\blk00000003/blk0000041d/sig00001108 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000044c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000044b (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080e ),
+ .Q(\blk00000003/blk0000041d/sig00001104 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000044b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000044a (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080f ),
+ .Q(\blk00000003/blk0000041d/sig00001103 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000044a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000449 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000080d ),
+ .Q(\blk00000003/blk0000041d/sig00001105 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000449_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000448 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000811 ),
+ .Q(\blk00000003/blk0000041d/sig00001101 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000448_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000447 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000812 ),
+ .Q(\blk00000003/blk0000041d/sig00001100 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000447_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000446 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000810 ),
+ .Q(\blk00000003/blk0000041d/sig00001102 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000446_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000445 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000814 ),
+ .Q(\blk00000003/blk0000041d/sig000010fe ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000445_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000444 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000815 ),
+ .Q(\blk00000003/blk0000041d/sig000010fd ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000444_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000443 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000813 ),
+ .Q(\blk00000003/blk0000041d/sig000010ff ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000443_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000442 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000817 ),
+ .Q(\blk00000003/blk0000041d/sig000010fb ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000442_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000441 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000818 ),
+ .Q(\blk00000003/blk0000041d/sig000010fa ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000441_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000440 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000816 ),
+ .Q(\blk00000003/blk0000041d/sig000010fc ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000440_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000043f (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081a ),
+ .Q(\blk00000003/blk0000041d/sig000010f8 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000043f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000043e (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081b ),
+ .Q(\blk00000003/blk0000041d/sig000010f7 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000043e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000043d (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000819 ),
+ .Q(\blk00000003/blk0000041d/sig000010f9 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000043d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000043c (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081d ),
+ .Q(\blk00000003/blk0000041d/sig000010f5 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000043c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000043b (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081e ),
+ .Q(\blk00000003/blk0000041d/sig000010f4 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000043b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk0000043a (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081c ),
+ .Q(\blk00000003/blk0000041d/sig000010f6 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk0000043a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000439 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000820 ),
+ .Q(\blk00000003/blk0000041d/sig000010f2 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000439_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000438 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000821 ),
+ .Q(\blk00000003/blk0000041d/sig000010f1 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000438_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000041d/blk00000437 (
+ .A0(\blk00000003/sig00000759 ),
+ .A1(\blk00000003/blk0000041d/sig000010f0 ),
+ .A2(\blk00000003/blk0000041d/sig000010f0 ),
+ .A3(\blk00000003/blk0000041d/sig000010f0 ),
+ .CE(\blk00000003/blk0000041d/sig00001109 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000081f ),
+ .Q(\blk00000003/blk0000041d/sig000010f3 ),
+ .Q15(\NLW_blk00000003/blk0000041d/blk00000437_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000436 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001108 ),
+ .Q(\blk00000003/sig0000065b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000435 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001107 ),
+ .Q(\blk00000003/sig0000065c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000434 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001106 ),
+ .Q(\blk00000003/sig0000065d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000433 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001105 ),
+ .Q(\blk00000003/sig0000065e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000432 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001104 ),
+ .Q(\blk00000003/sig0000065f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000431 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001103 ),
+ .Q(\blk00000003/sig00000660 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000430 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001102 ),
+ .Q(\blk00000003/sig00000661 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000042f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001101 ),
+ .Q(\blk00000003/sig00000662 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000042e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig00001100 ),
+ .Q(\blk00000003/sig00000663 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000042d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010ff ),
+ .Q(\blk00000003/sig00000664 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000042c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010fe ),
+ .Q(\blk00000003/sig00000665 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000042b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010fd ),
+ .Q(\blk00000003/sig00000666 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000042a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010fc ),
+ .Q(\blk00000003/sig00000667 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000429 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010fb ),
+ .Q(\blk00000003/sig00000668 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000428 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010fa ),
+ .Q(\blk00000003/sig00000669 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000427 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f9 ),
+ .Q(\blk00000003/sig0000066a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000426 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f8 ),
+ .Q(\blk00000003/sig0000066b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000425 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f7 ),
+ .Q(\blk00000003/sig0000066c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000424 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f6 ),
+ .Q(\blk00000003/sig0000066d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000423 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f5 ),
+ .Q(\blk00000003/sig0000066e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000422 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f4 ),
+ .Q(\blk00000003/sig0000066f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000421 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f3 ),
+ .Q(\blk00000003/sig00000670 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk00000420 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f2 ),
+ .Q(\blk00000003/sig00000671 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d/blk0000041f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000041d/sig000010f1 ),
+ .Q(\blk00000003/sig00000672 )
+ );
+ GND \blk00000003/blk0000041d/blk0000041e (
+ .G(\blk00000003/blk0000041d/sig000010f0 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000450/blk00000482 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000075d ),
+ .O(\blk00000003/blk00000450/sig00001157 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000481 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ba ),
+ .Q(\blk00000003/blk00000450/sig00001155 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000481_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000480 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002bb ),
+ .Q(\blk00000003/blk00000450/sig00001154 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000480_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000047f (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002b9 ),
+ .Q(\blk00000003/blk00000450/sig00001156 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000047f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000047e (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002bd ),
+ .Q(\blk00000003/blk00000450/sig00001152 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000047e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000047d (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002be ),
+ .Q(\blk00000003/blk00000450/sig00001151 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000047d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000047c (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002bc ),
+ .Q(\blk00000003/blk00000450/sig00001153 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000047c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000047b (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c0 ),
+ .Q(\blk00000003/blk00000450/sig0000114f ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000047b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000047a (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c1 ),
+ .Q(\blk00000003/blk00000450/sig0000114e ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000047a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000479 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002bf ),
+ .Q(\blk00000003/blk00000450/sig00001150 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000479_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000478 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c3 ),
+ .Q(\blk00000003/blk00000450/sig0000114c ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000478_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000477 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c4 ),
+ .Q(\blk00000003/blk00000450/sig0000114b ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000477_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000476 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c2 ),
+ .Q(\blk00000003/blk00000450/sig0000114d ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000476_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000475 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c6 ),
+ .Q(\blk00000003/blk00000450/sig00001149 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000475_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000474 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c7 ),
+ .Q(\blk00000003/blk00000450/sig00001148 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000474_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000473 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c5 ),
+ .Q(\blk00000003/blk00000450/sig0000114a ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000473_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000472 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c9 ),
+ .Q(\blk00000003/blk00000450/sig00001146 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000472_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000471 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ca ),
+ .Q(\blk00000003/blk00000450/sig00001145 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000471_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk00000470 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002c8 ),
+ .Q(\blk00000003/blk00000450/sig00001147 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk00000470_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000046f (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002cc ),
+ .Q(\blk00000003/blk00000450/sig00001143 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000046f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000046e (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002cd ),
+ .Q(\blk00000003/blk00000450/sig00001142 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000046e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000046d (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002cb ),
+ .Q(\blk00000003/blk00000450/sig00001144 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000046d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000046c (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002cf ),
+ .Q(\blk00000003/blk00000450/sig00001140 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000046c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000046b (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002d0 ),
+ .Q(\blk00000003/blk00000450/sig0000113f ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000046b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000450/blk0000046a (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk00000450/sig0000113e ),
+ .A2(\blk00000003/blk00000450/sig0000113e ),
+ .A3(\blk00000003/blk00000450/sig0000113e ),
+ .CE(\blk00000003/blk00000450/sig00001157 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ce ),
+ .Q(\blk00000003/blk00000450/sig00001141 ),
+ .Q15(\NLW_blk00000003/blk00000450/blk0000046a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000469 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001156 ),
+ .Q(\blk00000003/sig0000045d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000468 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001155 ),
+ .Q(\blk00000003/sig0000045e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000467 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001154 ),
+ .Q(\blk00000003/sig0000045f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000466 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001153 ),
+ .Q(\blk00000003/sig00000460 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000465 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001152 ),
+ .Q(\blk00000003/sig00000461 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000464 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001151 ),
+ .Q(\blk00000003/sig00000462 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000463 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001150 ),
+ .Q(\blk00000003/sig00000463 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000462 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000114f ),
+ .Q(\blk00000003/sig00000464 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000461 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000114e ),
+ .Q(\blk00000003/sig00000465 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000460 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000114d ),
+ .Q(\blk00000003/sig00000466 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk0000045f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000114c ),
+ .Q(\blk00000003/sig00000467 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk0000045e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000114b ),
+ .Q(\blk00000003/sig00000468 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk0000045d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000114a ),
+ .Q(\blk00000003/sig00000469 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk0000045c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001149 ),
+ .Q(\blk00000003/sig0000046a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk0000045b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001148 ),
+ .Q(\blk00000003/sig0000046b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk0000045a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001147 ),
+ .Q(\blk00000003/sig0000046c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000459 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001146 ),
+ .Q(\blk00000003/sig0000046d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000458 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001145 ),
+ .Q(\blk00000003/sig0000046e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000457 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001144 ),
+ .Q(\blk00000003/sig0000046f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000456 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001143 ),
+ .Q(\blk00000003/sig00000470 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000455 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001142 ),
+ .Q(\blk00000003/sig00000471 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000454 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001141 ),
+ .Q(\blk00000003/sig00000472 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000453 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig00001140 ),
+ .Q(\blk00000003/sig00000473 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000450/blk00000452 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000450/sig0000113f ),
+ .Q(\blk00000003/sig00000474 )
+ );
+ GND \blk00000003/blk00000450/blk00000451 (
+ .G(\blk00000003/blk00000450/sig0000113e )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000483/blk000004b5 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000075a ),
+ .O(\blk00000003/blk00000483/sig000011a5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004b4 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000823 ),
+ .Q(\blk00000003/blk00000483/sig000011a3 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004b4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004b3 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000824 ),
+ .Q(\blk00000003/blk00000483/sig000011a2 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004b3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004b2 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000822 ),
+ .Q(\blk00000003/blk00000483/sig000011a4 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004b2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004b1 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000826 ),
+ .Q(\blk00000003/blk00000483/sig000011a0 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004b1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004b0 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000827 ),
+ .Q(\blk00000003/blk00000483/sig0000119f ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004b0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004af (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000825 ),
+ .Q(\blk00000003/blk00000483/sig000011a1 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004ae (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000829 ),
+ .Q(\blk00000003/blk00000483/sig0000119d ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004ad (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082a ),
+ .Q(\blk00000003/blk00000483/sig0000119c ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004ac (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000828 ),
+ .Q(\blk00000003/blk00000483/sig0000119e ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004ab (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082c ),
+ .Q(\blk00000003/blk00000483/sig0000119a ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004aa (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082d ),
+ .Q(\blk00000003/blk00000483/sig00001199 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a9 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082b ),
+ .Q(\blk00000003/blk00000483/sig0000119b ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a8 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082f ),
+ .Q(\blk00000003/blk00000483/sig00001197 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a7 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000830 ),
+ .Q(\blk00000003/blk00000483/sig00001196 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a6 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000082e ),
+ .Q(\blk00000003/blk00000483/sig00001198 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a5 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000832 ),
+ .Q(\blk00000003/blk00000483/sig00001194 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a4 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000833 ),
+ .Q(\blk00000003/blk00000483/sig00001193 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a3 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000831 ),
+ .Q(\blk00000003/blk00000483/sig00001195 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a2 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000835 ),
+ .Q(\blk00000003/blk00000483/sig00001191 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a1 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000836 ),
+ .Q(\blk00000003/blk00000483/sig00001190 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk000004a0 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000834 ),
+ .Q(\blk00000003/blk00000483/sig00001192 ),
+ .Q15(\NLW_blk00000003/blk00000483/blk000004a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk0000049f (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000838 ),
+ .Q(\blk00000003/blk00000483/sig0000118e ),
+ .Q15(\NLW_blk00000003/blk00000483/blk0000049f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk0000049e (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000839 ),
+ .Q(\blk00000003/blk00000483/sig0000118d ),
+ .Q15(\NLW_blk00000003/blk00000483/blk0000049e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000483/blk0000049d (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk00000483/sig0000118c ),
+ .A2(\blk00000003/blk00000483/sig0000118c ),
+ .A3(\blk00000003/blk00000483/sig0000118c ),
+ .CE(\blk00000003/blk00000483/sig000011a5 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000837 ),
+ .Q(\blk00000003/blk00000483/sig0000118f ),
+ .Q15(\NLW_blk00000003/blk00000483/blk0000049d_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000049c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig000011a4 ),
+ .Q(\blk00000003/sig00000475 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000049b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig000011a3 ),
+ .Q(\blk00000003/sig00000476 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000049a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig000011a2 ),
+ .Q(\blk00000003/sig00000477 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000499 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig000011a1 ),
+ .Q(\blk00000003/sig00000478 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000498 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig000011a0 ),
+ .Q(\blk00000003/sig00000479 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000497 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000119f ),
+ .Q(\blk00000003/sig0000047a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000496 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000119e ),
+ .Q(\blk00000003/sig0000047b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000495 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000119d ),
+ .Q(\blk00000003/sig0000047c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000494 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000119c ),
+ .Q(\blk00000003/sig0000047d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000493 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000119b ),
+ .Q(\blk00000003/sig0000047e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000492 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000119a ),
+ .Q(\blk00000003/sig0000047f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000491 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001199 ),
+ .Q(\blk00000003/sig00000480 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000490 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001198 ),
+ .Q(\blk00000003/sig00000481 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000048f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001197 ),
+ .Q(\blk00000003/sig00000482 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000048e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001196 ),
+ .Q(\blk00000003/sig00000483 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000048d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001195 ),
+ .Q(\blk00000003/sig00000484 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000048c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001194 ),
+ .Q(\blk00000003/sig00000485 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000048b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001193 ),
+ .Q(\blk00000003/sig00000486 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk0000048a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001192 ),
+ .Q(\blk00000003/sig00000487 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000489 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001191 ),
+ .Q(\blk00000003/sig00000488 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000488 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig00001190 ),
+ .Q(\blk00000003/sig00000489 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000487 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000118f ),
+ .Q(\blk00000003/sig0000048a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000486 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000118e ),
+ .Q(\blk00000003/sig0000048b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483/blk00000485 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000483/sig0000118d ),
+ .Q(\blk00000003/sig0000048c )
+ );
+ GND \blk00000003/blk00000483/blk00000484 (
+ .G(\blk00000003/blk00000483/sig0000118c )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000004b6/blk000004e8 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000075d ),
+ .O(\blk00000003/blk000004b6/sig000011f3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e7 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000031a ),
+ .Q(\blk00000003/blk000004b6/sig000011f1 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e6 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000031b ),
+ .Q(\blk00000003/blk000004b6/sig000011f0 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e5 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000319 ),
+ .Q(\blk00000003/blk000004b6/sig000011f2 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e4 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000031d ),
+ .Q(\blk00000003/blk000004b6/sig000011ee ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e3 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000031e ),
+ .Q(\blk00000003/blk000004b6/sig000011ed ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e2 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000031c ),
+ .Q(\blk00000003/blk000004b6/sig000011ef ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e1 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000320 ),
+ .Q(\blk00000003/blk000004b6/sig000011eb ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004e0 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000321 ),
+ .Q(\blk00000003/blk000004b6/sig000011ea ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004df (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000031f ),
+ .Q(\blk00000003/blk000004b6/sig000011ec ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004de (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000323 ),
+ .Q(\blk00000003/blk000004b6/sig000011e8 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004dd (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000324 ),
+ .Q(\blk00000003/blk000004b6/sig000011e7 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004dc (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000322 ),
+ .Q(\blk00000003/blk000004b6/sig000011e9 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004db (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000326 ),
+ .Q(\blk00000003/blk000004b6/sig000011e5 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004da (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000327 ),
+ .Q(\blk00000003/blk000004b6/sig000011e4 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d9 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000325 ),
+ .Q(\blk00000003/blk000004b6/sig000011e6 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d8 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000329 ),
+ .Q(\blk00000003/blk000004b6/sig000011e2 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d7 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000032a ),
+ .Q(\blk00000003/blk000004b6/sig000011e1 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d6 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000328 ),
+ .Q(\blk00000003/blk000004b6/sig000011e3 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d5 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000032c ),
+ .Q(\blk00000003/blk000004b6/sig000011df ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d4 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000032d ),
+ .Q(\blk00000003/blk000004b6/sig000011de ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d3 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000032b ),
+ .Q(\blk00000003/blk000004b6/sig000011e0 ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d2 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000032f ),
+ .Q(\blk00000003/blk000004b6/sig000011dc ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d1 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000330 ),
+ .Q(\blk00000003/blk000004b6/sig000011db ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004b6/blk000004d0 (
+ .A0(\blk00000003/sig0000075e ),
+ .A1(\blk00000003/blk000004b6/sig000011da ),
+ .A2(\blk00000003/blk000004b6/sig000011da ),
+ .A3(\blk00000003/blk000004b6/sig000011da ),
+ .CE(\blk00000003/blk000004b6/sig000011f3 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000032e ),
+ .Q(\blk00000003/blk000004b6/sig000011dd ),
+ .Q15(\NLW_blk00000003/blk000004b6/blk000004d0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011f2 ),
+ .Q(\blk00000003/sig000005e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011f1 ),
+ .Q(\blk00000003/sig000005e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011f0 ),
+ .Q(\blk00000003/sig000005e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011ef ),
+ .Q(\blk00000003/sig000005e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011ee ),
+ .Q(\blk00000003/sig000005e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011ed ),
+ .Q(\blk00000003/sig000005e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011ec ),
+ .Q(\blk00000003/sig000005e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011eb ),
+ .Q(\blk00000003/sig000005ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011ea ),
+ .Q(\blk00000003/sig000005eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e9 ),
+ .Q(\blk00000003/sig000005ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e8 ),
+ .Q(\blk00000003/sig000005ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e7 ),
+ .Q(\blk00000003/sig000005ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e6 ),
+ .Q(\blk00000003/sig000005ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e5 ),
+ .Q(\blk00000003/sig000005f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e4 ),
+ .Q(\blk00000003/sig000005f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e3 ),
+ .Q(\blk00000003/sig000005f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e2 ),
+ .Q(\blk00000003/sig000005f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e1 ),
+ .Q(\blk00000003/sig000005f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011e0 ),
+ .Q(\blk00000003/sig000005f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011df ),
+ .Q(\blk00000003/sig000005f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011de ),
+ .Q(\blk00000003/sig000005f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011dd ),
+ .Q(\blk00000003/sig000005f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011dc ),
+ .Q(\blk00000003/sig000005f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6/blk000004b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004b6/sig000011db ),
+ .Q(\blk00000003/sig000005fa )
+ );
+ GND \blk00000003/blk000004b6/blk000004b7 (
+ .G(\blk00000003/blk000004b6/sig000011da )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000004e9/blk0000051b (
+ .I0(ce),
+ .I1(\blk00000003/sig0000075a ),
+ .O(\blk00000003/blk000004e9/sig00001241 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000051a (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083b ),
+ .Q(\blk00000003/blk000004e9/sig0000123f ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000051a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000519 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083c ),
+ .Q(\blk00000003/blk000004e9/sig0000123e ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000519_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000518 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083a ),
+ .Q(\blk00000003/blk000004e9/sig00001240 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000518_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000517 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083e ),
+ .Q(\blk00000003/blk000004e9/sig0000123c ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000517_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000516 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083f ),
+ .Q(\blk00000003/blk000004e9/sig0000123b ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000516_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000515 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000083d ),
+ .Q(\blk00000003/blk000004e9/sig0000123d ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000515_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000514 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000841 ),
+ .Q(\blk00000003/blk000004e9/sig00001239 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000514_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000513 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000842 ),
+ .Q(\blk00000003/blk000004e9/sig00001238 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000513_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000512 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000840 ),
+ .Q(\blk00000003/blk000004e9/sig0000123a ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000512_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000511 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000844 ),
+ .Q(\blk00000003/blk000004e9/sig00001236 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000511_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000510 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000845 ),
+ .Q(\blk00000003/blk000004e9/sig00001235 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000510_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000050f (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000843 ),
+ .Q(\blk00000003/blk000004e9/sig00001237 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000050f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000050e (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000847 ),
+ .Q(\blk00000003/blk000004e9/sig00001233 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000050e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000050d (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000848 ),
+ .Q(\blk00000003/blk000004e9/sig00001232 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000050d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000050c (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000846 ),
+ .Q(\blk00000003/blk000004e9/sig00001234 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000050c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000050b (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084a ),
+ .Q(\blk00000003/blk000004e9/sig00001230 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000050b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk0000050a (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084b ),
+ .Q(\blk00000003/blk000004e9/sig0000122f ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk0000050a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000509 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000849 ),
+ .Q(\blk00000003/blk000004e9/sig00001231 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000509_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000508 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084d ),
+ .Q(\blk00000003/blk000004e9/sig0000122d ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000508_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000507 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084e ),
+ .Q(\blk00000003/blk000004e9/sig0000122c ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000507_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000506 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084c ),
+ .Q(\blk00000003/blk000004e9/sig0000122e ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000506_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000505 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000850 ),
+ .Q(\blk00000003/blk000004e9/sig0000122a ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000505_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000504 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000851 ),
+ .Q(\blk00000003/blk000004e9/sig00001229 ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000504_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000004e9/blk00000503 (
+ .A0(\blk00000003/sig0000075f ),
+ .A1(\blk00000003/blk000004e9/sig00001228 ),
+ .A2(\blk00000003/blk000004e9/sig00001228 ),
+ .A3(\blk00000003/blk000004e9/sig00001228 ),
+ .CE(\blk00000003/blk000004e9/sig00001241 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000084f ),
+ .Q(\blk00000003/blk000004e9/sig0000122b ),
+ .Q15(\NLW_blk00000003/blk000004e9/blk00000503_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk00000502 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001240 ),
+ .Q(\blk00000003/sig000005fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk00000501 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000123f ),
+ .Q(\blk00000003/sig000005fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk00000500 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000123e ),
+ .Q(\blk00000003/sig000005fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000123d ),
+ .Q(\blk00000003/sig000005fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000123c ),
+ .Q(\blk00000003/sig000005ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000123b ),
+ .Q(\blk00000003/sig00000600 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000123a ),
+ .Q(\blk00000003/sig00000601 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001239 ),
+ .Q(\blk00000003/sig00000602 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001238 ),
+ .Q(\blk00000003/sig00000603 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001237 ),
+ .Q(\blk00000003/sig00000604 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001236 ),
+ .Q(\blk00000003/sig00000605 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001235 ),
+ .Q(\blk00000003/sig00000606 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001234 ),
+ .Q(\blk00000003/sig00000607 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001233 ),
+ .Q(\blk00000003/sig00000608 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001232 ),
+ .Q(\blk00000003/sig00000609 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001231 ),
+ .Q(\blk00000003/sig0000060a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001230 ),
+ .Q(\blk00000003/sig0000060b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000122f ),
+ .Q(\blk00000003/sig0000060c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000122e ),
+ .Q(\blk00000003/sig0000060d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000122d ),
+ .Q(\blk00000003/sig0000060e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000122c ),
+ .Q(\blk00000003/sig0000060f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000122b ),
+ .Q(\blk00000003/sig00000610 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig0000122a ),
+ .Q(\blk00000003/sig00000611 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9/blk000004eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004e9/sig00001229 ),
+ .Q(\blk00000003/sig00000612 )
+ );
+ GND \blk00000003/blk000004e9/blk000004ea (
+ .G(\blk00000003/blk000004e9/sig00001228 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000051c/blk0000054e (
+ .I0(ce),
+ .I1(\blk00000003/sig00000761 ),
+ .O(\blk00000003/blk0000051c/sig0000128f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000054d (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000853 ),
+ .Q(\blk00000003/blk0000051c/sig0000128d ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000054d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000054c (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000854 ),
+ .Q(\blk00000003/blk0000051c/sig0000128c ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000054c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000054b (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000852 ),
+ .Q(\blk00000003/blk0000051c/sig0000128e ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000054b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000054a (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000856 ),
+ .Q(\blk00000003/blk0000051c/sig0000128a ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000054a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000549 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000857 ),
+ .Q(\blk00000003/blk0000051c/sig00001289 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000549_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000548 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000855 ),
+ .Q(\blk00000003/blk0000051c/sig0000128b ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000548_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000547 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000859 ),
+ .Q(\blk00000003/blk0000051c/sig00001287 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000547_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000546 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000085a ),
+ .Q(\blk00000003/blk0000051c/sig00001286 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000546_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000545 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000858 ),
+ .Q(\blk00000003/blk0000051c/sig00001288 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000545_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000544 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000085c ),
+ .Q(\blk00000003/blk0000051c/sig00001284 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000544_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000543 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000085d ),
+ .Q(\blk00000003/blk0000051c/sig00001283 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000543_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000542 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000085b ),
+ .Q(\blk00000003/blk0000051c/sig00001285 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000542_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000541 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000085f ),
+ .Q(\blk00000003/blk0000051c/sig00001281 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000541_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000540 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000860 ),
+ .Q(\blk00000003/blk0000051c/sig00001280 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000540_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000053f (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000085e ),
+ .Q(\blk00000003/blk0000051c/sig00001282 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000053f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000053e (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000862 ),
+ .Q(\blk00000003/blk0000051c/sig0000127e ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000053e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000053d (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000863 ),
+ .Q(\blk00000003/blk0000051c/sig0000127d ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000053d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000053c (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000861 ),
+ .Q(\blk00000003/blk0000051c/sig0000127f ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000053c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000053b (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000865 ),
+ .Q(\blk00000003/blk0000051c/sig0000127b ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000053b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk0000053a (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000866 ),
+ .Q(\blk00000003/blk0000051c/sig0000127a ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk0000053a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000539 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000864 ),
+ .Q(\blk00000003/blk0000051c/sig0000127c ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000539_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000538 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000868 ),
+ .Q(\blk00000003/blk0000051c/sig00001278 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000538_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000537 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000869 ),
+ .Q(\blk00000003/blk0000051c/sig00001277 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000537_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c/blk00000536 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk0000051c/sig00001276 ),
+ .A2(\blk00000003/blk0000051c/sig00001276 ),
+ .A3(\blk00000003/blk0000051c/sig00001276 ),
+ .CE(\blk00000003/blk0000051c/sig0000128f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000867 ),
+ .Q(\blk00000003/blk0000051c/sig00001279 ),
+ .Q15(\NLW_blk00000003/blk0000051c/blk00000536_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000535 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000128e ),
+ .Q(\blk00000003/sig000002b9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000534 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000128d ),
+ .Q(\blk00000003/sig000002ba )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000533 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000128c ),
+ .Q(\blk00000003/sig000002bb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000532 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000128b ),
+ .Q(\blk00000003/sig000002bc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000531 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000128a ),
+ .Q(\blk00000003/sig000002bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000530 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001289 ),
+ .Q(\blk00000003/sig000002be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000052f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001288 ),
+ .Q(\blk00000003/sig000002bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000052e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001287 ),
+ .Q(\blk00000003/sig000002c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000052d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001286 ),
+ .Q(\blk00000003/sig000002c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000052c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001285 ),
+ .Q(\blk00000003/sig000002c2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000052b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001284 ),
+ .Q(\blk00000003/sig000002c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000052a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001283 ),
+ .Q(\blk00000003/sig000002c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000529 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001282 ),
+ .Q(\blk00000003/sig000002c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000528 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001281 ),
+ .Q(\blk00000003/sig000002c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000527 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001280 ),
+ .Q(\blk00000003/sig000002c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000526 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000127f ),
+ .Q(\blk00000003/sig000002c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000525 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000127e ),
+ .Q(\blk00000003/sig000002c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000524 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000127d ),
+ .Q(\blk00000003/sig000002ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000523 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000127c ),
+ .Q(\blk00000003/sig000002cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000522 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000127b ),
+ .Q(\blk00000003/sig000002cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000521 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig0000127a ),
+ .Q(\blk00000003/sig000002cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk00000520 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001279 ),
+ .Q(\blk00000003/sig000002ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000051f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001278 ),
+ .Q(\blk00000003/sig000002cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c/blk0000051e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000051c/sig00001277 ),
+ .Q(\blk00000003/sig000002d0 )
+ );
+ GND \blk00000003/blk0000051c/blk0000051d (
+ .G(\blk00000003/blk0000051c/sig00001276 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000054f/blk00000581 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000760 ),
+ .O(\blk00000003/blk0000054f/sig000012dd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000580 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000086b ),
+ .Q(\blk00000003/blk0000054f/sig000012db ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000580_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000057f (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000086c ),
+ .Q(\blk00000003/blk0000054f/sig000012da ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000057f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000057e (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000086a ),
+ .Q(\blk00000003/blk0000054f/sig000012dc ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000057e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000057d (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000086e ),
+ .Q(\blk00000003/blk0000054f/sig000012d8 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000057d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000057c (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000086f ),
+ .Q(\blk00000003/blk0000054f/sig000012d7 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000057c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000057b (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000086d ),
+ .Q(\blk00000003/blk0000054f/sig000012d9 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000057b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000057a (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000871 ),
+ .Q(\blk00000003/blk0000054f/sig000012d5 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000057a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000579 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000872 ),
+ .Q(\blk00000003/blk0000054f/sig000012d4 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000579_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000578 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000870 ),
+ .Q(\blk00000003/blk0000054f/sig000012d6 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000578_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000577 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000874 ),
+ .Q(\blk00000003/blk0000054f/sig000012d2 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000577_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000576 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000875 ),
+ .Q(\blk00000003/blk0000054f/sig000012d1 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000576_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000575 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000873 ),
+ .Q(\blk00000003/blk0000054f/sig000012d3 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000575_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000574 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000877 ),
+ .Q(\blk00000003/blk0000054f/sig000012cf ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000574_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000573 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000878 ),
+ .Q(\blk00000003/blk0000054f/sig000012ce ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000573_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000572 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000876 ),
+ .Q(\blk00000003/blk0000054f/sig000012d0 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000572_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000571 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000087a ),
+ .Q(\blk00000003/blk0000054f/sig000012cc ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000571_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000570 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000087b ),
+ .Q(\blk00000003/blk0000054f/sig000012cb ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000570_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000056f (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000879 ),
+ .Q(\blk00000003/blk0000054f/sig000012cd ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000056f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000056e (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000087d ),
+ .Q(\blk00000003/blk0000054f/sig000012c9 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000056e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000056d (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000087e ),
+ .Q(\blk00000003/blk0000054f/sig000012c8 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000056d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000056c (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000087c ),
+ .Q(\blk00000003/blk0000054f/sig000012ca ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000056c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000056b (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000880 ),
+ .Q(\blk00000003/blk0000054f/sig000012c6 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000056b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk0000056a (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000881 ),
+ .Q(\blk00000003/blk0000054f/sig000012c5 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk0000056a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054f/blk00000569 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk0000054f/sig000012c4 ),
+ .A2(\blk00000003/blk0000054f/sig000012c4 ),
+ .A3(\blk00000003/blk0000054f/sig000012c4 ),
+ .CE(\blk00000003/blk0000054f/sig000012dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000087f ),
+ .Q(\blk00000003/blk0000054f/sig000012c7 ),
+ .Q15(\NLW_blk00000003/blk0000054f/blk00000569_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000568 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012dc ),
+ .Q(\blk00000003/sig000002d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000567 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012db ),
+ .Q(\blk00000003/sig000002d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000566 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012da ),
+ .Q(\blk00000003/sig000002d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000565 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d9 ),
+ .Q(\blk00000003/sig000002d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000564 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d8 ),
+ .Q(\blk00000003/sig000002d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000563 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d7 ),
+ .Q(\blk00000003/sig000002d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000562 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d6 ),
+ .Q(\blk00000003/sig000002d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000561 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d5 ),
+ .Q(\blk00000003/sig000002d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000560 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d4 ),
+ .Q(\blk00000003/sig000002d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk0000055f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d3 ),
+ .Q(\blk00000003/sig000002da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk0000055e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d2 ),
+ .Q(\blk00000003/sig000002db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk0000055d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d1 ),
+ .Q(\blk00000003/sig000002dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk0000055c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012d0 ),
+ .Q(\blk00000003/sig000002dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk0000055b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012cf ),
+ .Q(\blk00000003/sig000002de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk0000055a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012ce ),
+ .Q(\blk00000003/sig000002df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000559 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012cd ),
+ .Q(\blk00000003/sig000002e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000558 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012cc ),
+ .Q(\blk00000003/sig000002e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000557 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012cb ),
+ .Q(\blk00000003/sig000002e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000556 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012ca ),
+ .Q(\blk00000003/sig000002e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000555 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012c9 ),
+ .Q(\blk00000003/sig000002e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000554 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012c8 ),
+ .Q(\blk00000003/sig000002e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000553 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012c7 ),
+ .Q(\blk00000003/sig000002e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000552 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012c6 ),
+ .Q(\blk00000003/sig000002e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f/blk00000551 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000054f/sig000012c5 ),
+ .Q(\blk00000003/sig000002e8 )
+ );
+ GND \blk00000003/blk0000054f/blk00000550 (
+ .G(\blk00000003/blk0000054f/sig000012c4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000582/blk000005b4 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000761 ),
+ .O(\blk00000003/blk00000582/sig0000132b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005b3 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000883 ),
+ .Q(\blk00000003/blk00000582/sig00001329 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005b3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005b2 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000884 ),
+ .Q(\blk00000003/blk00000582/sig00001328 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005b2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005b1 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000882 ),
+ .Q(\blk00000003/blk00000582/sig0000132a ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005b1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005b0 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000886 ),
+ .Q(\blk00000003/blk00000582/sig00001326 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005b0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005af (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000887 ),
+ .Q(\blk00000003/blk00000582/sig00001325 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005ae (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000885 ),
+ .Q(\blk00000003/blk00000582/sig00001327 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005ad (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000889 ),
+ .Q(\blk00000003/blk00000582/sig00001323 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005ac (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000088a ),
+ .Q(\blk00000003/blk00000582/sig00001322 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005ab (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000888 ),
+ .Q(\blk00000003/blk00000582/sig00001324 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005aa (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000088c ),
+ .Q(\blk00000003/blk00000582/sig00001320 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a9 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000088d ),
+ .Q(\blk00000003/blk00000582/sig0000131f ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a8 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000088b ),
+ .Q(\blk00000003/blk00000582/sig00001321 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a7 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000088f ),
+ .Q(\blk00000003/blk00000582/sig0000131d ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a6 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000890 ),
+ .Q(\blk00000003/blk00000582/sig0000131c ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a5 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000088e ),
+ .Q(\blk00000003/blk00000582/sig0000131e ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a4 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000892 ),
+ .Q(\blk00000003/blk00000582/sig0000131a ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a3 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000893 ),
+ .Q(\blk00000003/blk00000582/sig00001319 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a2 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000891 ),
+ .Q(\blk00000003/blk00000582/sig0000131b ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a1 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000895 ),
+ .Q(\blk00000003/blk00000582/sig00001317 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk000005a0 (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000896 ),
+ .Q(\blk00000003/blk00000582/sig00001316 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk000005a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk0000059f (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000894 ),
+ .Q(\blk00000003/blk00000582/sig00001318 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk0000059f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk0000059e (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000898 ),
+ .Q(\blk00000003/blk00000582/sig00001314 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk0000059e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk0000059d (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000899 ),
+ .Q(\blk00000003/blk00000582/sig00001313 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk0000059d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582/blk0000059c (
+ .A0(\blk00000003/sig0000029a ),
+ .A1(\blk00000003/blk00000582/sig00001312 ),
+ .A2(\blk00000003/blk00000582/sig00001312 ),
+ .A3(\blk00000003/blk00000582/sig00001312 ),
+ .CE(\blk00000003/blk00000582/sig0000132b ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000897 ),
+ .Q(\blk00000003/blk00000582/sig00001315 ),
+ .Q15(\NLW_blk00000003/blk00000582/blk0000059c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000059b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000132a ),
+ .Q(\blk00000003/sig00000319 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000059a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001329 ),
+ .Q(\blk00000003/sig0000031a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000599 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001328 ),
+ .Q(\blk00000003/sig0000031b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000598 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001327 ),
+ .Q(\blk00000003/sig0000031c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000597 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001326 ),
+ .Q(\blk00000003/sig0000031d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000596 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001325 ),
+ .Q(\blk00000003/sig0000031e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000595 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001324 ),
+ .Q(\blk00000003/sig0000031f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000594 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001323 ),
+ .Q(\blk00000003/sig00000320 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000593 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001322 ),
+ .Q(\blk00000003/sig00000321 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000592 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001321 ),
+ .Q(\blk00000003/sig00000322 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000591 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001320 ),
+ .Q(\blk00000003/sig00000323 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000590 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000131f ),
+ .Q(\blk00000003/sig00000324 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000058f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000131e ),
+ .Q(\blk00000003/sig00000325 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000058e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000131d ),
+ .Q(\blk00000003/sig00000326 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000058d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000131c ),
+ .Q(\blk00000003/sig00000327 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000058c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000131b ),
+ .Q(\blk00000003/sig00000328 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000058b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig0000131a ),
+ .Q(\blk00000003/sig00000329 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk0000058a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001319 ),
+ .Q(\blk00000003/sig0000032a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000589 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001318 ),
+ .Q(\blk00000003/sig0000032b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000588 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001317 ),
+ .Q(\blk00000003/sig0000032c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000587 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001316 ),
+ .Q(\blk00000003/sig0000032d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000586 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001315 ),
+ .Q(\blk00000003/sig0000032e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000585 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001314 ),
+ .Q(\blk00000003/sig0000032f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000582/blk00000584 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000582/sig00001313 ),
+ .Q(\blk00000003/sig00000330 )
+ );
+ GND \blk00000003/blk00000582/blk00000583 (
+ .G(\blk00000003/blk00000582/sig00001312 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000005b5/blk000005e7 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000760 ),
+ .O(\blk00000003/blk000005b5/sig00001379 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e6 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000089b ),
+ .Q(\blk00000003/blk000005b5/sig00001377 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e5 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000089c ),
+ .Q(\blk00000003/blk000005b5/sig00001376 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e4 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000089a ),
+ .Q(\blk00000003/blk000005b5/sig00001378 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e3 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000089e ),
+ .Q(\blk00000003/blk000005b5/sig00001374 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e2 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000089f ),
+ .Q(\blk00000003/blk000005b5/sig00001373 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e1 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000089d ),
+ .Q(\blk00000003/blk000005b5/sig00001375 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005e0 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a1 ),
+ .Q(\blk00000003/blk000005b5/sig00001371 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005df (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a2 ),
+ .Q(\blk00000003/blk000005b5/sig00001370 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005de (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a0 ),
+ .Q(\blk00000003/blk000005b5/sig00001372 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005dd (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a4 ),
+ .Q(\blk00000003/blk000005b5/sig0000136e ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005dc (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a5 ),
+ .Q(\blk00000003/blk000005b5/sig0000136d ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005db (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a3 ),
+ .Q(\blk00000003/blk000005b5/sig0000136f ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005da (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a7 ),
+ .Q(\blk00000003/blk000005b5/sig0000136b ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d9 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a8 ),
+ .Q(\blk00000003/blk000005b5/sig0000136a ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d8 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a6 ),
+ .Q(\blk00000003/blk000005b5/sig0000136c ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d7 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008aa ),
+ .Q(\blk00000003/blk000005b5/sig00001368 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d6 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008ab ),
+ .Q(\blk00000003/blk000005b5/sig00001367 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d5 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008a9 ),
+ .Q(\blk00000003/blk000005b5/sig00001369 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d4 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008ad ),
+ .Q(\blk00000003/blk000005b5/sig00001365 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d3 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008ae ),
+ .Q(\blk00000003/blk000005b5/sig00001364 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d2 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008ac ),
+ .Q(\blk00000003/blk000005b5/sig00001366 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d1 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008b0 ),
+ .Q(\blk00000003/blk000005b5/sig00001362 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005d0 (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008b1 ),
+ .Q(\blk00000003/blk000005b5/sig00001361 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005d0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b5/blk000005cf (
+ .A0(\blk00000003/sig00000295 ),
+ .A1(\blk00000003/blk000005b5/sig00001360 ),
+ .A2(\blk00000003/blk000005b5/sig00001360 ),
+ .A3(\blk00000003/blk000005b5/sig00001360 ),
+ .CE(\blk00000003/blk000005b5/sig00001379 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000008af ),
+ .Q(\blk00000003/blk000005b5/sig00001363 ),
+ .Q15(\NLW_blk00000003/blk000005b5/blk000005cf_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001378 ),
+ .Q(\blk00000003/sig00000331 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001377 ),
+ .Q(\blk00000003/sig00000332 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001376 ),
+ .Q(\blk00000003/sig00000333 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001375 ),
+ .Q(\blk00000003/sig00000334 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001374 ),
+ .Q(\blk00000003/sig00000335 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001373 ),
+ .Q(\blk00000003/sig00000336 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001372 ),
+ .Q(\blk00000003/sig00000337 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001371 ),
+ .Q(\blk00000003/sig00000338 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001370 ),
+ .Q(\blk00000003/sig00000339 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig0000136f ),
+ .Q(\blk00000003/sig0000033a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig0000136e ),
+ .Q(\blk00000003/sig0000033b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig0000136d ),
+ .Q(\blk00000003/sig0000033c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig0000136c ),
+ .Q(\blk00000003/sig0000033d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig0000136b ),
+ .Q(\blk00000003/sig0000033e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig0000136a ),
+ .Q(\blk00000003/sig0000033f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001369 ),
+ .Q(\blk00000003/sig00000340 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001368 ),
+ .Q(\blk00000003/sig00000341 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001367 ),
+ .Q(\blk00000003/sig00000342 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001366 ),
+ .Q(\blk00000003/sig00000343 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001365 ),
+ .Q(\blk00000003/sig00000344 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001364 ),
+ .Q(\blk00000003/sig00000345 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001363 ),
+ .Q(\blk00000003/sig00000346 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001362 ),
+ .Q(\blk00000003/sig00000347 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5/blk000005b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005b5/sig00001361 ),
+ .Q(\blk00000003/sig00000348 )
+ );
+ GND \blk00000003/blk000005b5/blk000005b6 (
+ .G(\blk00000003/blk000005b5/sig00001360 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000005e8/blk00000620 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000237 ),
+ .O(\blk00000003/blk000005e8/sig000013dc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk0000061f (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b2 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c9 ),
+ .DPO(\blk00000003/blk000005e8/sig000013db )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk0000061e (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b3 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c8 ),
+ .DPO(\blk00000003/blk000005e8/sig000013da )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk0000061d (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b4 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c7 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk0000061c (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b5 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c6 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk0000061b (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b6 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c5 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk0000061a (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b7 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c4 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk00000619 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b9 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c2 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk00000618 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008ba ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c1 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk00000617 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008b8 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c3 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000005e8/blk00000616 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008bb ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013c0 ),
+ .DPO(\blk00000003/blk000005e8/sig000013d2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000005e8/blk00000615 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008bc ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013bf ),
+ .DPO(\blk00000003/blk000005e8/sig000013d1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000005e8/blk00000614 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008bd ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013be ),
+ .DPO(\blk00000003/blk000005e8/sig000013d0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000005e8/blk00000613 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008be ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013bd ),
+ .DPO(\blk00000003/blk000005e8/sig000013cf )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000005e8/blk00000612 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008bf ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013bc ),
+ .DPO(\blk00000003/blk000005e8/sig000013ce )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000005e8/blk00000611 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008c0 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013bb ),
+ .DPO(\blk00000003/blk000005e8/sig000013cd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000005e8/blk00000610 (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008c2 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013b9 ),
+ .DPO(\blk00000003/blk000005e8/sig000013cb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000005e8/blk0000060f (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008c3 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013b8 ),
+ .DPO(\blk00000003/blk000005e8/sig000013ca )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000005e8/blk0000060e (
+ .A0(\blk00000003/sig0000025f ),
+ .A1(\blk00000003/sig00000262 ),
+ .A2(\blk00000003/blk000005e8/sig000013b7 ),
+ .A3(\blk00000003/blk000005e8/sig000013b7 ),
+ .A4(\blk00000003/blk000005e8/sig000013b7 ),
+ .D(\blk00000003/sig000008c1 ),
+ .DPRA0(\blk00000003/sig000002a0 ),
+ .DPRA1(\blk00000003/sig000002a6 ),
+ .DPRA2(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA3(\blk00000003/blk000005e8/sig000013b7 ),
+ .DPRA4(\blk00000003/blk000005e8/sig000013b7 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000005e8/sig000013dc ),
+ .SPO(\blk00000003/blk000005e8/sig000013ba ),
+ .DPO(\blk00000003/blk000005e8/sig000013cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk0000060d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013db ),
+ .Q(\blk00000003/sig000002a7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk0000060c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013da ),
+ .Q(\blk00000003/sig000002a8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk0000060b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d9 ),
+ .Q(\blk00000003/sig000002a9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk0000060a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d8 ),
+ .Q(\blk00000003/sig000002aa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000609 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d7 ),
+ .Q(\blk00000003/sig000002ab )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000608 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d6 ),
+ .Q(\blk00000003/sig000002ac )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000607 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d5 ),
+ .Q(\blk00000003/sig000002ad )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000606 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d4 ),
+ .Q(\blk00000003/sig000002ae )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000605 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d3 ),
+ .Q(\blk00000003/sig000002af )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000604 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d2 ),
+ .Q(\blk00000003/sig000002b0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000603 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d1 ),
+ .Q(\blk00000003/sig000002b1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000602 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013d0 ),
+ .Q(\blk00000003/sig000002b2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000601 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013cf ),
+ .Q(\blk00000003/sig000002b3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk00000600 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013ce ),
+ .Q(\blk00000003/sig000002b4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013cd ),
+ .Q(\blk00000003/sig000002b5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013cc ),
+ .Q(\blk00000003/sig000002b6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013cb ),
+ .Q(\blk00000003/sig000002b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013ca ),
+ .Q(\blk00000003/sig000002b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c9 ),
+ .Q(\blk00000003/sig000008c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c8 ),
+ .Q(\blk00000003/sig000008c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c7 ),
+ .Q(\blk00000003/sig000008c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c6 ),
+ .Q(\blk00000003/sig000008c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c5 ),
+ .Q(\blk00000003/sig000008c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c4 ),
+ .Q(\blk00000003/sig000008c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c3 ),
+ .Q(\blk00000003/sig000008ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c2 ),
+ .Q(\blk00000003/sig000008cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c1 ),
+ .Q(\blk00000003/sig000008cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013c0 ),
+ .Q(\blk00000003/sig000008cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013bf ),
+ .Q(\blk00000003/sig000008ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013be ),
+ .Q(\blk00000003/sig000008cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013bd ),
+ .Q(\blk00000003/sig000008d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013bc ),
+ .Q(\blk00000003/sig000008d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013bb ),
+ .Q(\blk00000003/sig000008d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013ba ),
+ .Q(\blk00000003/sig000008d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013b9 ),
+ .Q(\blk00000003/sig000008d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8/blk000005ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000005e8/sig000013b8 ),
+ .Q(\blk00000003/sig000008d5 )
+ );
+ GND \blk00000003/blk000005e8/blk000005e9 (
+ .G(\blk00000003/blk000005e8/sig000013b7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000621/blk00000659 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000073d ),
+ .O(\blk00000003/blk00000621/sig0000143f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000658 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008c4 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000142c ),
+ .DPO(\blk00000003/blk00000621/sig0000143e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000657 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008c5 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000142b ),
+ .DPO(\blk00000003/blk00000621/sig0000143d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000656 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008c6 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000142a ),
+ .DPO(\blk00000003/blk00000621/sig0000143c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000655 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008c7 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001429 ),
+ .DPO(\blk00000003/blk00000621/sig0000143b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000654 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008c8 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001428 ),
+ .DPO(\blk00000003/blk00000621/sig0000143a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000653 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008c9 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001427 ),
+ .DPO(\blk00000003/blk00000621/sig00001439 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000652 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008cb ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001425 ),
+ .DPO(\blk00000003/blk00000621/sig00001437 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000621/blk00000651 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008cc ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001424 ),
+ .DPO(\blk00000003/blk00000621/sig00001436 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk00000650 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008ca ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001426 ),
+ .DPO(\blk00000003/blk00000621/sig00001438 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000621/blk0000064f (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008cd ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001423 ),
+ .DPO(\blk00000003/blk00000621/sig00001435 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000621/blk0000064e (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008ce ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001422 ),
+ .DPO(\blk00000003/blk00000621/sig00001434 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000621/blk0000064d (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008cf ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001421 ),
+ .DPO(\blk00000003/blk00000621/sig00001433 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000621/blk0000064c (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008d0 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig00001420 ),
+ .DPO(\blk00000003/blk00000621/sig00001432 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000621/blk0000064b (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008d1 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000141f ),
+ .DPO(\blk00000003/blk00000621/sig00001431 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000621/blk0000064a (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008d2 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000141e ),
+ .DPO(\blk00000003/blk00000621/sig00001430 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000621/blk00000649 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008d4 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000141c ),
+ .DPO(\blk00000003/blk00000621/sig0000142e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000621/blk00000648 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008d5 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000141b ),
+ .DPO(\blk00000003/blk00000621/sig0000142d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000621/blk00000647 (
+ .A0(\blk00000003/sig00000733 ),
+ .A1(\blk00000003/sig00000734 ),
+ .A2(\blk00000003/blk00000621/sig0000141a ),
+ .A3(\blk00000003/blk00000621/sig0000141a ),
+ .A4(\blk00000003/blk00000621/sig0000141a ),
+ .D(\blk00000003/sig000008d3 ),
+ .DPRA0(\blk00000003/sig0000075c ),
+ .DPRA1(\blk00000003/sig0000075b ),
+ .DPRA2(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA3(\blk00000003/blk00000621/sig0000141a ),
+ .DPRA4(\blk00000003/blk00000621/sig0000141a ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000621/sig0000143f ),
+ .SPO(\blk00000003/blk00000621/sig0000141d ),
+ .DPO(\blk00000003/blk00000621/sig0000142f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000646 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000143e ),
+ .Q(\blk00000003/sig0000044b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000645 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000143d ),
+ .Q(\blk00000003/sig0000044c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000644 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000143c ),
+ .Q(\blk00000003/sig0000044d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000643 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000143b ),
+ .Q(\blk00000003/sig0000044e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000642 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000143a ),
+ .Q(\blk00000003/sig0000044f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000641 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001439 ),
+ .Q(\blk00000003/sig00000450 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000640 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001438 ),
+ .Q(\blk00000003/sig00000451 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000063f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001437 ),
+ .Q(\blk00000003/sig00000452 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000063e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001436 ),
+ .Q(\blk00000003/sig00000453 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000063d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001435 ),
+ .Q(\blk00000003/sig00000454 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000063c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001434 ),
+ .Q(\blk00000003/sig00000455 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000063b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001433 ),
+ .Q(\blk00000003/sig00000456 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000063a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001432 ),
+ .Q(\blk00000003/sig00000457 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000639 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001431 ),
+ .Q(\blk00000003/sig00000458 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000638 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001430 ),
+ .Q(\blk00000003/sig00000459 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000637 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000142f ),
+ .Q(\blk00000003/sig0000045a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000636 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000142e ),
+ .Q(\blk00000003/sig0000045b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000635 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000142d ),
+ .Q(\blk00000003/sig0000045c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000634 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000142c ),
+ .Q(\blk00000003/sig000008d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000633 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000142b ),
+ .Q(\blk00000003/sig000008d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000632 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000142a ),
+ .Q(\blk00000003/sig000008d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000631 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001429 ),
+ .Q(\blk00000003/sig000008d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000630 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001428 ),
+ .Q(\blk00000003/sig000008da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000062f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001427 ),
+ .Q(\blk00000003/sig000008db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000062e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001426 ),
+ .Q(\blk00000003/sig000008dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000062d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001425 ),
+ .Q(\blk00000003/sig000008dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000062c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001424 ),
+ .Q(\blk00000003/sig000008de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000062b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001423 ),
+ .Q(\blk00000003/sig000008df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk0000062a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001422 ),
+ .Q(\blk00000003/sig000008e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000629 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001421 ),
+ .Q(\blk00000003/sig000008e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000628 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig00001420 ),
+ .Q(\blk00000003/sig000008e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000627 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000141f ),
+ .Q(\blk00000003/sig000008e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000626 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000141e ),
+ .Q(\blk00000003/sig000008e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000625 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000141d ),
+ .Q(\blk00000003/sig000008e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000624 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000141c ),
+ .Q(\blk00000003/sig000008e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621/blk00000623 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000621/sig0000141b ),
+ .Q(\blk00000003/sig000008e7 )
+ );
+ GND \blk00000003/blk00000621/blk00000622 (
+ .G(\blk00000003/blk00000621/sig0000141a )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000065a/blk00000692 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000073e ),
+ .O(\blk00000003/blk0000065a/sig000014a2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk00000691 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008d6 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000148f ),
+ .DPO(\blk00000003/blk0000065a/sig000014a1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk00000690 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008d7 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000148e ),
+ .DPO(\blk00000003/blk0000065a/sig000014a0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk0000068f (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008d8 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000148d ),
+ .DPO(\blk00000003/blk0000065a/sig0000149f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk0000068e (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008d9 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000148c ),
+ .DPO(\blk00000003/blk0000065a/sig0000149e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk0000068d (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008da ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000148b ),
+ .DPO(\blk00000003/blk0000065a/sig0000149d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk0000068c (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008db ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000148a ),
+ .DPO(\blk00000003/blk0000065a/sig0000149c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000065a/blk0000068b (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008dd ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001488 ),
+ .DPO(\blk00000003/blk0000065a/sig0000149a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk0000068a (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008de ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001487 ),
+ .DPO(\blk00000003/blk0000065a/sig00001499 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk0000065a/blk00000689 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008dc ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001489 ),
+ .DPO(\blk00000003/blk0000065a/sig0000149b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk0000065a/blk00000688 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008df ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001486 ),
+ .DPO(\blk00000003/blk0000065a/sig00001498 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk0000065a/blk00000687 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e0 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001485 ),
+ .DPO(\blk00000003/blk0000065a/sig00001497 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000065a/blk00000686 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e1 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001484 ),
+ .DPO(\blk00000003/blk0000065a/sig00001496 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk0000065a/blk00000685 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e2 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001483 ),
+ .DPO(\blk00000003/blk0000065a/sig00001495 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk00000684 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e3 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001482 ),
+ .DPO(\blk00000003/blk0000065a/sig00001494 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk0000065a/blk00000683 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e4 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001481 ),
+ .DPO(\blk00000003/blk0000065a/sig00001493 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk0000065a/blk00000682 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e6 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000147f ),
+ .DPO(\blk00000003/blk0000065a/sig00001491 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000065a/blk00000681 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e7 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig0000147e ),
+ .DPO(\blk00000003/blk0000065a/sig00001490 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk0000065a/blk00000680 (
+ .A0(\blk00000003/sig00000735 ),
+ .A1(\blk00000003/sig00000736 ),
+ .A2(\blk00000003/blk0000065a/sig0000147d ),
+ .A3(\blk00000003/blk0000065a/sig0000147d ),
+ .A4(\blk00000003/blk0000065a/sig0000147d ),
+ .D(\blk00000003/sig000008e5 ),
+ .DPRA0(\blk00000003/sig00000757 ),
+ .DPRA1(\blk00000003/sig00000756 ),
+ .DPRA2(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA3(\blk00000003/blk0000065a/sig0000147d ),
+ .DPRA4(\blk00000003/blk0000065a/sig0000147d ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000065a/sig000014a2 ),
+ .SPO(\blk00000003/blk0000065a/sig00001480 ),
+ .DPO(\blk00000003/blk0000065a/sig00001492 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000067f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig000014a1 ),
+ .Q(\blk00000003/sig000004bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000067e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig000014a0 ),
+ .Q(\blk00000003/sig000004be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000067d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000149f ),
+ .Q(\blk00000003/sig000004bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000067c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000149e ),
+ .Q(\blk00000003/sig000004c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000067b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000149d ),
+ .Q(\blk00000003/sig000004c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000067a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000149c ),
+ .Q(\blk00000003/sig000004c2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000679 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000149b ),
+ .Q(\blk00000003/sig000004c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000678 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000149a ),
+ .Q(\blk00000003/sig000004c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000677 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001499 ),
+ .Q(\blk00000003/sig000004c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000676 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001498 ),
+ .Q(\blk00000003/sig000004c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000675 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001497 ),
+ .Q(\blk00000003/sig000004c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000674 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001496 ),
+ .Q(\blk00000003/sig000004c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000673 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001495 ),
+ .Q(\blk00000003/sig000004c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000672 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001494 ),
+ .Q(\blk00000003/sig000004ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000671 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001493 ),
+ .Q(\blk00000003/sig000004cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000670 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001492 ),
+ .Q(\blk00000003/sig000004cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000066f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001491 ),
+ .Q(\blk00000003/sig000004cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000066e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001490 ),
+ .Q(\blk00000003/sig000004ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000066d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000148f ),
+ .Q(\blk00000003/sig000008e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000066c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000148e ),
+ .Q(\blk00000003/sig000008e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000066b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000148d ),
+ .Q(\blk00000003/sig000008ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000066a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000148c ),
+ .Q(\blk00000003/sig000008eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000669 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000148b ),
+ .Q(\blk00000003/sig000008ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000668 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000148a ),
+ .Q(\blk00000003/sig000008ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000667 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001489 ),
+ .Q(\blk00000003/sig000008ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000666 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001488 ),
+ .Q(\blk00000003/sig000008ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000665 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001487 ),
+ .Q(\blk00000003/sig000008f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000664 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001486 ),
+ .Q(\blk00000003/sig000008f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000663 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001485 ),
+ .Q(\blk00000003/sig000008f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000662 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001484 ),
+ .Q(\blk00000003/sig000008f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000661 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001483 ),
+ .Q(\blk00000003/sig000008f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk00000660 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001482 ),
+ .Q(\blk00000003/sig000008f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000065f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001481 ),
+ .Q(\blk00000003/sig000008f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000065e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig00001480 ),
+ .Q(\blk00000003/sig000008f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000065d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000147f ),
+ .Q(\blk00000003/sig000008f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065a/blk0000065c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000065a/sig0000147e ),
+ .Q(\blk00000003/sig000008f9 )
+ );
+ GND \blk00000003/blk0000065a/blk0000065b (
+ .G(\blk00000003/blk0000065a/sig0000147d )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000693/blk000006cb (
+ .I0(ce),
+ .I1(\blk00000003/sig0000073f ),
+ .O(\blk00000003/blk00000693/sig00001505 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000693/blk000006ca (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008e8 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014f2 ),
+ .DPO(\blk00000003/blk00000693/sig00001504 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000693/blk000006c9 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008e9 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014f1 ),
+ .DPO(\blk00000003/blk00000693/sig00001503 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000693/blk000006c8 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008ea ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014f0 ),
+ .DPO(\blk00000003/blk00000693/sig00001502 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000693/blk000006c7 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008eb ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014ef ),
+ .DPO(\blk00000003/blk00000693/sig00001501 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000693/blk000006c6 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008ec ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014ee ),
+ .DPO(\blk00000003/blk00000693/sig00001500 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000693/blk000006c5 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008ed ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014ed ),
+ .DPO(\blk00000003/blk00000693/sig000014ff )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000693/blk000006c4 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008ef ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014eb ),
+ .DPO(\blk00000003/blk00000693/sig000014fd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000693/blk000006c3 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f0 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014ea ),
+ .DPO(\blk00000003/blk00000693/sig000014fc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000693/blk000006c2 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008ee ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014ec ),
+ .DPO(\blk00000003/blk00000693/sig000014fe )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000693/blk000006c1 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f1 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e9 ),
+ .DPO(\blk00000003/blk00000693/sig000014fb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000693/blk000006c0 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f2 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e8 ),
+ .DPO(\blk00000003/blk00000693/sig000014fa )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000693/blk000006bf (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f3 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e7 ),
+ .DPO(\blk00000003/blk00000693/sig000014f9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000693/blk000006be (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f4 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e6 ),
+ .DPO(\blk00000003/blk00000693/sig000014f8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000693/blk000006bd (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f5 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e5 ),
+ .DPO(\blk00000003/blk00000693/sig000014f7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000693/blk000006bc (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f6 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e4 ),
+ .DPO(\blk00000003/blk00000693/sig000014f6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000693/blk000006bb (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f8 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e2 ),
+ .DPO(\blk00000003/blk00000693/sig000014f4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000693/blk000006ba (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f9 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e1 ),
+ .DPO(\blk00000003/blk00000693/sig000014f3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000693/blk000006b9 (
+ .A0(\blk00000003/sig00000737 ),
+ .A1(\blk00000003/sig00000738 ),
+ .A2(\blk00000003/blk00000693/sig000014e0 ),
+ .A3(\blk00000003/blk00000693/sig000014e0 ),
+ .A4(\blk00000003/blk00000693/sig000014e0 ),
+ .D(\blk00000003/sig000008f7 ),
+ .DPRA0(\blk00000003/sig00000753 ),
+ .DPRA1(\blk00000003/sig00000752 ),
+ .DPRA2(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA3(\blk00000003/blk00000693/sig000014e0 ),
+ .DPRA4(\blk00000003/blk00000693/sig000014e0 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000693/sig00001505 ),
+ .SPO(\blk00000003/blk00000693/sig000014e3 ),
+ .DPO(\blk00000003/blk00000693/sig000014f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig00001504 ),
+ .Q(\blk00000003/sig0000052f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig00001503 ),
+ .Q(\blk00000003/sig00000530 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig00001502 ),
+ .Q(\blk00000003/sig00000531 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig00001501 ),
+ .Q(\blk00000003/sig00000532 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig00001500 ),
+ .Q(\blk00000003/sig00000533 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014ff ),
+ .Q(\blk00000003/sig00000534 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014fe ),
+ .Q(\blk00000003/sig00000535 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014fd ),
+ .Q(\blk00000003/sig00000536 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006b0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014fc ),
+ .Q(\blk00000003/sig00000537 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006af (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014fb ),
+ .Q(\blk00000003/sig00000538 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006ae (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014fa ),
+ .Q(\blk00000003/sig00000539 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f9 ),
+ .Q(\blk00000003/sig0000053a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f8 ),
+ .Q(\blk00000003/sig0000053b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f7 ),
+ .Q(\blk00000003/sig0000053c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f6 ),
+ .Q(\blk00000003/sig0000053d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f5 ),
+ .Q(\blk00000003/sig0000053e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f4 ),
+ .Q(\blk00000003/sig0000053f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f3 ),
+ .Q(\blk00000003/sig00000540 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f2 ),
+ .Q(\blk00000003/sig000008fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f1 ),
+ .Q(\blk00000003/sig000008fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014f0 ),
+ .Q(\blk00000003/sig000008fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014ef ),
+ .Q(\blk00000003/sig000008fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014ee ),
+ .Q(\blk00000003/sig000008fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014ed ),
+ .Q(\blk00000003/sig000008ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk000006a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014ec ),
+ .Q(\blk00000003/sig00000900 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk0000069f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014eb ),
+ .Q(\blk00000003/sig00000901 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk0000069e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014ea ),
+ .Q(\blk00000003/sig00000902 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk0000069d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e9 ),
+ .Q(\blk00000003/sig00000903 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk0000069c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e8 ),
+ .Q(\blk00000003/sig00000904 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk0000069b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e7 ),
+ .Q(\blk00000003/sig00000905 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk0000069a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e6 ),
+ .Q(\blk00000003/sig00000906 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk00000699 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e5 ),
+ .Q(\blk00000003/sig00000907 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk00000698 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e4 ),
+ .Q(\blk00000003/sig00000908 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk00000697 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e3 ),
+ .Q(\blk00000003/sig00000909 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk00000696 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e2 ),
+ .Q(\blk00000003/sig0000090a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693/blk00000695 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000693/sig000014e1 ),
+ .Q(\blk00000003/sig0000090b )
+ );
+ GND \blk00000003/blk00000693/blk00000694 (
+ .G(\blk00000003/blk00000693/sig000014e0 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000006cc/blk00000704 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000740 ),
+ .O(\blk00000003/blk000006cc/sig00001568 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk00000703 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig000008fa ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001555 ),
+ .DPO(\blk00000003/blk000006cc/sig00001567 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk00000702 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig000008fb ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001554 ),
+ .DPO(\blk00000003/blk000006cc/sig00001566 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk00000701 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig000008fc ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001553 ),
+ .DPO(\blk00000003/blk000006cc/sig00001565 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk00000700 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig000008fd ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001552 ),
+ .DPO(\blk00000003/blk000006cc/sig00001564 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk000006cc/blk000006ff (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig000008fe ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001551 ),
+ .DPO(\blk00000003/blk000006cc/sig00001563 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000006cc/blk000006fe (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig000008ff ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001550 ),
+ .DPO(\blk00000003/blk000006cc/sig00001562 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk000006fd (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000901 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig0000154e ),
+ .DPO(\blk00000003/blk000006cc/sig00001560 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000006cc/blk000006fc (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000902 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig0000154d ),
+ .DPO(\blk00000003/blk000006cc/sig0000155f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000006cc/blk000006fb (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000900 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig0000154f ),
+ .DPO(\blk00000003/blk000006cc/sig00001561 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk000006cc/blk000006fa (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000903 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig0000154c ),
+ .DPO(\blk00000003/blk000006cc/sig0000155e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000006cc/blk000006f9 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000904 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig0000154b ),
+ .DPO(\blk00000003/blk000006cc/sig0000155d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000006cc/blk000006f8 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000905 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig0000154a ),
+ .DPO(\blk00000003/blk000006cc/sig0000155c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk000006cc/blk000006f7 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000906 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001549 ),
+ .DPO(\blk00000003/blk000006cc/sig0000155b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk000006f6 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000907 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001548 ),
+ .DPO(\blk00000003/blk000006cc/sig0000155a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000006cc/blk000006f5 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000908 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001547 ),
+ .DPO(\blk00000003/blk000006cc/sig00001559 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000006cc/blk000006f4 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig0000090a ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001545 ),
+ .DPO(\blk00000003/blk000006cc/sig00001557 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk000006f3 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig0000090b ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001544 ),
+ .DPO(\blk00000003/blk000006cc/sig00001556 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000006cc/blk000006f2 (
+ .A0(\blk00000003/sig00000739 ),
+ .A1(\blk00000003/sig0000073a ),
+ .A2(\blk00000003/blk000006cc/sig00001543 ),
+ .A3(\blk00000003/blk000006cc/sig00001543 ),
+ .A4(\blk00000003/blk000006cc/sig00001543 ),
+ .D(\blk00000003/sig00000909 ),
+ .DPRA0(\blk00000003/sig0000074c ),
+ .DPRA1(\blk00000003/sig0000074a ),
+ .DPRA2(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA3(\blk00000003/blk000006cc/sig00001543 ),
+ .DPRA4(\blk00000003/blk000006cc/sig00001543 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000006cc/sig00001568 ),
+ .SPO(\blk00000003/blk000006cc/sig00001546 ),
+ .DPO(\blk00000003/blk000006cc/sig00001558 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001567 ),
+ .Q(\blk00000003/sig000005a1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001566 ),
+ .Q(\blk00000003/sig000005a2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001565 ),
+ .Q(\blk00000003/sig000005a3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001564 ),
+ .Q(\blk00000003/sig000005a4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001563 ),
+ .Q(\blk00000003/sig000005a5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001562 ),
+ .Q(\blk00000003/sig000005a6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001561 ),
+ .Q(\blk00000003/sig000005a7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001560 ),
+ .Q(\blk00000003/sig000005a8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000155f ),
+ .Q(\blk00000003/sig000005a9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000155e ),
+ .Q(\blk00000003/sig000005aa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000155d ),
+ .Q(\blk00000003/sig000005ab )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000155c ),
+ .Q(\blk00000003/sig000005ac )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000155b ),
+ .Q(\blk00000003/sig000005ad )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000155a ),
+ .Q(\blk00000003/sig000005ae )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001559 ),
+ .Q(\blk00000003/sig000005af )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001558 ),
+ .Q(\blk00000003/sig000005b0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001557 ),
+ .Q(\blk00000003/sig000005b1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006e0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001556 ),
+ .Q(\blk00000003/sig000005b2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006df (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001555 ),
+ .Q(\blk00000003/sig0000090c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006de (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001554 ),
+ .Q(\blk00000003/sig0000090d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006dd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001553 ),
+ .Q(\blk00000003/sig0000090e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006dc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001552 ),
+ .Q(\blk00000003/sig0000090f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006db (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001551 ),
+ .Q(\blk00000003/sig00000910 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006da (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001550 ),
+ .Q(\blk00000003/sig00000911 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000154f ),
+ .Q(\blk00000003/sig00000912 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000154e ),
+ .Q(\blk00000003/sig00000913 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000154d ),
+ .Q(\blk00000003/sig00000914 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000154c ),
+ .Q(\blk00000003/sig00000915 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000154b ),
+ .Q(\blk00000003/sig00000916 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig0000154a ),
+ .Q(\blk00000003/sig00000917 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001549 ),
+ .Q(\blk00000003/sig00000918 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001548 ),
+ .Q(\blk00000003/sig00000919 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001547 ),
+ .Q(\blk00000003/sig0000091a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001546 ),
+ .Q(\blk00000003/sig0000091b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001545 ),
+ .Q(\blk00000003/sig0000091c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cc/blk000006ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000006cc/sig00001544 ),
+ .Q(\blk00000003/sig0000091d )
+ );
+ GND \blk00000003/blk000006cc/blk000006cd (
+ .G(\blk00000003/blk000006cc/sig00001543 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000705/blk0000072b (
+ .I0(ce),
+ .I1(\blk00000003/sig00000741 ),
+ .O(\blk00000003/blk00000705/sig000015a7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000705/blk0000072a (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000090c ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000072a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000705/blk00000729 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000090d ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000729_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000705/blk00000728 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000090e ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000728_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000705/blk00000727 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000090f ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000727_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk00000726 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000910 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000726_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000705/blk00000725 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000911 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000725_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000705/blk00000724 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000913 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000724_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig0000159f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk00000723 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000914 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000723_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig0000159e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000705/blk00000722 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000912 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000722_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig000015a0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk00000721 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000915 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000721_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig0000159d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk00000720 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000916 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000720_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig0000159c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk00000705/blk0000071f (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000917 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000071f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig0000159b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk0000071e (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000918 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000071e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig0000159a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000705/blk0000071d (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig00000919 ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000071d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig00001599 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000705/blk0000071c (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000091a ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000071c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig00001598 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk0000071b (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000091c ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000071b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig00001596 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk00000705/blk0000071a (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000091d ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk0000071a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig00001595 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000705/blk00000719 (
+ .A0(\blk00000003/sig0000073b ),
+ .A1(\blk00000003/sig0000073c ),
+ .A2(\blk00000003/blk00000705/sig00001594 ),
+ .A3(\blk00000003/blk00000705/sig00001594 ),
+ .A4(\blk00000003/blk00000705/sig00001594 ),
+ .D(\blk00000003/sig0000091b ),
+ .DPRA0(\blk00000003/sig0000074d ),
+ .DPRA1(\blk00000003/sig0000074b ),
+ .DPRA2(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA3(\blk00000003/blk00000705/sig00001594 ),
+ .DPRA4(\blk00000003/blk00000705/sig00001594 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000705/sig000015a7 ),
+ .SPO(\NLW_blk00000003/blk00000705/blk00000719_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000705/sig00001597 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000718 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a6 ),
+ .Q(\blk00000003/sig000003a9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000717 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a5 ),
+ .Q(\blk00000003/sig000003aa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000716 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a4 ),
+ .Q(\blk00000003/sig000003ab )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000715 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a3 ),
+ .Q(\blk00000003/sig000003ac )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000714 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a2 ),
+ .Q(\blk00000003/sig000003ad )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000713 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a1 ),
+ .Q(\blk00000003/sig000003ae )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000712 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig000015a0 ),
+ .Q(\blk00000003/sig000003af )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000711 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig0000159f ),
+ .Q(\blk00000003/sig000003b0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000710 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig0000159e ),
+ .Q(\blk00000003/sig000003b1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk0000070f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig0000159d ),
+ .Q(\blk00000003/sig000003b2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk0000070e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig0000159c ),
+ .Q(\blk00000003/sig000003b3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk0000070d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig0000159b ),
+ .Q(\blk00000003/sig000003b4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk0000070c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig0000159a ),
+ .Q(\blk00000003/sig000003b5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk0000070b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig00001599 ),
+ .Q(\blk00000003/sig000003b6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk0000070a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig00001598 ),
+ .Q(\blk00000003/sig000003b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000709 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig00001597 ),
+ .Q(\blk00000003/sig000003b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000708 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig00001596 ),
+ .Q(\blk00000003/sig000003b9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705/blk00000707 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000705/sig00001595 ),
+ .Q(\blk00000003/sig000003ba )
+ );
+ GND \blk00000003/blk00000705/blk00000706 (
+ .G(\blk00000003/blk00000705/sig00001594 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000075c/blk00000782 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000235 ),
+ .O(\blk00000003/blk0000075c/sig000015e4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000075c/blk00000781 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b2 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000781_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015e3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000780 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b3 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000780_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015e2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk0000077f (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b4 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk0000077f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015e1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk0000077e (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b5 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk0000077e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015e0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk0000077d (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b6 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk0000077d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015df )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk0000077c (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b7 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk0000077c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015de )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk0000077b (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b9 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk0000077b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015dc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk0000077a (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008ba ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk0000077a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015db )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000779 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008b8 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000779_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015dd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000778 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008bb ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000778_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015da )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000777 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008bc ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000777_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000776 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008bd ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000776_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000775 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008be ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000775_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000774 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008bf ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000774_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000773 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008c0 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000773_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000772 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008c2 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000772_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000771 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008c3 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000771_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000075c/blk00000770 (
+ .A0(\blk00000003/sig0000023c ),
+ .A1(\blk00000003/blk0000075c/sig000015d1 ),
+ .A2(\blk00000003/blk0000075c/sig000015d1 ),
+ .A3(\blk00000003/blk0000075c/sig000015d1 ),
+ .A4(\blk00000003/blk0000075c/sig000015d1 ),
+ .D(\blk00000003/sig000008c1 ),
+ .DPRA0(\blk00000003/sig0000091f ),
+ .DPRA1(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA2(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA3(\blk00000003/blk0000075c/sig000015d1 ),
+ .DPRA4(\blk00000003/blk0000075c/sig000015d1 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000075c/sig000015e4 ),
+ .SPO(\NLW_blk00000003/blk0000075c/blk00000770_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000075c/sig000015d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000076f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015e3 ),
+ .Q(\blk00000003/sig000000fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000076e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015e2 ),
+ .Q(\blk00000003/sig000000fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000076d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015e1 ),
+ .Q(\blk00000003/sig000000fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000076c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015e0 ),
+ .Q(\blk00000003/sig000000fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000076b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015df ),
+ .Q(\blk00000003/sig000000fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000076a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015de ),
+ .Q(\blk00000003/sig000000ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000769 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015dd ),
+ .Q(\blk00000003/sig00000100 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000768 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015dc ),
+ .Q(\blk00000003/sig00000101 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000767 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015db ),
+ .Q(\blk00000003/sig00000102 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000766 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015da ),
+ .Q(\blk00000003/sig00000103 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000765 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d9 ),
+ .Q(\blk00000003/sig00000104 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000764 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d8 ),
+ .Q(\blk00000003/sig00000105 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000763 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d7 ),
+ .Q(\blk00000003/sig00000106 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000762 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d6 ),
+ .Q(\blk00000003/sig00000107 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000761 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d5 ),
+ .Q(\blk00000003/sig00000108 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk00000760 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d4 ),
+ .Q(\blk00000003/sig00000109 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000075f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d3 ),
+ .Q(\blk00000003/sig0000010a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075c/blk0000075e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000075c/sig000015d2 ),
+ .Q(\blk00000003/sig0000010b )
+ );
+ GND \blk00000003/blk0000075c/blk0000075d (
+ .G(\blk00000003/blk0000075c/sig000015d1 )
+ );
+
+// synthesis translate_on
+
+endmodule
+
+// synthesis translate_off
+
+`ifndef GLBL
+`define GLBL
+
+`timescale 1 ps / 1 ps
+
+module glbl ();
+
+ parameter ROC_WIDTH = 100000;
+ parameter TOC_WIDTH = 0;
+
+//-------- STARTUP Globals --------------
+ wire GSR;
+ wire GTS;
+ wire GWE;
+ wire PRLD;
+ tri1 p_up_tmp;
+ tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+ wire PROGB_GLBL;
+ wire CCLKO_GLBL;
+
+ reg GSR_int;
+ reg GTS_int;
+ reg PRLD_int;
+
+//-------- JTAG Globals --------------
+ wire JTAG_TDO_GLBL;
+ wire JTAG_TCK_GLBL;
+ wire JTAG_TDI_GLBL;
+ wire JTAG_TMS_GLBL;
+ wire JTAG_TRST_GLBL;
+
+ reg JTAG_CAPTURE_GLBL;
+ reg JTAG_RESET_GLBL;
+ reg JTAG_SHIFT_GLBL;
+ reg JTAG_UPDATE_GLBL;
+ reg JTAG_RUNTEST_GLBL;
+
+ reg JTAG_SEL1_GLBL = 0;
+ reg JTAG_SEL2_GLBL = 0 ;
+ reg JTAG_SEL3_GLBL = 0;
+ reg JTAG_SEL4_GLBL = 0;
+
+ reg JTAG_USER_TDO1_GLBL = 1'bz;
+ reg JTAG_USER_TDO2_GLBL = 1'bz;
+ reg JTAG_USER_TDO3_GLBL = 1'bz;
+ reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+ assign (weak1, weak0) GSR = GSR_int;
+ assign (weak1, weak0) GTS = GTS_int;
+ assign (weak1, weak0) PRLD = PRLD_int;
+
+ initial begin
+ GSR_int = 1'b1;
+ PRLD_int = 1'b1;
+ #(ROC_WIDTH)
+ GSR_int = 1'b0;
+ PRLD_int = 1'b0;
+ end
+
+ initial begin
+ GTS_int = 1'b1;
+ #(TOC_WIDTH)
+ GTS_int = 1'b0;
+ end
+
+endmodule
+
+`endif
+
+// synthesis translate_on
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec1_stub.v b/fpga/usrp3/top/x400/coregen_dsp/hbdec1_stub.v
new file mode 100644
index 000000000..474c370e8
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec1_stub.v
@@ -0,0 +1,20 @@
+module hbdec1(
+ sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk,
+ coef_ld, dout_1, dout_2, din_1, din_2, coef_din
+)
+/* synthesis syn_black_box black_box_pad_pin="sclr,ce,rfd,rdy,data_valid,coef_we,nd,clk,coef_ld,dout_1[47:0],dout_2[47:0],din_1[23:0],din_2[23:0],coef_din[17:0]" */;
+ input sclr;
+ input ce;
+ output rfd;
+ output rdy;
+ output data_valid;
+ input coef_we;
+ input nd;
+ input clk;
+ input coef_ld;
+ output [46:0]dout_1;
+ output [46:0]dout_2;
+ input [23:0]din_1;
+ input [23:0]din_2;
+ input [17:0]coef_din;
+endmodule
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec2.edif b/fpga/usrp3/top/x400/coregen_dsp/hbdec2.edif
new file mode 100644
index 000000000..031d238cf
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec2.edif
@@ -0,0 +1,43626 @@
+(edif hbdec2
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2018 8 29 14 59 39)
+ (program "Xilinx ngc2edif" (version "P_INT.20171106"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: hbdec2.ngc hbdec2.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY_D
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XORCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port LI
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FD
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell DSP48E1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port PATTERNBDETECT
+ (direction OUTPUT)
+ )
+ (port RSTC
+ (direction INPUT)
+ )
+ (port CEB1
+ (direction INPUT)
+ )
+ (port CEAD
+ (direction INPUT)
+ )
+ (port MULTSIGNOUT
+ (direction OUTPUT)
+ )
+ (port CEC
+ (direction INPUT)
+ )
+ (port RSTM
+ (direction INPUT)
+ )
+ (port MULTSIGNIN
+ (direction INPUT)
+ )
+ (port CEB2
+ (direction INPUT)
+ )
+ (port RSTCTRL
+ (direction INPUT)
+ )
+ (port CEP
+ (direction INPUT)
+ )
+ (port CARRYCASCOUT
+ (direction OUTPUT)
+ )
+ (port RSTA
+ (direction INPUT)
+ )
+ (port CECARRYIN
+ (direction INPUT)
+ )
+ (port UNDERFLOW
+ (direction OUTPUT)
+ )
+ (port PATTERNDETECT
+ (direction OUTPUT)
+ )
+ (port RSTALUMODE
+ (direction INPUT)
+ )
+ (port RSTALLCARRYIN
+ (direction INPUT)
+ )
+ (port CED
+ (direction INPUT)
+ )
+ (port RSTD
+ (direction INPUT)
+ )
+ (port CEALUMODE
+ (direction INPUT)
+ )
+ (port CEA2
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port CEA1
+ (direction INPUT)
+ )
+ (port RSTB
+ (direction INPUT)
+ )
+ (port OVERFLOW
+ (direction OUTPUT)
+ )
+ (port CECTRL
+ (direction INPUT)
+ )
+ (port CEM
+ (direction INPUT)
+ )
+ (port CARRYIN
+ (direction INPUT)
+ )
+ (port CARRYCASCIN
+ (direction INPUT)
+ )
+ (port RSTINMODE
+ (direction INPUT)
+ )
+ (port CEINMODE
+ (direction INPUT)
+ )
+ (port RSTP
+ (direction INPUT)
+ )
+ (port (rename ACOUT_29_ "ACOUT<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_28_ "ACOUT<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_27_ "ACOUT<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_26_ "ACOUT<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_25_ "ACOUT<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_24_ "ACOUT<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_23_ "ACOUT<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_22_ "ACOUT<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_21_ "ACOUT<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_20_ "ACOUT<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_19_ "ACOUT<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_18_ "ACOUT<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_17_ "ACOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_16_ "ACOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_15_ "ACOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_14_ "ACOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_13_ "ACOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_12_ "ACOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_11_ "ACOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_10_ "ACOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_9_ "ACOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_8_ "ACOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_7_ "ACOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_6_ "ACOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_5_ "ACOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_4_ "ACOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_3_ "ACOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_2_ "ACOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_1_ "ACOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_0_ "ACOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_6_ "OPMODE<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_5_ "OPMODE<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_4_ "OPMODE<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_3_ "OPMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_2_ "OPMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_1_ "OPMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_0_ "OPMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCIN_47_ "PCIN<47>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename PCIN_46_ "PCIN<46>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename PCIN_45_ "PCIN<45>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename PCIN_44_ "PCIN<44>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename PCIN_43_ "PCIN<43>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename PCIN_42_ "PCIN<42>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename PCIN_41_ "PCIN<41>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCIN_40_ "PCIN<40>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename PCIN_39_ "PCIN<39>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename PCIN_38_ "PCIN<38>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename PCIN_37_ "PCIN<37>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename PCIN_36_ "PCIN<36>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename PCIN_35_ "PCIN<35>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename PCIN_34_ "PCIN<34>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename PCIN_33_ "PCIN<33>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename PCIN_32_ "PCIN<32>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename PCIN_31_ "PCIN<31>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename PCIN_30_ "PCIN<30>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename PCIN_29_ "PCIN<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename PCIN_28_ "PCIN<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename PCIN_27_ "PCIN<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename PCIN_26_ "PCIN<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename PCIN_25_ "PCIN<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename PCIN_24_ "PCIN<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename PCIN_23_ "PCIN<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename PCIN_22_ "PCIN<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename PCIN_21_ "PCIN<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename PCIN_20_ "PCIN<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename PCIN_19_ "PCIN<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename PCIN_18_ "PCIN<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCIN_17_ "PCIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename PCIN_16_ "PCIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename PCIN_15_ "PCIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename PCIN_14_ "PCIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename PCIN_13_ "PCIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename PCIN_12_ "PCIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename PCIN_11_ "PCIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename PCIN_10_ "PCIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename PCIN_9_ "PCIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename PCIN_8_ "PCIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename PCIN_7_ "PCIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename PCIN_6_ "PCIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename PCIN_5_ "PCIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename PCIN_4_ "PCIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename PCIN_3_ "PCIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename PCIN_2_ "PCIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename PCIN_1_ "PCIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename PCIN_0_ "PCIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_3_ "ALUMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_2_ "ALUMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_1_ "ALUMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_0_ "ALUMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename C_47_ "C<47>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename C_46_ "C<46>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename C_45_ "C<45>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename C_44_ "C<44>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename C_43_ "C<43>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename C_42_ "C<42>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename C_41_ "C<41>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename C_40_ "C<40>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename C_39_ "C<39>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename C_38_ "C<38>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename C_37_ "C<37>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename C_36_ "C<36>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename C_35_ "C<35>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename C_34_ "C<34>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename C_33_ "C<33>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename C_32_ "C<32>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename C_31_ "C<31>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename C_30_ "C<30>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename C_29_ "C<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename C_28_ "C<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename C_27_ "C<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename C_26_ "C<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename C_25_ "C<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename C_24_ "C<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename C_23_ "C<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename C_22_ "C<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename C_21_ "C<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename C_20_ "C<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename C_19_ "C<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename C_18_ "C<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename C_17_ "C<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename C_16_ "C<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename C_15_ "C<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename C_14_ "C<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename C_13_ "C<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename C_12_ "C<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename C_11_ "C<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename C_10_ "C<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename C_9_ "C<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename C_8_ "C<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename C_7_ "C<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename C_6_ "C<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename C_5_ "C<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename C_4_ "C<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename C_3_ "C<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename C_2_ "C<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename C_1_ "C<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename C_0_ "C<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_3_ "CARRYOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_2_ "CARRYOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_1_ "CARRYOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_0_ "CARRYOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename INMODE_4_ "INMODE<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename INMODE_3_ "INMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename INMODE_2_ "INMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename INMODE_1_ "INMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename INMODE_0_ "INMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCIN_17_ "BCIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename BCIN_16_ "BCIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename BCIN_15_ "BCIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename BCIN_14_ "BCIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename BCIN_13_ "BCIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCIN_12_ "BCIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename BCIN_11_ "BCIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename BCIN_10_ "BCIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename BCIN_9_ "BCIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename BCIN_8_ "BCIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename BCIN_7_ "BCIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename BCIN_6_ "BCIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename BCIN_5_ "BCIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename BCIN_4_ "BCIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename BCIN_3_ "BCIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename BCIN_2_ "BCIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename BCIN_1_ "BCIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename BCIN_0_ "BCIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename B_17_ "B<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename B_16_ "B<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename B_15_ "B<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename B_14_ "B<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename B_13_ "B<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename B_12_ "B<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename B_11_ "B<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename B_10_ "B<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename B_9_ "B<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename B_8_ "B<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename B_7_ "B<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename B_6_ "B<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename B_5_ "B<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename B_4_ "B<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename B_3_ "B<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename B_2_ "B<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename B_1_ "B<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename B_0_ "B<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_17_ "BCOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_16_ "BCOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_15_ "BCOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_14_ "BCOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_13_ "BCOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_12_ "BCOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_11_ "BCOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_10_ "BCOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_9_ "BCOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_8_ "BCOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_7_ "BCOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_6_ "BCOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_5_ "BCOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_4_ "BCOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_3_ "BCOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_2_ "BCOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_1_ "BCOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_0_ "BCOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename D_24_ "D<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename D_23_ "D<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename D_22_ "D<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename D_21_ "D<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename D_20_ "D<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename D_19_ "D<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename D_18_ "D<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename D_17_ "D<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename D_16_ "D<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename D_15_ "D<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename D_14_ "D<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename D_13_ "D<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename D_12_ "D<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename D_11_ "D<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename D_10_ "D<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename D_9_ "D<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename D_8_ "D<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename D_7_ "D<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename D_6_ "D<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename D_5_ "D<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename D_4_ "D<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename D_3_ "D<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename D_2_ "D<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename D_1_ "D<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename D_0_ "D<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename P_47_ "P<47>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename P_46_ "P<46>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename P_45_ "P<45>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename P_44_ "P<44>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename P_43_ "P<43>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename P_42_ "P<42>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename P_41_ "P<41>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename P_40_ "P<40>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename P_39_ "P<39>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename P_38_ "P<38>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename P_37_ "P<37>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename P_36_ "P<36>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename P_35_ "P<35>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename P_34_ "P<34>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename P_33_ "P<33>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename P_32_ "P<32>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename P_31_ "P<31>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename P_30_ "P<30>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename P_29_ "P<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename P_28_ "P<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename P_27_ "P<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename P_26_ "P<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename P_25_ "P<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename P_24_ "P<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename P_23_ "P<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename P_22_ "P<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename P_21_ "P<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename P_20_ "P<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename P_19_ "P<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename P_18_ "P<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename P_17_ "P<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename P_16_ "P<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename P_15_ "P<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename P_14_ "P<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename P_13_ "P<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename P_12_ "P<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename P_11_ "P<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename P_10_ "P<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename P_9_ "P<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename P_8_ "P<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename P_7_ "P<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename P_6_ "P<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename P_5_ "P<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename P_4_ "P<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename P_3_ "P<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename P_2_ "P<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename P_1_ "P<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename P_0_ "P<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename A_29_ "A<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename A_28_ "A<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename A_27_ "A<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename A_26_ "A<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename A_25_ "A<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename A_24_ "A<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename A_23_ "A<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename A_22_ "A<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename A_21_ "A<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename A_20_ "A<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename A_19_ "A<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename A_18_ "A<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename A_17_ "A<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename A_16_ "A<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename A_15_ "A<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename A_14_ "A<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename A_13_ "A<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename A_12_ "A<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename A_11_ "A<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename A_10_ "A<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename A_9_ "A<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename A_8_ "A<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename A_7_ "A<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename A_6_ "A<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename A_5_ "A<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename A_4_ "A<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename A_3_ "A<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename A_2_ "A<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename A_1_ "A<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename A_0_ "A<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_47_ "PCOUT<47>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_46_ "PCOUT<46>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_45_ "PCOUT<45>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_44_ "PCOUT<44>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_43_ "PCOUT<43>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_42_ "PCOUT<42>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_41_ "PCOUT<41>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_40_ "PCOUT<40>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_39_ "PCOUT<39>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_38_ "PCOUT<38>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_37_ "PCOUT<37>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_36_ "PCOUT<36>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_35_ "PCOUT<35>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_34_ "PCOUT<34>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_33_ "PCOUT<33>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_32_ "PCOUT<32>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_31_ "PCOUT<31>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_30_ "PCOUT<30>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_29_ "PCOUT<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_28_ "PCOUT<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_27_ "PCOUT<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_26_ "PCOUT<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_25_ "PCOUT<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_24_ "PCOUT<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_23_ "PCOUT<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_22_ "PCOUT<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_21_ "PCOUT<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_20_ "PCOUT<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_19_ "PCOUT<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_18_ "PCOUT<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_17_ "PCOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_16_ "PCOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_15_ "PCOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_14_ "PCOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_13_ "PCOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_12_ "PCOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_11_ "PCOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_10_ "PCOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_9_ "PCOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_8_ "PCOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_7_ "PCOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_6_ "PCOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_5_ "PCOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_4_ "PCOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_3_ "PCOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_2_ "PCOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_1_ "PCOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_0_ "PCOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename ACIN_29_ "ACIN<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ACIN_28_ "ACIN<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ACIN_27_ "ACIN<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ACIN_26_ "ACIN<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename ACIN_25_ "ACIN<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename ACIN_24_ "ACIN<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename ACIN_23_ "ACIN<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename ACIN_22_ "ACIN<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename ACIN_21_ "ACIN<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename ACIN_20_ "ACIN<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename ACIN_19_ "ACIN<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename ACIN_18_ "ACIN<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename ACIN_17_ "ACIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename ACIN_16_ "ACIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename ACIN_15_ "ACIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename ACIN_14_ "ACIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename ACIN_13_ "ACIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename ACIN_12_ "ACIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename ACIN_11_ "ACIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename ACIN_10_ "ACIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename ACIN_9_ "ACIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename ACIN_8_ "ACIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename ACIN_7_ "ACIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename ACIN_6_ "ACIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename ACIN_5_ "ACIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename ACIN_4_ "ACIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename ACIN_3_ "ACIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename ACIN_2_ "ACIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename ACIN_1_ "ACIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename ACIN_0_ "ACIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_2_ "CARRYINSEL<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_1_ "CARRYINSEL<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_0_ "CARRYINSEL<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ )
+ )
+ )
+ (cell MUXCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDSE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDRE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDR
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell RAM32X1D
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A0
+ (direction INPUT)
+ )
+ (port A1
+ (direction INPUT)
+ )
+ (port A2
+ (direction INPUT)
+ )
+ (port A3
+ (direction INPUT)
+ )
+ (port A4
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port DPRA0
+ (direction INPUT)
+ )
+ (port DPRA1
+ (direction INPUT)
+ )
+ (port DPRA2
+ (direction INPUT)
+ )
+ (port DPRA3
+ (direction INPUT)
+ )
+ (port DPRA4
+ (direction INPUT)
+ )
+ (port WCLK
+ (direction INPUT)
+ )
+ (port WE
+ (direction INPUT)
+ )
+ (port SPO
+ (direction OUTPUT)
+ )
+ (port DPO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY_L
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell SRLC16E
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A0
+ (direction INPUT)
+ )
+ (port A1
+ (direction INPUT)
+ )
+ (port A2
+ (direction INPUT)
+ )
+ (port A3
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ (port Q15
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT5
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library hbdec2_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell (rename dpr_ram_5_blk000004a4 "dpr_ram_5")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000004a5
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004a6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004aa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ac
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ae
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004b9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004ba
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004bb
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004bc
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004bd
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004be
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004bf
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c0
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c1
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c3
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c4
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c5
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c6
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000004c9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000004ca
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000cc0
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A0 (instanceRef blk000004b8))
+ (portRef A0 (instanceRef blk000004b9))
+ (portRef A0 (instanceRef blk000004ba))
+ (portRef A0 (instanceRef blk000004bb))
+ (portRef A0 (instanceRef blk000004bc))
+ (portRef A0 (instanceRef blk000004bd))
+ (portRef A0 (instanceRef blk000004be))
+ (portRef A0 (instanceRef blk000004bf))
+ (portRef A0 (instanceRef blk000004c0))
+ (portRef A0 (instanceRef blk000004c1))
+ (portRef A0 (instanceRef blk000004c2))
+ (portRef A0 (instanceRef blk000004c3))
+ (portRef A0 (instanceRef blk000004c4))
+ (portRef A0 (instanceRef blk000004c5))
+ (portRef A0 (instanceRef blk000004c6))
+ (portRef A0 (instanceRef blk000004c7))
+ (portRef A0 (instanceRef blk000004c8))
+ (portRef A0 (instanceRef blk000004c9))
+ )
+ )
+ (net sig00000cc1
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk000004c9))
+ )
+ )
+ (net sig00000cc2
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk000004c8))
+ )
+ )
+ (net sig00000cc3
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk000004c7))
+ )
+ )
+ (net sig00000cc4
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk000004c6))
+ )
+ )
+ (net sig00000cc5
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk000004c5))
+ )
+ )
+ (net sig00000cc6
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk000004c4))
+ )
+ )
+ (net sig00000cc7
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk000004c1))
+ )
+ )
+ (net sig00000cc8
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk000004c3))
+ )
+ )
+ (net sig00000cc9
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk000004c2))
+ )
+ )
+ (net sig00000cca
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk000004c0))
+ )
+ )
+ (net sig00000ccb
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk000004bf))
+ )
+ )
+ (net sig00000ccc
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk000004be))
+ )
+ )
+ (net sig00000ccd
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk000004bd))
+ )
+ )
+ (net sig00000cce
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk000004bc))
+ )
+ )
+ (net sig00000ccf
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk000004bb))
+ )
+ )
+ (net sig00000cd0
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000004b8))
+ )
+ )
+ (net sig00000cd1
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk000004ba))
+ )
+ )
+ (net sig00000cd2
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000004b9))
+ )
+ )
+ (net sig00000cd3
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA0 (instanceRef blk000004b8))
+ (portRef DPRA0 (instanceRef blk000004b9))
+ (portRef DPRA0 (instanceRef blk000004ba))
+ (portRef DPRA0 (instanceRef blk000004bb))
+ (portRef DPRA0 (instanceRef blk000004bc))
+ (portRef DPRA0 (instanceRef blk000004bd))
+ (portRef DPRA0 (instanceRef blk000004be))
+ (portRef DPRA0 (instanceRef blk000004bf))
+ (portRef DPRA0 (instanceRef blk000004c0))
+ (portRef DPRA0 (instanceRef blk000004c1))
+ (portRef DPRA0 (instanceRef blk000004c2))
+ (portRef DPRA0 (instanceRef blk000004c3))
+ (portRef DPRA0 (instanceRef blk000004c4))
+ (portRef DPRA0 (instanceRef blk000004c5))
+ (portRef DPRA0 (instanceRef blk000004c6))
+ (portRef DPRA0 (instanceRef blk000004c7))
+ (portRef DPRA0 (instanceRef blk000004c8))
+ (portRef DPRA0 (instanceRef blk000004c9))
+ )
+ )
+ (net sig00000cd4
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk000004ca))
+ )
+ )
+ (net sig00000cd5
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000004a6))
+ (portRef CE (instanceRef blk000004a7))
+ (portRef CE (instanceRef blk000004a8))
+ (portRef CE (instanceRef blk000004a9))
+ (portRef CE (instanceRef blk000004aa))
+ (portRef CE (instanceRef blk000004ab))
+ (portRef CE (instanceRef blk000004ac))
+ (portRef CE (instanceRef blk000004ad))
+ (portRef CE (instanceRef blk000004ae))
+ (portRef CE (instanceRef blk000004af))
+ (portRef CE (instanceRef blk000004b0))
+ (portRef CE (instanceRef blk000004b1))
+ (portRef CE (instanceRef blk000004b2))
+ (portRef CE (instanceRef blk000004b3))
+ (portRef CE (instanceRef blk000004b4))
+ (portRef CE (instanceRef blk000004b5))
+ (portRef CE (instanceRef blk000004b6))
+ (portRef CE (instanceRef blk000004b7))
+ (portRef I0 (instanceRef blk000004ca))
+ )
+ )
+ (net sig00000cd6
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000004a6))
+ (portRef C (instanceRef blk000004a7))
+ (portRef C (instanceRef blk000004a8))
+ (portRef C (instanceRef blk000004a9))
+ (portRef C (instanceRef blk000004aa))
+ (portRef C (instanceRef blk000004ab))
+ (portRef C (instanceRef blk000004ac))
+ (portRef C (instanceRef blk000004ad))
+ (portRef C (instanceRef blk000004ae))
+ (portRef C (instanceRef blk000004af))
+ (portRef C (instanceRef blk000004b0))
+ (portRef C (instanceRef blk000004b1))
+ (portRef C (instanceRef blk000004b2))
+ (portRef C (instanceRef blk000004b3))
+ (portRef C (instanceRef blk000004b4))
+ (portRef C (instanceRef blk000004b5))
+ (portRef C (instanceRef blk000004b6))
+ (portRef C (instanceRef blk000004b7))
+ (portRef WCLK (instanceRef blk000004b8))
+ (portRef WCLK (instanceRef blk000004b9))
+ (portRef WCLK (instanceRef blk000004ba))
+ (portRef WCLK (instanceRef blk000004bb))
+ (portRef WCLK (instanceRef blk000004bc))
+ (portRef WCLK (instanceRef blk000004bd))
+ (portRef WCLK (instanceRef blk000004be))
+ (portRef WCLK (instanceRef blk000004bf))
+ (portRef WCLK (instanceRef blk000004c0))
+ (portRef WCLK (instanceRef blk000004c1))
+ (portRef WCLK (instanceRef blk000004c2))
+ (portRef WCLK (instanceRef blk000004c3))
+ (portRef WCLK (instanceRef blk000004c4))
+ (portRef WCLK (instanceRef blk000004c5))
+ (portRef WCLK (instanceRef blk000004c6))
+ (portRef WCLK (instanceRef blk000004c7))
+ (portRef WCLK (instanceRef blk000004c8))
+ (portRef WCLK (instanceRef blk000004c9))
+ )
+ )
+ (net sig00000cd7
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000004b7))
+ )
+ )
+ (net sig00000cd8
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk000004b6))
+ )
+ )
+ (net sig00000cd9
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk000004b5))
+ )
+ )
+ (net sig00000cda
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk000004b4))
+ )
+ )
+ (net sig00000cdb
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk000004b3))
+ )
+ )
+ (net sig00000cdc
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk000004b2))
+ )
+ )
+ (net sig00000cdd
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk000004b1))
+ )
+ )
+ (net sig00000cde
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk000004b0))
+ )
+ )
+ (net sig00000cdf
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk000004af))
+ )
+ )
+ (net sig00000ce0
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk000004ae))
+ )
+ )
+ (net sig00000ce1
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk000004ad))
+ )
+ )
+ (net sig00000ce2
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk000004ac))
+ )
+ )
+ (net sig00000ce3
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk000004ab))
+ )
+ )
+ (net sig00000ce4
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk000004aa))
+ )
+ )
+ (net sig00000ce5
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000004a9))
+ )
+ )
+ (net sig00000ce6
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000004a8))
+ )
+ )
+ (net sig00000ce7
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000004a7))
+ )
+ )
+ (net sig00000ce8
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000004a6))
+ )
+ )
+ (net sig00000ce9
+ (joined
+ (portRef G (instanceRef blk000004a5))
+ (portRef A1 (instanceRef blk000004b8))
+ (portRef A2 (instanceRef blk000004b8))
+ (portRef A3 (instanceRef blk000004b8))
+ (portRef A4 (instanceRef blk000004b8))
+ (portRef DPRA1 (instanceRef blk000004b8))
+ (portRef DPRA2 (instanceRef blk000004b8))
+ (portRef DPRA3 (instanceRef blk000004b8))
+ (portRef DPRA4 (instanceRef blk000004b8))
+ (portRef A1 (instanceRef blk000004b9))
+ (portRef A2 (instanceRef blk000004b9))
+ (portRef A3 (instanceRef blk000004b9))
+ (portRef A4 (instanceRef blk000004b9))
+ (portRef DPRA1 (instanceRef blk000004b9))
+ (portRef DPRA2 (instanceRef blk000004b9))
+ (portRef DPRA3 (instanceRef blk000004b9))
+ (portRef DPRA4 (instanceRef blk000004b9))
+ (portRef A1 (instanceRef blk000004ba))
+ (portRef A2 (instanceRef blk000004ba))
+ (portRef A3 (instanceRef blk000004ba))
+ (portRef A4 (instanceRef blk000004ba))
+ (portRef DPRA1 (instanceRef blk000004ba))
+ (portRef DPRA2 (instanceRef blk000004ba))
+ (portRef DPRA3 (instanceRef blk000004ba))
+ (portRef DPRA4 (instanceRef blk000004ba))
+ (portRef A1 (instanceRef blk000004bb))
+ (portRef A2 (instanceRef blk000004bb))
+ (portRef A3 (instanceRef blk000004bb))
+ (portRef A4 (instanceRef blk000004bb))
+ (portRef DPRA1 (instanceRef blk000004bb))
+ (portRef DPRA2 (instanceRef blk000004bb))
+ (portRef DPRA3 (instanceRef blk000004bb))
+ (portRef DPRA4 (instanceRef blk000004bb))
+ (portRef A1 (instanceRef blk000004bc))
+ (portRef A2 (instanceRef blk000004bc))
+ (portRef A3 (instanceRef blk000004bc))
+ (portRef A4 (instanceRef blk000004bc))
+ (portRef DPRA1 (instanceRef blk000004bc))
+ (portRef DPRA2 (instanceRef blk000004bc))
+ (portRef DPRA3 (instanceRef blk000004bc))
+ (portRef DPRA4 (instanceRef blk000004bc))
+ (portRef A1 (instanceRef blk000004bd))
+ (portRef A2 (instanceRef blk000004bd))
+ (portRef A3 (instanceRef blk000004bd))
+ (portRef A4 (instanceRef blk000004bd))
+ (portRef DPRA1 (instanceRef blk000004bd))
+ (portRef DPRA2 (instanceRef blk000004bd))
+ (portRef DPRA3 (instanceRef blk000004bd))
+ (portRef DPRA4 (instanceRef blk000004bd))
+ (portRef A1 (instanceRef blk000004be))
+ (portRef A2 (instanceRef blk000004be))
+ (portRef A3 (instanceRef blk000004be))
+ (portRef A4 (instanceRef blk000004be))
+ (portRef DPRA1 (instanceRef blk000004be))
+ (portRef DPRA2 (instanceRef blk000004be))
+ (portRef DPRA3 (instanceRef blk000004be))
+ (portRef DPRA4 (instanceRef blk000004be))
+ (portRef A1 (instanceRef blk000004bf))
+ (portRef A2 (instanceRef blk000004bf))
+ (portRef A3 (instanceRef blk000004bf))
+ (portRef A4 (instanceRef blk000004bf))
+ (portRef DPRA1 (instanceRef blk000004bf))
+ (portRef DPRA2 (instanceRef blk000004bf))
+ (portRef DPRA3 (instanceRef blk000004bf))
+ (portRef DPRA4 (instanceRef blk000004bf))
+ (portRef A1 (instanceRef blk000004c0))
+ (portRef A2 (instanceRef blk000004c0))
+ (portRef A3 (instanceRef blk000004c0))
+ (portRef A4 (instanceRef blk000004c0))
+ (portRef DPRA1 (instanceRef blk000004c0))
+ (portRef DPRA2 (instanceRef blk000004c0))
+ (portRef DPRA3 (instanceRef blk000004c0))
+ (portRef DPRA4 (instanceRef blk000004c0))
+ (portRef A1 (instanceRef blk000004c1))
+ (portRef A2 (instanceRef blk000004c1))
+ (portRef A3 (instanceRef blk000004c1))
+ (portRef A4 (instanceRef blk000004c1))
+ (portRef DPRA1 (instanceRef blk000004c1))
+ (portRef DPRA2 (instanceRef blk000004c1))
+ (portRef DPRA3 (instanceRef blk000004c1))
+ (portRef DPRA4 (instanceRef blk000004c1))
+ (portRef A1 (instanceRef blk000004c2))
+ (portRef A2 (instanceRef blk000004c2))
+ (portRef A3 (instanceRef blk000004c2))
+ (portRef A4 (instanceRef blk000004c2))
+ (portRef DPRA1 (instanceRef blk000004c2))
+ (portRef DPRA2 (instanceRef blk000004c2))
+ (portRef DPRA3 (instanceRef blk000004c2))
+ (portRef DPRA4 (instanceRef blk000004c2))
+ (portRef A1 (instanceRef blk000004c3))
+ (portRef A2 (instanceRef blk000004c3))
+ (portRef A3 (instanceRef blk000004c3))
+ (portRef A4 (instanceRef blk000004c3))
+ (portRef DPRA1 (instanceRef blk000004c3))
+ (portRef DPRA2 (instanceRef blk000004c3))
+ (portRef DPRA3 (instanceRef blk000004c3))
+ (portRef DPRA4 (instanceRef blk000004c3))
+ (portRef A1 (instanceRef blk000004c4))
+ (portRef A2 (instanceRef blk000004c4))
+ (portRef A3 (instanceRef blk000004c4))
+ (portRef A4 (instanceRef blk000004c4))
+ (portRef DPRA1 (instanceRef blk000004c4))
+ (portRef DPRA2 (instanceRef blk000004c4))
+ (portRef DPRA3 (instanceRef blk000004c4))
+ (portRef DPRA4 (instanceRef blk000004c4))
+ (portRef A1 (instanceRef blk000004c5))
+ (portRef A2 (instanceRef blk000004c5))
+ (portRef A3 (instanceRef blk000004c5))
+ (portRef A4 (instanceRef blk000004c5))
+ (portRef DPRA1 (instanceRef blk000004c5))
+ (portRef DPRA2 (instanceRef blk000004c5))
+ (portRef DPRA3 (instanceRef blk000004c5))
+ (portRef DPRA4 (instanceRef blk000004c5))
+ (portRef A1 (instanceRef blk000004c6))
+ (portRef A2 (instanceRef blk000004c6))
+ (portRef A3 (instanceRef blk000004c6))
+ (portRef A4 (instanceRef blk000004c6))
+ (portRef DPRA1 (instanceRef blk000004c6))
+ (portRef DPRA2 (instanceRef blk000004c6))
+ (portRef DPRA3 (instanceRef blk000004c6))
+ (portRef DPRA4 (instanceRef blk000004c6))
+ (portRef A1 (instanceRef blk000004c7))
+ (portRef A2 (instanceRef blk000004c7))
+ (portRef A3 (instanceRef blk000004c7))
+ (portRef A4 (instanceRef blk000004c7))
+ (portRef DPRA1 (instanceRef blk000004c7))
+ (portRef DPRA2 (instanceRef blk000004c7))
+ (portRef DPRA3 (instanceRef blk000004c7))
+ (portRef DPRA4 (instanceRef blk000004c7))
+ (portRef A1 (instanceRef blk000004c8))
+ (portRef A2 (instanceRef blk000004c8))
+ (portRef A3 (instanceRef blk000004c8))
+ (portRef A4 (instanceRef blk000004c8))
+ (portRef DPRA1 (instanceRef blk000004c8))
+ (portRef DPRA2 (instanceRef blk000004c8))
+ (portRef DPRA3 (instanceRef blk000004c8))
+ (portRef DPRA4 (instanceRef blk000004c8))
+ (portRef A1 (instanceRef blk000004c9))
+ (portRef A2 (instanceRef blk000004c9))
+ (portRef A3 (instanceRef blk000004c9))
+ (portRef A4 (instanceRef blk000004c9))
+ (portRef DPRA1 (instanceRef blk000004c9))
+ (portRef DPRA2 (instanceRef blk000004c9))
+ (portRef DPRA3 (instanceRef blk000004c9))
+ (portRef DPRA4 (instanceRef blk000004c9))
+ )
+ )
+ (net sig00000cea
+ (joined
+ (portRef D (instanceRef blk000004a6))
+ (portRef DPO (instanceRef blk000004b9))
+ )
+ )
+ (net sig00000ceb
+ (joined
+ (portRef D (instanceRef blk000004a7))
+ (portRef DPO (instanceRef blk000004ba))
+ )
+ )
+ (net sig00000cec
+ (joined
+ (portRef D (instanceRef blk000004a8))
+ (portRef DPO (instanceRef blk000004b8))
+ )
+ )
+ (net sig00000ced
+ (joined
+ (portRef D (instanceRef blk000004a9))
+ (portRef DPO (instanceRef blk000004bb))
+ )
+ )
+ (net sig00000cee
+ (joined
+ (portRef D (instanceRef blk000004aa))
+ (portRef DPO (instanceRef blk000004bc))
+ )
+ )
+ (net sig00000cef
+ (joined
+ (portRef D (instanceRef blk000004ab))
+ (portRef DPO (instanceRef blk000004bd))
+ )
+ )
+ (net sig00000cf0
+ (joined
+ (portRef D (instanceRef blk000004ac))
+ (portRef DPO (instanceRef blk000004be))
+ )
+ )
+ (net sig00000cf1
+ (joined
+ (portRef D (instanceRef blk000004ad))
+ (portRef DPO (instanceRef blk000004bf))
+ )
+ )
+ (net sig00000cf2
+ (joined
+ (portRef D (instanceRef blk000004ae))
+ (portRef DPO (instanceRef blk000004c0))
+ )
+ )
+ (net sig00000cf3
+ (joined
+ (portRef D (instanceRef blk000004af))
+ (portRef DPO (instanceRef blk000004c2))
+ )
+ )
+ (net sig00000cf4
+ (joined
+ (portRef D (instanceRef blk000004b0))
+ (portRef DPO (instanceRef blk000004c3))
+ )
+ )
+ (net sig00000cf5
+ (joined
+ (portRef D (instanceRef blk000004b1))
+ (portRef DPO (instanceRef blk000004c1))
+ )
+ )
+ (net sig00000cf6
+ (joined
+ (portRef D (instanceRef blk000004b2))
+ (portRef DPO (instanceRef blk000004c4))
+ )
+ )
+ (net sig00000cf7
+ (joined
+ (portRef D (instanceRef blk000004b3))
+ (portRef DPO (instanceRef blk000004c5))
+ )
+ )
+ (net sig00000cf8
+ (joined
+ (portRef D (instanceRef blk000004b4))
+ (portRef DPO (instanceRef blk000004c6))
+ )
+ )
+ (net sig00000cf9
+ (joined
+ (portRef D (instanceRef blk000004b5))
+ (portRef DPO (instanceRef blk000004c7))
+ )
+ )
+ (net sig00000cfa
+ (joined
+ (portRef D (instanceRef blk000004b6))
+ (portRef DPO (instanceRef blk000004c8))
+ )
+ )
+ (net sig00000cfb
+ (joined
+ (portRef D (instanceRef blk000004b7))
+ (portRef DPO (instanceRef blk000004c9))
+ )
+ )
+ (net sig00000cfc
+ (joined
+ (portRef WE (instanceRef blk000004b8))
+ (portRef WE (instanceRef blk000004b9))
+ (portRef WE (instanceRef blk000004ba))
+ (portRef WE (instanceRef blk000004bb))
+ (portRef WE (instanceRef blk000004bc))
+ (portRef WE (instanceRef blk000004bd))
+ (portRef WE (instanceRef blk000004be))
+ (portRef WE (instanceRef blk000004bf))
+ (portRef WE (instanceRef blk000004c0))
+ (portRef WE (instanceRef blk000004c1))
+ (portRef WE (instanceRef blk000004c2))
+ (portRef WE (instanceRef blk000004c3))
+ (portRef WE (instanceRef blk000004c4))
+ (portRef WE (instanceRef blk000004c5))
+ (portRef WE (instanceRef blk000004c6))
+ (portRef WE (instanceRef blk000004c7))
+ (portRef WE (instanceRef blk000004c8))
+ (portRef WE (instanceRef blk000004c9))
+ (portRef O (instanceRef blk000004ca))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_4_blk0000044d "dpr_ram_4")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000044e
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000044f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000450
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000451
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000452
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000453
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000454
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000455
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000456
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000457
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000458
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000459
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000045f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000460
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000461
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000462
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000009") (owner "Xilinx"))
+ )
+ (instance blk00000463
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000464
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000007") (owner "Xilinx"))
+ )
+ (instance blk00000465
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk00000466
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk00000467
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000C") (owner "Xilinx"))
+ )
+ (instance blk00000468
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000469
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk0000046a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000007") (owner "Xilinx"))
+ )
+ (instance blk0000046b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000046c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000009") (owner "Xilinx"))
+ )
+ (instance blk0000046d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000007") (owner "Xilinx"))
+ )
+ (instance blk0000046e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000002") (owner "Xilinx"))
+ )
+ (instance blk0000046f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000009") (owner "Xilinx"))
+ )
+ (instance blk00000470
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk00000471
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000D") (owner "Xilinx"))
+ )
+ (instance blk00000472
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk00000473
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000c7f
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A2 (instanceRef blk00000461))
+ (portRef A2 (instanceRef blk00000462))
+ (portRef A2 (instanceRef blk00000463))
+ (portRef A2 (instanceRef blk00000464))
+ (portRef A2 (instanceRef blk00000465))
+ (portRef A2 (instanceRef blk00000466))
+ (portRef A2 (instanceRef blk00000467))
+ (portRef A2 (instanceRef blk00000468))
+ (portRef A2 (instanceRef blk00000469))
+ (portRef A2 (instanceRef blk0000046a))
+ (portRef A2 (instanceRef blk0000046b))
+ (portRef A2 (instanceRef blk0000046c))
+ (portRef A2 (instanceRef blk0000046d))
+ (portRef A2 (instanceRef blk0000046e))
+ (portRef A2 (instanceRef blk0000046f))
+ (portRef A2 (instanceRef blk00000470))
+ (portRef A2 (instanceRef blk00000471))
+ (portRef A2 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c80
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A1 (instanceRef blk00000461))
+ (portRef A1 (instanceRef blk00000462))
+ (portRef A1 (instanceRef blk00000463))
+ (portRef A1 (instanceRef blk00000464))
+ (portRef A1 (instanceRef blk00000465))
+ (portRef A1 (instanceRef blk00000466))
+ (portRef A1 (instanceRef blk00000467))
+ (portRef A1 (instanceRef blk00000468))
+ (portRef A1 (instanceRef blk00000469))
+ (portRef A1 (instanceRef blk0000046a))
+ (portRef A1 (instanceRef blk0000046b))
+ (portRef A1 (instanceRef blk0000046c))
+ (portRef A1 (instanceRef blk0000046d))
+ (portRef A1 (instanceRef blk0000046e))
+ (portRef A1 (instanceRef blk0000046f))
+ (portRef A1 (instanceRef blk00000470))
+ (portRef A1 (instanceRef blk00000471))
+ (portRef A1 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c81
+ (joined
+ (portRef (member ADDRA 2))
+ (portRef A0 (instanceRef blk00000461))
+ (portRef A0 (instanceRef blk00000462))
+ (portRef A0 (instanceRef blk00000463))
+ (portRef A0 (instanceRef blk00000464))
+ (portRef A0 (instanceRef blk00000465))
+ (portRef A0 (instanceRef blk00000466))
+ (portRef A0 (instanceRef blk00000467))
+ (portRef A0 (instanceRef blk00000468))
+ (portRef A0 (instanceRef blk00000469))
+ (portRef A0 (instanceRef blk0000046a))
+ (portRef A0 (instanceRef blk0000046b))
+ (portRef A0 (instanceRef blk0000046c))
+ (portRef A0 (instanceRef blk0000046d))
+ (portRef A0 (instanceRef blk0000046e))
+ (portRef A0 (instanceRef blk0000046f))
+ (portRef A0 (instanceRef blk00000470))
+ (portRef A0 (instanceRef blk00000471))
+ (portRef A0 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c82
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c83
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000471))
+ )
+ )
+ (net sig00000c84
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk00000470))
+ )
+ )
+ (net sig00000c85
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk0000046f))
+ )
+ )
+ (net sig00000c86
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk0000046e))
+ )
+ )
+ (net sig00000c87
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk0000046d))
+ )
+ )
+ (net sig00000c88
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk0000046a))
+ )
+ )
+ (net sig00000c89
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk0000046c))
+ )
+ )
+ (net sig00000c8a
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk0000046b))
+ )
+ )
+ (net sig00000c8b
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000469))
+ )
+ )
+ (net sig00000c8c
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000468))
+ )
+ )
+ (net sig00000c8d
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000467))
+ )
+ )
+ (net sig00000c8e
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk00000466))
+ )
+ )
+ (net sig00000c8f
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000465))
+ )
+ )
+ (net sig00000c90
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000464))
+ )
+ )
+ (net sig00000c91
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk00000461))
+ )
+ )
+ (net sig00000c92
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000463))
+ )
+ )
+ (net sig00000c93
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk00000462))
+ )
+ )
+ (net sig00000c94
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA2 (instanceRef blk00000461))
+ (portRef DPRA2 (instanceRef blk00000462))
+ (portRef DPRA2 (instanceRef blk00000463))
+ (portRef DPRA2 (instanceRef blk00000464))
+ (portRef DPRA2 (instanceRef blk00000465))
+ (portRef DPRA2 (instanceRef blk00000466))
+ (portRef DPRA2 (instanceRef blk00000467))
+ (portRef DPRA2 (instanceRef blk00000468))
+ (portRef DPRA2 (instanceRef blk00000469))
+ (portRef DPRA2 (instanceRef blk0000046a))
+ (portRef DPRA2 (instanceRef blk0000046b))
+ (portRef DPRA2 (instanceRef blk0000046c))
+ (portRef DPRA2 (instanceRef blk0000046d))
+ (portRef DPRA2 (instanceRef blk0000046e))
+ (portRef DPRA2 (instanceRef blk0000046f))
+ (portRef DPRA2 (instanceRef blk00000470))
+ (portRef DPRA2 (instanceRef blk00000471))
+ (portRef DPRA2 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c95
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA1 (instanceRef blk00000461))
+ (portRef DPRA1 (instanceRef blk00000462))
+ (portRef DPRA1 (instanceRef blk00000463))
+ (portRef DPRA1 (instanceRef blk00000464))
+ (portRef DPRA1 (instanceRef blk00000465))
+ (portRef DPRA1 (instanceRef blk00000466))
+ (portRef DPRA1 (instanceRef blk00000467))
+ (portRef DPRA1 (instanceRef blk00000468))
+ (portRef DPRA1 (instanceRef blk00000469))
+ (portRef DPRA1 (instanceRef blk0000046a))
+ (portRef DPRA1 (instanceRef blk0000046b))
+ (portRef DPRA1 (instanceRef blk0000046c))
+ (portRef DPRA1 (instanceRef blk0000046d))
+ (portRef DPRA1 (instanceRef blk0000046e))
+ (portRef DPRA1 (instanceRef blk0000046f))
+ (portRef DPRA1 (instanceRef blk00000470))
+ (portRef DPRA1 (instanceRef blk00000471))
+ (portRef DPRA1 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c96
+ (joined
+ (portRef (member ADDRB 2))
+ (portRef DPRA0 (instanceRef blk00000461))
+ (portRef DPRA0 (instanceRef blk00000462))
+ (portRef DPRA0 (instanceRef blk00000463))
+ (portRef DPRA0 (instanceRef blk00000464))
+ (portRef DPRA0 (instanceRef blk00000465))
+ (portRef DPRA0 (instanceRef blk00000466))
+ (portRef DPRA0 (instanceRef blk00000467))
+ (portRef DPRA0 (instanceRef blk00000468))
+ (portRef DPRA0 (instanceRef blk00000469))
+ (portRef DPRA0 (instanceRef blk0000046a))
+ (portRef DPRA0 (instanceRef blk0000046b))
+ (portRef DPRA0 (instanceRef blk0000046c))
+ (portRef DPRA0 (instanceRef blk0000046d))
+ (portRef DPRA0 (instanceRef blk0000046e))
+ (portRef DPRA0 (instanceRef blk0000046f))
+ (portRef DPRA0 (instanceRef blk00000470))
+ (portRef DPRA0 (instanceRef blk00000471))
+ (portRef DPRA0 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c97
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000473))
+ )
+ )
+ (net sig00000c98
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000044f))
+ (portRef CE (instanceRef blk00000450))
+ (portRef CE (instanceRef blk00000451))
+ (portRef CE (instanceRef blk00000452))
+ (portRef CE (instanceRef blk00000453))
+ (portRef CE (instanceRef blk00000454))
+ (portRef CE (instanceRef blk00000455))
+ (portRef CE (instanceRef blk00000456))
+ (portRef CE (instanceRef blk00000457))
+ (portRef CE (instanceRef blk00000458))
+ (portRef CE (instanceRef blk00000459))
+ (portRef CE (instanceRef blk0000045a))
+ (portRef CE (instanceRef blk0000045b))
+ (portRef CE (instanceRef blk0000045c))
+ (portRef CE (instanceRef blk0000045d))
+ (portRef CE (instanceRef blk0000045e))
+ (portRef CE (instanceRef blk0000045f))
+ (portRef CE (instanceRef blk00000460))
+ (portRef I0 (instanceRef blk00000473))
+ )
+ )
+ (net sig00000c99
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000044f))
+ (portRef C (instanceRef blk00000450))
+ (portRef C (instanceRef blk00000451))
+ (portRef C (instanceRef blk00000452))
+ (portRef C (instanceRef blk00000453))
+ (portRef C (instanceRef blk00000454))
+ (portRef C (instanceRef blk00000455))
+ (portRef C (instanceRef blk00000456))
+ (portRef C (instanceRef blk00000457))
+ (portRef C (instanceRef blk00000458))
+ (portRef C (instanceRef blk00000459))
+ (portRef C (instanceRef blk0000045a))
+ (portRef C (instanceRef blk0000045b))
+ (portRef C (instanceRef blk0000045c))
+ (portRef C (instanceRef blk0000045d))
+ (portRef C (instanceRef blk0000045e))
+ (portRef C (instanceRef blk0000045f))
+ (portRef C (instanceRef blk00000460))
+ (portRef WCLK (instanceRef blk00000461))
+ (portRef WCLK (instanceRef blk00000462))
+ (portRef WCLK (instanceRef blk00000463))
+ (portRef WCLK (instanceRef blk00000464))
+ (portRef WCLK (instanceRef blk00000465))
+ (portRef WCLK (instanceRef blk00000466))
+ (portRef WCLK (instanceRef blk00000467))
+ (portRef WCLK (instanceRef blk00000468))
+ (portRef WCLK (instanceRef blk00000469))
+ (portRef WCLK (instanceRef blk0000046a))
+ (portRef WCLK (instanceRef blk0000046b))
+ (portRef WCLK (instanceRef blk0000046c))
+ (portRef WCLK (instanceRef blk0000046d))
+ (portRef WCLK (instanceRef blk0000046e))
+ (portRef WCLK (instanceRef blk0000046f))
+ (portRef WCLK (instanceRef blk00000470))
+ (portRef WCLK (instanceRef blk00000471))
+ (portRef WCLK (instanceRef blk00000472))
+ )
+ )
+ (net sig00000c9a
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk00000460))
+ )
+ )
+ (net sig00000c9b
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000045f))
+ )
+ )
+ (net sig00000c9c
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000045e))
+ )
+ )
+ (net sig00000c9d
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk0000045d))
+ )
+ )
+ (net sig00000c9e
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk0000045c))
+ )
+ )
+ (net sig00000c9f
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk0000045b))
+ )
+ )
+ (net sig00000ca0
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk0000045a))
+ )
+ )
+ (net sig00000ca1
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000459))
+ )
+ )
+ (net sig00000ca2
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000458))
+ )
+ )
+ (net sig00000ca3
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000457))
+ )
+ )
+ (net sig00000ca4
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000456))
+ )
+ )
+ (net sig00000ca5
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000455))
+ )
+ )
+ (net sig00000ca6
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000454))
+ )
+ )
+ (net sig00000ca7
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000453))
+ )
+ )
+ (net sig00000ca8
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk00000452))
+ )
+ )
+ (net sig00000ca9
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000451))
+ )
+ )
+ (net sig00000caa
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk00000450))
+ )
+ )
+ (net sig00000cab
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000044f))
+ )
+ )
+ (net sig00000cac
+ (joined
+ (portRef G (instanceRef blk0000044e))
+ (portRef A3 (instanceRef blk00000461))
+ (portRef A4 (instanceRef blk00000461))
+ (portRef DPRA3 (instanceRef blk00000461))
+ (portRef DPRA4 (instanceRef blk00000461))
+ (portRef A3 (instanceRef blk00000462))
+ (portRef A4 (instanceRef blk00000462))
+ (portRef DPRA3 (instanceRef blk00000462))
+ (portRef DPRA4 (instanceRef blk00000462))
+ (portRef A3 (instanceRef blk00000463))
+ (portRef A4 (instanceRef blk00000463))
+ (portRef DPRA3 (instanceRef blk00000463))
+ (portRef DPRA4 (instanceRef blk00000463))
+ (portRef A3 (instanceRef blk00000464))
+ (portRef A4 (instanceRef blk00000464))
+ (portRef DPRA3 (instanceRef blk00000464))
+ (portRef DPRA4 (instanceRef blk00000464))
+ (portRef A3 (instanceRef blk00000465))
+ (portRef A4 (instanceRef blk00000465))
+ (portRef DPRA3 (instanceRef blk00000465))
+ (portRef DPRA4 (instanceRef blk00000465))
+ (portRef A3 (instanceRef blk00000466))
+ (portRef A4 (instanceRef blk00000466))
+ (portRef DPRA3 (instanceRef blk00000466))
+ (portRef DPRA4 (instanceRef blk00000466))
+ (portRef A3 (instanceRef blk00000467))
+ (portRef A4 (instanceRef blk00000467))
+ (portRef DPRA3 (instanceRef blk00000467))
+ (portRef DPRA4 (instanceRef blk00000467))
+ (portRef A3 (instanceRef blk00000468))
+ (portRef A4 (instanceRef blk00000468))
+ (portRef DPRA3 (instanceRef blk00000468))
+ (portRef DPRA4 (instanceRef blk00000468))
+ (portRef A3 (instanceRef blk00000469))
+ (portRef A4 (instanceRef blk00000469))
+ (portRef DPRA3 (instanceRef blk00000469))
+ (portRef DPRA4 (instanceRef blk00000469))
+ (portRef A3 (instanceRef blk0000046a))
+ (portRef A4 (instanceRef blk0000046a))
+ (portRef DPRA3 (instanceRef blk0000046a))
+ (portRef DPRA4 (instanceRef blk0000046a))
+ (portRef A3 (instanceRef blk0000046b))
+ (portRef A4 (instanceRef blk0000046b))
+ (portRef DPRA3 (instanceRef blk0000046b))
+ (portRef DPRA4 (instanceRef blk0000046b))
+ (portRef A3 (instanceRef blk0000046c))
+ (portRef A4 (instanceRef blk0000046c))
+ (portRef DPRA3 (instanceRef blk0000046c))
+ (portRef DPRA4 (instanceRef blk0000046c))
+ (portRef A3 (instanceRef blk0000046d))
+ (portRef A4 (instanceRef blk0000046d))
+ (portRef DPRA3 (instanceRef blk0000046d))
+ (portRef DPRA4 (instanceRef blk0000046d))
+ (portRef A3 (instanceRef blk0000046e))
+ (portRef A4 (instanceRef blk0000046e))
+ (portRef DPRA3 (instanceRef blk0000046e))
+ (portRef DPRA4 (instanceRef blk0000046e))
+ (portRef A3 (instanceRef blk0000046f))
+ (portRef A4 (instanceRef blk0000046f))
+ (portRef DPRA3 (instanceRef blk0000046f))
+ (portRef DPRA4 (instanceRef blk0000046f))
+ (portRef A3 (instanceRef blk00000470))
+ (portRef A4 (instanceRef blk00000470))
+ (portRef DPRA3 (instanceRef blk00000470))
+ (portRef DPRA4 (instanceRef blk00000470))
+ (portRef A3 (instanceRef blk00000471))
+ (portRef A4 (instanceRef blk00000471))
+ (portRef DPRA3 (instanceRef blk00000471))
+ (portRef DPRA4 (instanceRef blk00000471))
+ (portRef A3 (instanceRef blk00000472))
+ (portRef A4 (instanceRef blk00000472))
+ (portRef DPRA3 (instanceRef blk00000472))
+ (portRef DPRA4 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000cad
+ (joined
+ (portRef D (instanceRef blk0000044f))
+ (portRef DPO (instanceRef blk00000462))
+ )
+ )
+ (net sig00000cae
+ (joined
+ (portRef D (instanceRef blk00000450))
+ (portRef DPO (instanceRef blk00000463))
+ )
+ )
+ (net sig00000caf
+ (joined
+ (portRef D (instanceRef blk00000451))
+ (portRef DPO (instanceRef blk00000461))
+ )
+ )
+ (net sig00000cb0
+ (joined
+ (portRef D (instanceRef blk00000452))
+ (portRef DPO (instanceRef blk00000464))
+ )
+ )
+ (net sig00000cb1
+ (joined
+ (portRef D (instanceRef blk00000453))
+ (portRef DPO (instanceRef blk00000465))
+ )
+ )
+ (net sig00000cb2
+ (joined
+ (portRef D (instanceRef blk00000454))
+ (portRef DPO (instanceRef blk00000466))
+ )
+ )
+ (net sig00000cb3
+ (joined
+ (portRef D (instanceRef blk00000455))
+ (portRef DPO (instanceRef blk00000467))
+ )
+ )
+ (net sig00000cb4
+ (joined
+ (portRef D (instanceRef blk00000456))
+ (portRef DPO (instanceRef blk00000468))
+ )
+ )
+ (net sig00000cb5
+ (joined
+ (portRef D (instanceRef blk00000457))
+ (portRef DPO (instanceRef blk00000469))
+ )
+ )
+ (net sig00000cb6
+ (joined
+ (portRef D (instanceRef blk00000458))
+ (portRef DPO (instanceRef blk0000046b))
+ )
+ )
+ (net sig00000cb7
+ (joined
+ (portRef D (instanceRef blk00000459))
+ (portRef DPO (instanceRef blk0000046c))
+ )
+ )
+ (net sig00000cb8
+ (joined
+ (portRef D (instanceRef blk0000045a))
+ (portRef DPO (instanceRef blk0000046a))
+ )
+ )
+ (net sig00000cb9
+ (joined
+ (portRef D (instanceRef blk0000045b))
+ (portRef DPO (instanceRef blk0000046d))
+ )
+ )
+ (net sig00000cba
+ (joined
+ (portRef D (instanceRef blk0000045c))
+ (portRef DPO (instanceRef blk0000046e))
+ )
+ )
+ (net sig00000cbb
+ (joined
+ (portRef D (instanceRef blk0000045d))
+ (portRef DPO (instanceRef blk0000046f))
+ )
+ )
+ (net sig00000cbc
+ (joined
+ (portRef D (instanceRef blk0000045e))
+ (portRef DPO (instanceRef blk00000470))
+ )
+ )
+ (net sig00000cbd
+ (joined
+ (portRef D (instanceRef blk0000045f))
+ (portRef DPO (instanceRef blk00000471))
+ )
+ )
+ (net sig00000cbe
+ (joined
+ (portRef D (instanceRef blk00000460))
+ (portRef DPO (instanceRef blk00000472))
+ )
+ )
+ (net sig00000cbf
+ (joined
+ (portRef WE (instanceRef blk00000461))
+ (portRef WE (instanceRef blk00000462))
+ (portRef WE (instanceRef blk00000463))
+ (portRef WE (instanceRef blk00000464))
+ (portRef WE (instanceRef blk00000465))
+ (portRef WE (instanceRef blk00000466))
+ (portRef WE (instanceRef blk00000467))
+ (portRef WE (instanceRef blk00000468))
+ (portRef WE (instanceRef blk00000469))
+ (portRef WE (instanceRef blk0000046a))
+ (portRef WE (instanceRef blk0000046b))
+ (portRef WE (instanceRef blk0000046c))
+ (portRef WE (instanceRef blk0000046d))
+ (portRef WE (instanceRef blk0000046e))
+ (portRef WE (instanceRef blk0000046f))
+ (portRef WE (instanceRef blk00000470))
+ (portRef WE (instanceRef blk00000471))
+ (portRef WE (instanceRef blk00000472))
+ (portRef O (instanceRef blk00000473))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_3_blk000003b4 "dpr_ram_3")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000003b5
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000003b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003da
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000F") (owner "Xilinx"))
+ )
+ (instance blk000003db
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000003dc
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000B") (owner "Xilinx"))
+ )
+ (instance blk000003dd
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000003de
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk000003df
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000A") (owner "Xilinx"))
+ )
+ (instance blk000003e0
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000C") (owner "Xilinx"))
+ )
+ (instance blk000003e1
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000006") (owner "Xilinx"))
+ )
+ (instance blk000003e2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000A") (owner "Xilinx"))
+ )
+ (instance blk000003e3
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000003e4
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000009") (owner "Xilinx"))
+ )
+ (instance blk000003e5
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000008") (owner "Xilinx"))
+ )
+ (instance blk000003e6
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000D") (owner "Xilinx"))
+ )
+ (instance blk000003e7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003e8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003e9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003ea
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003eb
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003ec
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000c1a
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A2 (instanceRef blk000003da))
+ (portRef A2 (instanceRef blk000003db))
+ (portRef A2 (instanceRef blk000003dc))
+ (portRef A2 (instanceRef blk000003dd))
+ (portRef A2 (instanceRef blk000003de))
+ (portRef A2 (instanceRef blk000003df))
+ (portRef A2 (instanceRef blk000003e0))
+ (portRef A2 (instanceRef blk000003e1))
+ (portRef A2 (instanceRef blk000003e2))
+ (portRef A2 (instanceRef blk000003e3))
+ (portRef A2 (instanceRef blk000003e4))
+ (portRef A2 (instanceRef blk000003e5))
+ (portRef A2 (instanceRef blk000003e6))
+ (portRef A2 (instanceRef blk000003e7))
+ (portRef A2 (instanceRef blk000003e8))
+ (portRef A2 (instanceRef blk000003e9))
+ (portRef A2 (instanceRef blk000003ea))
+ (portRef A2 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c1b
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A1 (instanceRef blk000003da))
+ (portRef A1 (instanceRef blk000003db))
+ (portRef A1 (instanceRef blk000003dc))
+ (portRef A1 (instanceRef blk000003dd))
+ (portRef A1 (instanceRef blk000003de))
+ (portRef A1 (instanceRef blk000003df))
+ (portRef A1 (instanceRef blk000003e0))
+ (portRef A1 (instanceRef blk000003e1))
+ (portRef A1 (instanceRef blk000003e2))
+ (portRef A1 (instanceRef blk000003e3))
+ (portRef A1 (instanceRef blk000003e4))
+ (portRef A1 (instanceRef blk000003e5))
+ (portRef A1 (instanceRef blk000003e6))
+ (portRef A1 (instanceRef blk000003e7))
+ (portRef A1 (instanceRef blk000003e8))
+ (portRef A1 (instanceRef blk000003e9))
+ (portRef A1 (instanceRef blk000003ea))
+ (portRef A1 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c1c
+ (joined
+ (portRef (member ADDRA 2))
+ (portRef A0 (instanceRef blk000003da))
+ (portRef A0 (instanceRef blk000003db))
+ (portRef A0 (instanceRef blk000003dc))
+ (portRef A0 (instanceRef blk000003dd))
+ (portRef A0 (instanceRef blk000003de))
+ (portRef A0 (instanceRef blk000003df))
+ (portRef A0 (instanceRef blk000003e0))
+ (portRef A0 (instanceRef blk000003e1))
+ (portRef A0 (instanceRef blk000003e2))
+ (portRef A0 (instanceRef blk000003e3))
+ (portRef A0 (instanceRef blk000003e4))
+ (portRef A0 (instanceRef blk000003e5))
+ (portRef A0 (instanceRef blk000003e6))
+ (portRef A0 (instanceRef blk000003e7))
+ (portRef A0 (instanceRef blk000003e8))
+ (portRef A0 (instanceRef blk000003e9))
+ (portRef A0 (instanceRef blk000003ea))
+ (portRef A0 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c1d
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c1e
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000c1f
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk000003e9))
+ )
+ )
+ (net sig00000c20
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk000003e8))
+ )
+ )
+ (net sig00000c21
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk000003e7))
+ )
+ )
+ (net sig00000c22
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk000003e6))
+ )
+ )
+ (net sig00000c23
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk000003e3))
+ )
+ )
+ (net sig00000c24
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk000003e5))
+ )
+ )
+ (net sig00000c25
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk000003e4))
+ )
+ )
+ (net sig00000c26
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk000003e2))
+ )
+ )
+ (net sig00000c27
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk000003e1))
+ )
+ )
+ (net sig00000c28
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk000003e0))
+ )
+ )
+ (net sig00000c29
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk000003df))
+ )
+ )
+ (net sig00000c2a
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk000003de))
+ )
+ )
+ (net sig00000c2b
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk000003dd))
+ )
+ )
+ (net sig00000c2c
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000003da))
+ )
+ )
+ (net sig00000c2d
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk000003dc))
+ )
+ )
+ (net sig00000c2e
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000003db))
+ )
+ )
+ (net sig00000c2f
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA2 (instanceRef blk000003da))
+ (portRef DPRA2 (instanceRef blk000003db))
+ (portRef DPRA2 (instanceRef blk000003dc))
+ (portRef DPRA2 (instanceRef blk000003dd))
+ (portRef DPRA2 (instanceRef blk000003de))
+ (portRef DPRA2 (instanceRef blk000003df))
+ (portRef DPRA2 (instanceRef blk000003e0))
+ (portRef DPRA2 (instanceRef blk000003e1))
+ (portRef DPRA2 (instanceRef blk000003e2))
+ (portRef DPRA2 (instanceRef blk000003e3))
+ (portRef DPRA2 (instanceRef blk000003e4))
+ (portRef DPRA2 (instanceRef blk000003e5))
+ (portRef DPRA2 (instanceRef blk000003e6))
+ (portRef DPRA2 (instanceRef blk000003e7))
+ (portRef DPRA2 (instanceRef blk000003e8))
+ (portRef DPRA2 (instanceRef blk000003e9))
+ (portRef DPRA2 (instanceRef blk000003ea))
+ (portRef DPRA2 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c30
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA1 (instanceRef blk000003da))
+ (portRef DPRA1 (instanceRef blk000003db))
+ (portRef DPRA1 (instanceRef blk000003dc))
+ (portRef DPRA1 (instanceRef blk000003dd))
+ (portRef DPRA1 (instanceRef blk000003de))
+ (portRef DPRA1 (instanceRef blk000003df))
+ (portRef DPRA1 (instanceRef blk000003e0))
+ (portRef DPRA1 (instanceRef blk000003e1))
+ (portRef DPRA1 (instanceRef blk000003e2))
+ (portRef DPRA1 (instanceRef blk000003e3))
+ (portRef DPRA1 (instanceRef blk000003e4))
+ (portRef DPRA1 (instanceRef blk000003e5))
+ (portRef DPRA1 (instanceRef blk000003e6))
+ (portRef DPRA1 (instanceRef blk000003e7))
+ (portRef DPRA1 (instanceRef blk000003e8))
+ (portRef DPRA1 (instanceRef blk000003e9))
+ (portRef DPRA1 (instanceRef blk000003ea))
+ (portRef DPRA1 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c31
+ (joined
+ (portRef (member ADDRB 2))
+ (portRef DPRA0 (instanceRef blk000003da))
+ (portRef DPRA0 (instanceRef blk000003db))
+ (portRef DPRA0 (instanceRef blk000003dc))
+ (portRef DPRA0 (instanceRef blk000003dd))
+ (portRef DPRA0 (instanceRef blk000003de))
+ (portRef DPRA0 (instanceRef blk000003df))
+ (portRef DPRA0 (instanceRef blk000003e0))
+ (portRef DPRA0 (instanceRef blk000003e1))
+ (portRef DPRA0 (instanceRef blk000003e2))
+ (portRef DPRA0 (instanceRef blk000003e3))
+ (portRef DPRA0 (instanceRef blk000003e4))
+ (portRef DPRA0 (instanceRef blk000003e5))
+ (portRef DPRA0 (instanceRef blk000003e6))
+ (portRef DPRA0 (instanceRef blk000003e7))
+ (portRef DPRA0 (instanceRef blk000003e8))
+ (portRef DPRA0 (instanceRef blk000003e9))
+ (portRef DPRA0 (instanceRef blk000003ea))
+ (portRef DPRA0 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c32
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk000003ec))
+ )
+ )
+ (net sig00000c33
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000003b6))
+ (portRef CE (instanceRef blk000003b7))
+ (portRef CE (instanceRef blk000003b8))
+ (portRef CE (instanceRef blk000003b9))
+ (portRef CE (instanceRef blk000003ba))
+ (portRef CE (instanceRef blk000003bb))
+ (portRef CE (instanceRef blk000003bc))
+ (portRef CE (instanceRef blk000003bd))
+ (portRef CE (instanceRef blk000003be))
+ (portRef CE (instanceRef blk000003bf))
+ (portRef CE (instanceRef blk000003c0))
+ (portRef CE (instanceRef blk000003c1))
+ (portRef CE (instanceRef blk000003c2))
+ (portRef CE (instanceRef blk000003c3))
+ (portRef CE (instanceRef blk000003c4))
+ (portRef CE (instanceRef blk000003c5))
+ (portRef CE (instanceRef blk000003c6))
+ (portRef CE (instanceRef blk000003c7))
+ (portRef CE (instanceRef blk000003c8))
+ (portRef CE (instanceRef blk000003c9))
+ (portRef CE (instanceRef blk000003ca))
+ (portRef CE (instanceRef blk000003cb))
+ (portRef CE (instanceRef blk000003cc))
+ (portRef CE (instanceRef blk000003cd))
+ (portRef CE (instanceRef blk000003ce))
+ (portRef CE (instanceRef blk000003cf))
+ (portRef CE (instanceRef blk000003d0))
+ (portRef CE (instanceRef blk000003d1))
+ (portRef CE (instanceRef blk000003d2))
+ (portRef CE (instanceRef blk000003d3))
+ (portRef CE (instanceRef blk000003d4))
+ (portRef CE (instanceRef blk000003d5))
+ (portRef CE (instanceRef blk000003d6))
+ (portRef CE (instanceRef blk000003d7))
+ (portRef CE (instanceRef blk000003d8))
+ (portRef CE (instanceRef blk000003d9))
+ (portRef I0 (instanceRef blk000003ec))
+ )
+ )
+ (net sig00000c34
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000003b6))
+ (portRef C (instanceRef blk000003b7))
+ (portRef C (instanceRef blk000003b8))
+ (portRef C (instanceRef blk000003b9))
+ (portRef C (instanceRef blk000003ba))
+ (portRef C (instanceRef blk000003bb))
+ (portRef C (instanceRef blk000003bc))
+ (portRef C (instanceRef blk000003bd))
+ (portRef C (instanceRef blk000003be))
+ (portRef C (instanceRef blk000003bf))
+ (portRef C (instanceRef blk000003c0))
+ (portRef C (instanceRef blk000003c1))
+ (portRef C (instanceRef blk000003c2))
+ (portRef C (instanceRef blk000003c3))
+ (portRef C (instanceRef blk000003c4))
+ (portRef C (instanceRef blk000003c5))
+ (portRef C (instanceRef blk000003c6))
+ (portRef C (instanceRef blk000003c7))
+ (portRef C (instanceRef blk000003c8))
+ (portRef C (instanceRef blk000003c9))
+ (portRef C (instanceRef blk000003ca))
+ (portRef C (instanceRef blk000003cb))
+ (portRef C (instanceRef blk000003cc))
+ (portRef C (instanceRef blk000003cd))
+ (portRef C (instanceRef blk000003ce))
+ (portRef C (instanceRef blk000003cf))
+ (portRef C (instanceRef blk000003d0))
+ (portRef C (instanceRef blk000003d1))
+ (portRef C (instanceRef blk000003d2))
+ (portRef C (instanceRef blk000003d3))
+ (portRef C (instanceRef blk000003d4))
+ (portRef C (instanceRef blk000003d5))
+ (portRef C (instanceRef blk000003d6))
+ (portRef C (instanceRef blk000003d7))
+ (portRef C (instanceRef blk000003d8))
+ (portRef C (instanceRef blk000003d9))
+ (portRef WCLK (instanceRef blk000003da))
+ (portRef WCLK (instanceRef blk000003db))
+ (portRef WCLK (instanceRef blk000003dc))
+ (portRef WCLK (instanceRef blk000003dd))
+ (portRef WCLK (instanceRef blk000003de))
+ (portRef WCLK (instanceRef blk000003df))
+ (portRef WCLK (instanceRef blk000003e0))
+ (portRef WCLK (instanceRef blk000003e1))
+ (portRef WCLK (instanceRef blk000003e2))
+ (portRef WCLK (instanceRef blk000003e3))
+ (portRef WCLK (instanceRef blk000003e4))
+ (portRef WCLK (instanceRef blk000003e5))
+ (portRef WCLK (instanceRef blk000003e6))
+ (portRef WCLK (instanceRef blk000003e7))
+ (portRef WCLK (instanceRef blk000003e8))
+ (portRef WCLK (instanceRef blk000003e9))
+ (portRef WCLK (instanceRef blk000003ea))
+ (portRef WCLK (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c35
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk000003c7))
+ )
+ )
+ (net sig00000c36
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk000003c6))
+ )
+ )
+ (net sig00000c37
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk000003c5))
+ )
+ )
+ (net sig00000c38
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk000003c4))
+ )
+ )
+ (net sig00000c39
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk000003c3))
+ )
+ )
+ (net sig00000c3a
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk000003c2))
+ )
+ )
+ (net sig00000c3b
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk000003c1))
+ )
+ )
+ (net sig00000c3c
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk000003c0))
+ )
+ )
+ (net sig00000c3d
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk000003bf))
+ )
+ )
+ (net sig00000c3e
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk000003be))
+ )
+ )
+ (net sig00000c3f
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk000003bd))
+ )
+ )
+ (net sig00000c40
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk000003bc))
+ )
+ )
+ (net sig00000c41
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk000003bb))
+ )
+ )
+ (net sig00000c42
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk000003ba))
+ )
+ )
+ (net sig00000c43
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk000003b9))
+ )
+ )
+ (net sig00000c44
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk000003b8))
+ )
+ )
+ (net sig00000c45
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk000003b7))
+ )
+ )
+ (net sig00000c46
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk000003b6))
+ )
+ )
+ (net sig00000c47
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000003d9))
+ )
+ )
+ (net sig00000c48
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk000003d8))
+ )
+ )
+ (net sig00000c49
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk000003d7))
+ )
+ )
+ (net sig00000c4a
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk000003d6))
+ )
+ )
+ (net sig00000c4b
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk000003d5))
+ )
+ )
+ (net sig00000c4c
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk000003d4))
+ )
+ )
+ (net sig00000c4d
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk000003d3))
+ )
+ )
+ (net sig00000c4e
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk000003d2))
+ )
+ )
+ (net sig00000c4f
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk000003d1))
+ )
+ )
+ (net sig00000c50
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk000003d0))
+ )
+ )
+ (net sig00000c51
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk000003cf))
+ )
+ )
+ (net sig00000c52
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk000003ce))
+ )
+ )
+ (net sig00000c53
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk000003cd))
+ )
+ )
+ (net sig00000c54
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk000003cc))
+ )
+ )
+ (net sig00000c55
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000003cb))
+ )
+ )
+ (net sig00000c56
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000003ca))
+ )
+ )
+ (net sig00000c57
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000003c9))
+ )
+ )
+ (net sig00000c58
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000003c8))
+ )
+ )
+ (net sig00000c59
+ (joined
+ (portRef G (instanceRef blk000003b5))
+ (portRef A3 (instanceRef blk000003da))
+ (portRef A4 (instanceRef blk000003da))
+ (portRef DPRA3 (instanceRef blk000003da))
+ (portRef DPRA4 (instanceRef blk000003da))
+ (portRef A3 (instanceRef blk000003db))
+ (portRef A4 (instanceRef blk000003db))
+ (portRef DPRA3 (instanceRef blk000003db))
+ (portRef DPRA4 (instanceRef blk000003db))
+ (portRef A3 (instanceRef blk000003dc))
+ (portRef A4 (instanceRef blk000003dc))
+ (portRef DPRA3 (instanceRef blk000003dc))
+ (portRef DPRA4 (instanceRef blk000003dc))
+ (portRef A3 (instanceRef blk000003dd))
+ (portRef A4 (instanceRef blk000003dd))
+ (portRef DPRA3 (instanceRef blk000003dd))
+ (portRef DPRA4 (instanceRef blk000003dd))
+ (portRef A3 (instanceRef blk000003de))
+ (portRef A4 (instanceRef blk000003de))
+ (portRef DPRA3 (instanceRef blk000003de))
+ (portRef DPRA4 (instanceRef blk000003de))
+ (portRef A3 (instanceRef blk000003df))
+ (portRef A4 (instanceRef blk000003df))
+ (portRef DPRA3 (instanceRef blk000003df))
+ (portRef DPRA4 (instanceRef blk000003df))
+ (portRef A3 (instanceRef blk000003e0))
+ (portRef A4 (instanceRef blk000003e0))
+ (portRef DPRA3 (instanceRef blk000003e0))
+ (portRef DPRA4 (instanceRef blk000003e0))
+ (portRef A3 (instanceRef blk000003e1))
+ (portRef A4 (instanceRef blk000003e1))
+ (portRef DPRA3 (instanceRef blk000003e1))
+ (portRef DPRA4 (instanceRef blk000003e1))
+ (portRef A3 (instanceRef blk000003e2))
+ (portRef A4 (instanceRef blk000003e2))
+ (portRef DPRA3 (instanceRef blk000003e2))
+ (portRef DPRA4 (instanceRef blk000003e2))
+ (portRef A3 (instanceRef blk000003e3))
+ (portRef A4 (instanceRef blk000003e3))
+ (portRef DPRA3 (instanceRef blk000003e3))
+ (portRef DPRA4 (instanceRef blk000003e3))
+ (portRef A3 (instanceRef blk000003e4))
+ (portRef A4 (instanceRef blk000003e4))
+ (portRef DPRA3 (instanceRef blk000003e4))
+ (portRef DPRA4 (instanceRef blk000003e4))
+ (portRef A3 (instanceRef blk000003e5))
+ (portRef A4 (instanceRef blk000003e5))
+ (portRef DPRA3 (instanceRef blk000003e5))
+ (portRef DPRA4 (instanceRef blk000003e5))
+ (portRef A3 (instanceRef blk000003e6))
+ (portRef A4 (instanceRef blk000003e6))
+ (portRef DPRA3 (instanceRef blk000003e6))
+ (portRef DPRA4 (instanceRef blk000003e6))
+ (portRef A3 (instanceRef blk000003e7))
+ (portRef A4 (instanceRef blk000003e7))
+ (portRef DPRA3 (instanceRef blk000003e7))
+ (portRef DPRA4 (instanceRef blk000003e7))
+ (portRef A3 (instanceRef blk000003e8))
+ (portRef A4 (instanceRef blk000003e8))
+ (portRef DPRA3 (instanceRef blk000003e8))
+ (portRef DPRA4 (instanceRef blk000003e8))
+ (portRef A3 (instanceRef blk000003e9))
+ (portRef A4 (instanceRef blk000003e9))
+ (portRef DPRA3 (instanceRef blk000003e9))
+ (portRef DPRA4 (instanceRef blk000003e9))
+ (portRef A3 (instanceRef blk000003ea))
+ (portRef A4 (instanceRef blk000003ea))
+ (portRef DPRA3 (instanceRef blk000003ea))
+ (portRef DPRA4 (instanceRef blk000003ea))
+ (portRef A3 (instanceRef blk000003eb))
+ (portRef A4 (instanceRef blk000003eb))
+ (portRef DPRA3 (instanceRef blk000003eb))
+ (portRef DPRA4 (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c5a
+ (joined
+ (portRef D (instanceRef blk000003b6))
+ (portRef SPO (instanceRef blk000003db))
+ )
+ )
+ (net sig00000c5b
+ (joined
+ (portRef D (instanceRef blk000003b7))
+ (portRef SPO (instanceRef blk000003dc))
+ )
+ )
+ (net sig00000c5c
+ (joined
+ (portRef D (instanceRef blk000003b8))
+ (portRef SPO (instanceRef blk000003da))
+ )
+ )
+ (net sig00000c5d
+ (joined
+ (portRef D (instanceRef blk000003b9))
+ (portRef SPO (instanceRef blk000003dd))
+ )
+ )
+ (net sig00000c5e
+ (joined
+ (portRef D (instanceRef blk000003ba))
+ (portRef SPO (instanceRef blk000003de))
+ )
+ )
+ (net sig00000c5f
+ (joined
+ (portRef D (instanceRef blk000003bb))
+ (portRef SPO (instanceRef blk000003df))
+ )
+ )
+ (net sig00000c60
+ (joined
+ (portRef D (instanceRef blk000003bc))
+ (portRef SPO (instanceRef blk000003e0))
+ )
+ )
+ (net sig00000c61
+ (joined
+ (portRef D (instanceRef blk000003bd))
+ (portRef SPO (instanceRef blk000003e1))
+ )
+ )
+ (net sig00000c62
+ (joined
+ (portRef D (instanceRef blk000003be))
+ (portRef SPO (instanceRef blk000003e2))
+ )
+ )
+ (net sig00000c63
+ (joined
+ (portRef D (instanceRef blk000003bf))
+ (portRef SPO (instanceRef blk000003e4))
+ )
+ )
+ (net sig00000c64
+ (joined
+ (portRef D (instanceRef blk000003c0))
+ (portRef SPO (instanceRef blk000003e5))
+ )
+ )
+ (net sig00000c65
+ (joined
+ (portRef D (instanceRef blk000003c1))
+ (portRef SPO (instanceRef blk000003e3))
+ )
+ )
+ (net sig00000c66
+ (joined
+ (portRef D (instanceRef blk000003c2))
+ (portRef SPO (instanceRef blk000003e6))
+ )
+ )
+ (net sig00000c67
+ (joined
+ (portRef D (instanceRef blk000003c3))
+ (portRef SPO (instanceRef blk000003e7))
+ )
+ )
+ (net sig00000c68
+ (joined
+ (portRef D (instanceRef blk000003c4))
+ (portRef SPO (instanceRef blk000003e8))
+ )
+ )
+ (net sig00000c69
+ (joined
+ (portRef D (instanceRef blk000003c5))
+ (portRef SPO (instanceRef blk000003e9))
+ )
+ )
+ (net sig00000c6a
+ (joined
+ (portRef D (instanceRef blk000003c6))
+ (portRef SPO (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000c6b
+ (joined
+ (portRef D (instanceRef blk000003c7))
+ (portRef SPO (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c6c
+ (joined
+ (portRef D (instanceRef blk000003c8))
+ (portRef DPO (instanceRef blk000003db))
+ )
+ )
+ (net sig00000c6d
+ (joined
+ (portRef D (instanceRef blk000003c9))
+ (portRef DPO (instanceRef blk000003dc))
+ )
+ )
+ (net sig00000c6e
+ (joined
+ (portRef D (instanceRef blk000003ca))
+ (portRef DPO (instanceRef blk000003da))
+ )
+ )
+ (net sig00000c6f
+ (joined
+ (portRef D (instanceRef blk000003cb))
+ (portRef DPO (instanceRef blk000003dd))
+ )
+ )
+ (net sig00000c70
+ (joined
+ (portRef D (instanceRef blk000003cc))
+ (portRef DPO (instanceRef blk000003de))
+ )
+ )
+ (net sig00000c71
+ (joined
+ (portRef D (instanceRef blk000003cd))
+ (portRef DPO (instanceRef blk000003df))
+ )
+ )
+ (net sig00000c72
+ (joined
+ (portRef D (instanceRef blk000003ce))
+ (portRef DPO (instanceRef blk000003e0))
+ )
+ )
+ (net sig00000c73
+ (joined
+ (portRef D (instanceRef blk000003cf))
+ (portRef DPO (instanceRef blk000003e1))
+ )
+ )
+ (net sig00000c74
+ (joined
+ (portRef D (instanceRef blk000003d0))
+ (portRef DPO (instanceRef blk000003e2))
+ )
+ )
+ (net sig00000c75
+ (joined
+ (portRef D (instanceRef blk000003d1))
+ (portRef DPO (instanceRef blk000003e4))
+ )
+ )
+ (net sig00000c76
+ (joined
+ (portRef D (instanceRef blk000003d2))
+ (portRef DPO (instanceRef blk000003e5))
+ )
+ )
+ (net sig00000c77
+ (joined
+ (portRef D (instanceRef blk000003d3))
+ (portRef DPO (instanceRef blk000003e3))
+ )
+ )
+ (net sig00000c78
+ (joined
+ (portRef D (instanceRef blk000003d4))
+ (portRef DPO (instanceRef blk000003e6))
+ )
+ )
+ (net sig00000c79
+ (joined
+ (portRef D (instanceRef blk000003d5))
+ (portRef DPO (instanceRef blk000003e7))
+ )
+ )
+ (net sig00000c7a
+ (joined
+ (portRef D (instanceRef blk000003d6))
+ (portRef DPO (instanceRef blk000003e8))
+ )
+ )
+ (net sig00000c7b
+ (joined
+ (portRef D (instanceRef blk000003d7))
+ (portRef DPO (instanceRef blk000003e9))
+ )
+ )
+ (net sig00000c7c
+ (joined
+ (portRef D (instanceRef blk000003d8))
+ (portRef DPO (instanceRef blk000003ea))
+ )
+ )
+ (net sig00000c7d
+ (joined
+ (portRef D (instanceRef blk000003d9))
+ (portRef DPO (instanceRef blk000003eb))
+ )
+ )
+ (net sig00000c7e
+ (joined
+ (portRef WE (instanceRef blk000003da))
+ (portRef WE (instanceRef blk000003db))
+ (portRef WE (instanceRef blk000003dc))
+ (portRef WE (instanceRef blk000003dd))
+ (portRef WE (instanceRef blk000003de))
+ (portRef WE (instanceRef blk000003df))
+ (portRef WE (instanceRef blk000003e0))
+ (portRef WE (instanceRef blk000003e1))
+ (portRef WE (instanceRef blk000003e2))
+ (portRef WE (instanceRef blk000003e3))
+ (portRef WE (instanceRef blk000003e4))
+ (portRef WE (instanceRef blk000003e5))
+ (portRef WE (instanceRef blk000003e6))
+ (portRef WE (instanceRef blk000003e7))
+ (portRef WE (instanceRef blk000003e8))
+ (portRef WE (instanceRef blk000003e9))
+ (portRef WE (instanceRef blk000003ea))
+ (portRef WE (instanceRef blk000003eb))
+ (portRef O (instanceRef blk000003ec))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_2_blk0000037b "dpr_ram_2")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000037c
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000037d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000380
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000381
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000382
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000383
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000384
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000385
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000386
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000387
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000388
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000389
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000038f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000390
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000391
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000392
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000393
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000394
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000395
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000396
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000397
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000398
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000399
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000039f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a1
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000008") (owner "Xilinx"))
+ )
+ (instance blk000003a2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000008") (owner "Xilinx"))
+ )
+ (instance blk000003a3
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000B") (owner "Xilinx"))
+ )
+ (instance blk000003a4
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000004") (owner "Xilinx"))
+ )
+ (instance blk000003a5
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000008") (owner "Xilinx"))
+ )
+ (instance blk000003a6
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk000003a7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000F") (owner "Xilinx"))
+ )
+ (instance blk000003a8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000003") (owner "Xilinx"))
+ )
+ (instance blk000003a9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000009") (owner "Xilinx"))
+ )
+ (instance blk000003aa
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003ab
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000D") (owner "Xilinx"))
+ )
+ (instance blk000003ac
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003ad
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003ae
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003af
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003b0
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003b1
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003b2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000005") (owner "Xilinx"))
+ )
+ (instance blk000003b3
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000bb5
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A2 (instanceRef blk000003a1))
+ (portRef A2 (instanceRef blk000003a2))
+ (portRef A2 (instanceRef blk000003a3))
+ (portRef A2 (instanceRef blk000003a4))
+ (portRef A2 (instanceRef blk000003a5))
+ (portRef A2 (instanceRef blk000003a6))
+ (portRef A2 (instanceRef blk000003a7))
+ (portRef A2 (instanceRef blk000003a8))
+ (portRef A2 (instanceRef blk000003a9))
+ (portRef A2 (instanceRef blk000003aa))
+ (portRef A2 (instanceRef blk000003ab))
+ (portRef A2 (instanceRef blk000003ac))
+ (portRef A2 (instanceRef blk000003ad))
+ (portRef A2 (instanceRef blk000003ae))
+ (portRef A2 (instanceRef blk000003af))
+ (portRef A2 (instanceRef blk000003b0))
+ (portRef A2 (instanceRef blk000003b1))
+ (portRef A2 (instanceRef blk000003b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000bb6
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A1 (instanceRef blk000003a1))
+ (portRef A1 (instanceRef blk000003a2))
+ (portRef A1 (instanceRef blk000003a3))
+ (portRef A1 (instanceRef blk000003a4))
+ (portRef A1 (instanceRef blk000003a5))
+ (portRef A1 (instanceRef blk000003a6))
+ (portRef A1 (instanceRef blk000003a7))
+ (portRef A1 (instanceRef blk000003a8))
+ (portRef A1 (instanceRef blk000003a9))
+ (portRef A1 (instanceRef blk000003aa))
+ (portRef A1 (instanceRef blk000003ab))
+ (portRef A1 (instanceRef blk000003ac))
+ (portRef A1 (instanceRef blk000003ad))
+ (portRef A1 (instanceRef blk000003ae))
+ (portRef A1 (instanceRef blk000003af))
+ (portRef A1 (instanceRef blk000003b0))
+ (portRef A1 (instanceRef blk000003b1))
+ (portRef A1 (instanceRef blk000003b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000bb7
+ (joined
+ (portRef (member ADDRA 2))
+ (portRef A0 (instanceRef blk000003a1))
+ (portRef A0 (instanceRef blk000003a2))
+ (portRef A0 (instanceRef blk000003a3))
+ (portRef A0 (instanceRef blk000003a4))
+ (portRef A0 (instanceRef blk000003a5))
+ (portRef A0 (instanceRef blk000003a6))
+ (portRef A0 (instanceRef blk000003a7))
+ (portRef A0 (instanceRef blk000003a8))
+ (portRef A0 (instanceRef blk000003a9))
+ (portRef A0 (instanceRef blk000003aa))
+ (portRef A0 (instanceRef blk000003ab))
+ (portRef A0 (instanceRef blk000003ac))
+ (portRef A0 (instanceRef blk000003ad))
+ (portRef A0 (instanceRef blk000003ae))
+ (portRef A0 (instanceRef blk000003af))
+ (portRef A0 (instanceRef blk000003b0))
+ (portRef A0 (instanceRef blk000003b1))
+ (portRef A0 (instanceRef blk000003b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000bb8
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk000003b2))
+ )
+ )
+ (net sig00000bb9
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk000003b1))
+ )
+ )
+ (net sig00000bba
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk000003b0))
+ )
+ )
+ (net sig00000bbb
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk000003af))
+ )
+ )
+ (net sig00000bbc
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk000003ae))
+ )
+ )
+ (net sig00000bbd
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk000003ad))
+ )
+ )
+ (net sig00000bbe
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk000003aa))
+ )
+ )
+ (net sig00000bbf
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk000003ac))
+ )
+ )
+ (net sig00000bc0
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk000003ab))
+ )
+ )
+ (net sig00000bc1
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk000003a9))
+ )
+ )
+ (net sig00000bc2
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk000003a8))
+ )
+ )
+ (net sig00000bc3
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk000003a7))
+ )
+ )
+ (net sig00000bc4
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk000003a6))
+ )
+ )
+ (net sig00000bc5
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk000003a5))
+ )
+ )
+ (net sig00000bc6
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk000003a4))
+ )
+ )
+ (net sig00000bc7
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000003a1))
+ )
+ )
+ (net sig00000bc8
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk000003a3))
+ )
+ )
+ (net sig00000bc9
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000003a2))
+ )
+ )
+ (net sig00000bca
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA2 (instanceRef blk000003a1))
+ (portRef DPRA2 (instanceRef blk000003a2))
+ (portRef DPRA2 (instanceRef blk000003a3))
+ (portRef DPRA2 (instanceRef blk000003a4))
+ (portRef DPRA2 (instanceRef blk000003a5))
+ (portRef DPRA2 (instanceRef blk000003a6))
+ (portRef DPRA2 (instanceRef blk000003a7))
+ (portRef DPRA2 (instanceRef blk000003a8))
+ (portRef DPRA2 (instanceRef blk000003a9))
+ (portRef DPRA2 (instanceRef blk000003aa))
+ (portRef DPRA2 (instanceRef blk000003ab))
+ (portRef DPRA2 (instanceRef blk000003ac))
+ (portRef DPRA2 (instanceRef blk000003ad))
+ (portRef DPRA2 (instanceRef blk000003ae))
+ (portRef DPRA2 (instanceRef blk000003af))
+ (portRef DPRA2 (instanceRef blk000003b0))
+ (portRef DPRA2 (instanceRef blk000003b1))
+ (portRef DPRA2 (instanceRef blk000003b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000bcb
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA1 (instanceRef blk000003a1))
+ (portRef DPRA1 (instanceRef blk000003a2))
+ (portRef DPRA1 (instanceRef blk000003a3))
+ (portRef DPRA1 (instanceRef blk000003a4))
+ (portRef DPRA1 (instanceRef blk000003a5))
+ (portRef DPRA1 (instanceRef blk000003a6))
+ (portRef DPRA1 (instanceRef blk000003a7))
+ (portRef DPRA1 (instanceRef blk000003a8))
+ (portRef DPRA1 (instanceRef blk000003a9))
+ (portRef DPRA1 (instanceRef blk000003aa))
+ (portRef DPRA1 (instanceRef blk000003ab))
+ (portRef DPRA1 (instanceRef blk000003ac))
+ (portRef DPRA1 (instanceRef blk000003ad))
+ (portRef DPRA1 (instanceRef blk000003ae))
+ (portRef DPRA1 (instanceRef blk000003af))
+ (portRef DPRA1 (instanceRef blk000003b0))
+ (portRef DPRA1 (instanceRef blk000003b1))
+ (portRef DPRA1 (instanceRef blk000003b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000bcc
+ (joined
+ (portRef (member ADDRB 2))
+ (portRef DPRA0 (instanceRef blk000003a1))
+ (portRef DPRA0 (instanceRef blk000003a2))
+ (portRef DPRA0 (instanceRef blk000003a3))
+ (portRef DPRA0 (instanceRef blk000003a4))
+ (portRef DPRA0 (instanceRef blk000003a5))
+ (portRef DPRA0 (instanceRef blk000003a6))
+ (portRef DPRA0 (instanceRef blk000003a7))
+ (portRef DPRA0 (instanceRef blk000003a8))
+ (portRef DPRA0 (instanceRef blk000003a9))
+ (portRef DPRA0 (instanceRef blk000003aa))
+ (portRef DPRA0 (instanceRef blk000003ab))
+ (portRef DPRA0 (instanceRef blk000003ac))
+ (portRef DPRA0 (instanceRef blk000003ad))
+ (portRef DPRA0 (instanceRef blk000003ae))
+ (portRef DPRA0 (instanceRef blk000003af))
+ (portRef DPRA0 (instanceRef blk000003b0))
+ (portRef DPRA0 (instanceRef blk000003b1))
+ (portRef DPRA0 (instanceRef blk000003b2))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000bcd
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk000003b3))
+ )
+ )
+ (net sig00000bce
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000037d))
+ (portRef CE (instanceRef blk0000037e))
+ (portRef CE (instanceRef blk0000037f))
+ (portRef CE (instanceRef blk00000380))
+ (portRef CE (instanceRef blk00000381))
+ (portRef CE (instanceRef blk00000382))
+ (portRef CE (instanceRef blk00000383))
+ (portRef CE (instanceRef blk00000384))
+ (portRef CE (instanceRef blk00000385))
+ (portRef CE (instanceRef blk00000386))
+ (portRef CE (instanceRef blk00000387))
+ (portRef CE (instanceRef blk00000388))
+ (portRef CE (instanceRef blk00000389))
+ (portRef CE (instanceRef blk0000038a))
+ (portRef CE (instanceRef blk0000038b))
+ (portRef CE (instanceRef blk0000038c))
+ (portRef CE (instanceRef blk0000038d))
+ (portRef CE (instanceRef blk0000038e))
+ (portRef CE (instanceRef blk0000038f))
+ (portRef CE (instanceRef blk00000390))
+ (portRef CE (instanceRef blk00000391))
+ (portRef CE (instanceRef blk00000392))
+ (portRef CE (instanceRef blk00000393))
+ (portRef CE (instanceRef blk00000394))
+ (portRef CE (instanceRef blk00000395))
+ (portRef CE (instanceRef blk00000396))
+ (portRef CE (instanceRef blk00000397))
+ (portRef CE (instanceRef blk00000398))
+ (portRef CE (instanceRef blk00000399))
+ (portRef CE (instanceRef blk0000039a))
+ (portRef CE (instanceRef blk0000039b))
+ (portRef CE (instanceRef blk0000039c))
+ (portRef CE (instanceRef blk0000039d))
+ (portRef CE (instanceRef blk0000039e))
+ (portRef CE (instanceRef blk0000039f))
+ (portRef CE (instanceRef blk000003a0))
+ (portRef I0 (instanceRef blk000003b3))
+ )
+ )
+ (net sig00000bcf
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000037d))
+ (portRef C (instanceRef blk0000037e))
+ (portRef C (instanceRef blk0000037f))
+ (portRef C (instanceRef blk00000380))
+ (portRef C (instanceRef blk00000381))
+ (portRef C (instanceRef blk00000382))
+ (portRef C (instanceRef blk00000383))
+ (portRef C (instanceRef blk00000384))
+ (portRef C (instanceRef blk00000385))
+ (portRef C (instanceRef blk00000386))
+ (portRef C (instanceRef blk00000387))
+ (portRef C (instanceRef blk00000388))
+ (portRef C (instanceRef blk00000389))
+ (portRef C (instanceRef blk0000038a))
+ (portRef C (instanceRef blk0000038b))
+ (portRef C (instanceRef blk0000038c))
+ (portRef C (instanceRef blk0000038d))
+ (portRef C (instanceRef blk0000038e))
+ (portRef C (instanceRef blk0000038f))
+ (portRef C (instanceRef blk00000390))
+ (portRef C (instanceRef blk00000391))
+ (portRef C (instanceRef blk00000392))
+ (portRef C (instanceRef blk00000393))
+ (portRef C (instanceRef blk00000394))
+ (portRef C (instanceRef blk00000395))
+ (portRef C (instanceRef blk00000396))
+ (portRef C (instanceRef blk00000397))
+ (portRef C (instanceRef blk00000398))
+ (portRef C (instanceRef blk00000399))
+ (portRef C (instanceRef blk0000039a))
+ (portRef C (instanceRef blk0000039b))
+ (portRef C (instanceRef blk0000039c))
+ (portRef C (instanceRef blk0000039d))
+ (portRef C (instanceRef blk0000039e))
+ (portRef C (instanceRef blk0000039f))
+ (portRef C (instanceRef blk000003a0))
+ (portRef WCLK (instanceRef blk000003a1))
+ (portRef WCLK (instanceRef blk000003a2))
+ (portRef WCLK (instanceRef blk000003a3))
+ (portRef WCLK (instanceRef blk000003a4))
+ (portRef WCLK (instanceRef blk000003a5))
+ (portRef WCLK (instanceRef blk000003a6))
+ (portRef WCLK (instanceRef blk000003a7))
+ (portRef WCLK (instanceRef blk000003a8))
+ (portRef WCLK (instanceRef blk000003a9))
+ (portRef WCLK (instanceRef blk000003aa))
+ (portRef WCLK (instanceRef blk000003ab))
+ (portRef WCLK (instanceRef blk000003ac))
+ (portRef WCLK (instanceRef blk000003ad))
+ (portRef WCLK (instanceRef blk000003ae))
+ (portRef WCLK (instanceRef blk000003af))
+ (portRef WCLK (instanceRef blk000003b0))
+ (portRef WCLK (instanceRef blk000003b1))
+ (portRef WCLK (instanceRef blk000003b2))
+ )
+ )
+ (net sig00000bd0
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk0000038e))
+ )
+ )
+ (net sig00000bd1
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk0000038d))
+ )
+ )
+ (net sig00000bd2
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk0000038c))
+ )
+ )
+ (net sig00000bd3
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk0000038b))
+ )
+ )
+ (net sig00000bd4
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk0000038a))
+ )
+ )
+ (net sig00000bd5
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk00000389))
+ )
+ )
+ (net sig00000bd6
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk00000388))
+ )
+ )
+ (net sig00000bd7
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk00000387))
+ )
+ )
+ (net sig00000bd8
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk00000386))
+ )
+ )
+ (net sig00000bd9
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk00000385))
+ )
+ )
+ (net sig00000bda
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk00000384))
+ )
+ )
+ (net sig00000bdb
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk00000383))
+ )
+ )
+ (net sig00000bdc
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk00000382))
+ )
+ )
+ (net sig00000bdd
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk00000381))
+ )
+ )
+ (net sig00000bde
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk00000380))
+ )
+ )
+ (net sig00000bdf
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk0000037f))
+ )
+ )
+ (net sig00000be0
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk0000037e))
+ )
+ )
+ (net sig00000be1
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk0000037d))
+ )
+ )
+ (net sig00000be2
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000003a0))
+ )
+ )
+ (net sig00000be3
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000039f))
+ )
+ )
+ (net sig00000be4
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000039e))
+ )
+ )
+ (net sig00000be5
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk0000039d))
+ )
+ )
+ (net sig00000be6
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk0000039c))
+ )
+ )
+ (net sig00000be7
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk0000039b))
+ )
+ )
+ (net sig00000be8
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk0000039a))
+ )
+ )
+ (net sig00000be9
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000399))
+ )
+ )
+ (net sig00000bea
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000398))
+ )
+ )
+ (net sig00000beb
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000397))
+ )
+ )
+ (net sig00000bec
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000396))
+ )
+ )
+ (net sig00000bed
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000395))
+ )
+ )
+ (net sig00000bee
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000394))
+ )
+ )
+ (net sig00000bef
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000393))
+ )
+ )
+ (net sig00000bf0
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk00000392))
+ )
+ )
+ (net sig00000bf1
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000391))
+ )
+ )
+ (net sig00000bf2
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk00000390))
+ )
+ )
+ (net sig00000bf3
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000038f))
+ )
+ )
+ (net sig00000bf4
+ (joined
+ (portRef G (instanceRef blk0000037c))
+ (portRef A3 (instanceRef blk000003a1))
+ (portRef A4 (instanceRef blk000003a1))
+ (portRef DPRA3 (instanceRef blk000003a1))
+ (portRef DPRA4 (instanceRef blk000003a1))
+ (portRef A3 (instanceRef blk000003a2))
+ (portRef A4 (instanceRef blk000003a2))
+ (portRef DPRA3 (instanceRef blk000003a2))
+ (portRef DPRA4 (instanceRef blk000003a2))
+ (portRef A3 (instanceRef blk000003a3))
+ (portRef A4 (instanceRef blk000003a3))
+ (portRef DPRA3 (instanceRef blk000003a3))
+ (portRef DPRA4 (instanceRef blk000003a3))
+ (portRef A3 (instanceRef blk000003a4))
+ (portRef A4 (instanceRef blk000003a4))
+ (portRef DPRA3 (instanceRef blk000003a4))
+ (portRef DPRA4 (instanceRef blk000003a4))
+ (portRef A3 (instanceRef blk000003a5))
+ (portRef A4 (instanceRef blk000003a5))
+ (portRef DPRA3 (instanceRef blk000003a5))
+ (portRef DPRA4 (instanceRef blk000003a5))
+ (portRef A3 (instanceRef blk000003a6))
+ (portRef A4 (instanceRef blk000003a6))
+ (portRef DPRA3 (instanceRef blk000003a6))
+ (portRef DPRA4 (instanceRef blk000003a6))
+ (portRef A3 (instanceRef blk000003a7))
+ (portRef A4 (instanceRef blk000003a7))
+ (portRef DPRA3 (instanceRef blk000003a7))
+ (portRef DPRA4 (instanceRef blk000003a7))
+ (portRef A3 (instanceRef blk000003a8))
+ (portRef A4 (instanceRef blk000003a8))
+ (portRef DPRA3 (instanceRef blk000003a8))
+ (portRef DPRA4 (instanceRef blk000003a8))
+ (portRef A3 (instanceRef blk000003a9))
+ (portRef A4 (instanceRef blk000003a9))
+ (portRef DPRA3 (instanceRef blk000003a9))
+ (portRef DPRA4 (instanceRef blk000003a9))
+ (portRef A3 (instanceRef blk000003aa))
+ (portRef A4 (instanceRef blk000003aa))
+ (portRef DPRA3 (instanceRef blk000003aa))
+ (portRef DPRA4 (instanceRef blk000003aa))
+ (portRef A3 (instanceRef blk000003ab))
+ (portRef A4 (instanceRef blk000003ab))
+ (portRef DPRA3 (instanceRef blk000003ab))
+ (portRef DPRA4 (instanceRef blk000003ab))
+ (portRef A3 (instanceRef blk000003ac))
+ (portRef A4 (instanceRef blk000003ac))
+ (portRef DPRA3 (instanceRef blk000003ac))
+ (portRef DPRA4 (instanceRef blk000003ac))
+ (portRef A3 (instanceRef blk000003ad))
+ (portRef A4 (instanceRef blk000003ad))
+ (portRef DPRA3 (instanceRef blk000003ad))
+ (portRef DPRA4 (instanceRef blk000003ad))
+ (portRef A3 (instanceRef blk000003ae))
+ (portRef A4 (instanceRef blk000003ae))
+ (portRef DPRA3 (instanceRef blk000003ae))
+ (portRef DPRA4 (instanceRef blk000003ae))
+ (portRef A3 (instanceRef blk000003af))
+ (portRef A4 (instanceRef blk000003af))
+ (portRef DPRA3 (instanceRef blk000003af))
+ (portRef DPRA4 (instanceRef blk000003af))
+ (portRef A3 (instanceRef blk000003b0))
+ (portRef A4 (instanceRef blk000003b0))
+ (portRef DPRA3 (instanceRef blk000003b0))
+ (portRef DPRA4 (instanceRef blk000003b0))
+ (portRef A3 (instanceRef blk000003b1))
+ (portRef A4 (instanceRef blk000003b1))
+ (portRef DPRA3 (instanceRef blk000003b1))
+ (portRef DPRA4 (instanceRef blk000003b1))
+ (portRef A3 (instanceRef blk000003b2))
+ (portRef A4 (instanceRef blk000003b2))
+ (portRef DPRA3 (instanceRef blk000003b2))
+ (portRef DPRA4 (instanceRef blk000003b2))
+ )
+ )
+ (net sig00000bf5
+ (joined
+ (portRef D (instanceRef blk0000037d))
+ (portRef SPO (instanceRef blk000003a2))
+ )
+ )
+ (net sig00000bf6
+ (joined
+ (portRef D (instanceRef blk0000037e))
+ (portRef SPO (instanceRef blk000003a3))
+ )
+ )
+ (net sig00000bf7
+ (joined
+ (portRef D (instanceRef blk0000037f))
+ (portRef SPO (instanceRef blk000003a1))
+ )
+ )
+ (net sig00000bf8
+ (joined
+ (portRef D (instanceRef blk00000380))
+ (portRef SPO (instanceRef blk000003a4))
+ )
+ )
+ (net sig00000bf9
+ (joined
+ (portRef D (instanceRef blk00000381))
+ (portRef SPO (instanceRef blk000003a5))
+ )
+ )
+ (net sig00000bfa
+ (joined
+ (portRef D (instanceRef blk00000382))
+ (portRef SPO (instanceRef blk000003a6))
+ )
+ )
+ (net sig00000bfb
+ (joined
+ (portRef D (instanceRef blk00000383))
+ (portRef SPO (instanceRef blk000003a7))
+ )
+ )
+ (net sig00000bfc
+ (joined
+ (portRef D (instanceRef blk00000384))
+ (portRef SPO (instanceRef blk000003a8))
+ )
+ )
+ (net sig00000bfd
+ (joined
+ (portRef D (instanceRef blk00000385))
+ (portRef SPO (instanceRef blk000003a9))
+ )
+ )
+ (net sig00000bfe
+ (joined
+ (portRef D (instanceRef blk00000386))
+ (portRef SPO (instanceRef blk000003ab))
+ )
+ )
+ (net sig00000bff
+ (joined
+ (portRef D (instanceRef blk00000387))
+ (portRef SPO (instanceRef blk000003ac))
+ )
+ )
+ (net sig00000c00
+ (joined
+ (portRef D (instanceRef blk00000388))
+ (portRef SPO (instanceRef blk000003aa))
+ )
+ )
+ (net sig00000c01
+ (joined
+ (portRef D (instanceRef blk00000389))
+ (portRef SPO (instanceRef blk000003ad))
+ )
+ )
+ (net sig00000c02
+ (joined
+ (portRef D (instanceRef blk0000038a))
+ (portRef SPO (instanceRef blk000003ae))
+ )
+ )
+ (net sig00000c03
+ (joined
+ (portRef D (instanceRef blk0000038b))
+ (portRef SPO (instanceRef blk000003af))
+ )
+ )
+ (net sig00000c04
+ (joined
+ (portRef D (instanceRef blk0000038c))
+ (portRef SPO (instanceRef blk000003b0))
+ )
+ )
+ (net sig00000c05
+ (joined
+ (portRef D (instanceRef blk0000038d))
+ (portRef SPO (instanceRef blk000003b1))
+ )
+ )
+ (net sig00000c06
+ (joined
+ (portRef D (instanceRef blk0000038e))
+ (portRef SPO (instanceRef blk000003b2))
+ )
+ )
+ (net sig00000c07
+ (joined
+ (portRef D (instanceRef blk0000038f))
+ (portRef DPO (instanceRef blk000003a2))
+ )
+ )
+ (net sig00000c08
+ (joined
+ (portRef D (instanceRef blk00000390))
+ (portRef DPO (instanceRef blk000003a3))
+ )
+ )
+ (net sig00000c09
+ (joined
+ (portRef D (instanceRef blk00000391))
+ (portRef DPO (instanceRef blk000003a1))
+ )
+ )
+ (net sig00000c0a
+ (joined
+ (portRef D (instanceRef blk00000392))
+ (portRef DPO (instanceRef blk000003a4))
+ )
+ )
+ (net sig00000c0b
+ (joined
+ (portRef D (instanceRef blk00000393))
+ (portRef DPO (instanceRef blk000003a5))
+ )
+ )
+ (net sig00000c0c
+ (joined
+ (portRef D (instanceRef blk00000394))
+ (portRef DPO (instanceRef blk000003a6))
+ )
+ )
+ (net sig00000c0d
+ (joined
+ (portRef D (instanceRef blk00000395))
+ (portRef DPO (instanceRef blk000003a7))
+ )
+ )
+ (net sig00000c0e
+ (joined
+ (portRef D (instanceRef blk00000396))
+ (portRef DPO (instanceRef blk000003a8))
+ )
+ )
+ (net sig00000c0f
+ (joined
+ (portRef D (instanceRef blk00000397))
+ (portRef DPO (instanceRef blk000003a9))
+ )
+ )
+ (net sig00000c10
+ (joined
+ (portRef D (instanceRef blk00000398))
+ (portRef DPO (instanceRef blk000003ab))
+ )
+ )
+ (net sig00000c11
+ (joined
+ (portRef D (instanceRef blk00000399))
+ (portRef DPO (instanceRef blk000003ac))
+ )
+ )
+ (net sig00000c12
+ (joined
+ (portRef D (instanceRef blk0000039a))
+ (portRef DPO (instanceRef blk000003aa))
+ )
+ )
+ (net sig00000c13
+ (joined
+ (portRef D (instanceRef blk0000039b))
+ (portRef DPO (instanceRef blk000003ad))
+ )
+ )
+ (net sig00000c14
+ (joined
+ (portRef D (instanceRef blk0000039c))
+ (portRef DPO (instanceRef blk000003ae))
+ )
+ )
+ (net sig00000c15
+ (joined
+ (portRef D (instanceRef blk0000039d))
+ (portRef DPO (instanceRef blk000003af))
+ )
+ )
+ (net sig00000c16
+ (joined
+ (portRef D (instanceRef blk0000039e))
+ (portRef DPO (instanceRef blk000003b0))
+ )
+ )
+ (net sig00000c17
+ (joined
+ (portRef D (instanceRef blk0000039f))
+ (portRef DPO (instanceRef blk000003b1))
+ )
+ )
+ (net sig00000c18
+ (joined
+ (portRef D (instanceRef blk000003a0))
+ (portRef DPO (instanceRef blk000003b2))
+ )
+ )
+ (net sig00000c19
+ (joined
+ (portRef WE (instanceRef blk000003a1))
+ (portRef WE (instanceRef blk000003a2))
+ (portRef WE (instanceRef blk000003a3))
+ (portRef WE (instanceRef blk000003a4))
+ (portRef WE (instanceRef blk000003a5))
+ (portRef WE (instanceRef blk000003a6))
+ (portRef WE (instanceRef blk000003a7))
+ (portRef WE (instanceRef blk000003a8))
+ (portRef WE (instanceRef blk000003a9))
+ (portRef WE (instanceRef blk000003aa))
+ (portRef WE (instanceRef blk000003ab))
+ (portRef WE (instanceRef blk000003ac))
+ (portRef WE (instanceRef blk000003ad))
+ (portRef WE (instanceRef blk000003ae))
+ (portRef WE (instanceRef blk000003af))
+ (portRef WE (instanceRef blk000003b0))
+ (portRef WE (instanceRef blk000003b1))
+ (portRef WE (instanceRef blk000003b2))
+ (portRef O (instanceRef blk000003b3))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO11_blk00000348 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000349
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000034a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000350
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000351
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000352
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000353
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000354
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000355
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000356
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000357
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000358
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000359
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000360
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000361
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000362
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000363
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000364
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000365
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000366
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000367
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000368
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000369
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000036f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000370
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000371
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000372
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000373
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000374
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000375
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000376
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000377
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000378
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000379
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000037a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000b66
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000362))
+ (portRef A1 (instanceRef blk00000363))
+ (portRef A1 (instanceRef blk00000364))
+ (portRef A1 (instanceRef blk00000365))
+ (portRef A1 (instanceRef blk00000366))
+ (portRef A1 (instanceRef blk00000367))
+ (portRef A1 (instanceRef blk00000368))
+ (portRef A1 (instanceRef blk00000369))
+ (portRef A1 (instanceRef blk0000036a))
+ (portRef A1 (instanceRef blk0000036b))
+ (portRef A1 (instanceRef blk0000036c))
+ (portRef A1 (instanceRef blk0000036d))
+ (portRef A1 (instanceRef blk0000036e))
+ (portRef A1 (instanceRef blk0000036f))
+ (portRef A1 (instanceRef blk00000370))
+ (portRef A1 (instanceRef blk00000371))
+ (portRef A1 (instanceRef blk00000372))
+ (portRef A1 (instanceRef blk00000373))
+ (portRef A1 (instanceRef blk00000374))
+ (portRef A1 (instanceRef blk00000375))
+ (portRef A1 (instanceRef blk00000376))
+ (portRef A1 (instanceRef blk00000377))
+ (portRef A1 (instanceRef blk00000378))
+ (portRef A1 (instanceRef blk00000379))
+ )
+ )
+ (net sig00000b67
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000362))
+ (portRef A0 (instanceRef blk00000363))
+ (portRef A0 (instanceRef blk00000364))
+ (portRef A0 (instanceRef blk00000365))
+ (portRef A0 (instanceRef blk00000366))
+ (portRef A0 (instanceRef blk00000367))
+ (portRef A0 (instanceRef blk00000368))
+ (portRef A0 (instanceRef blk00000369))
+ (portRef A0 (instanceRef blk0000036a))
+ (portRef A0 (instanceRef blk0000036b))
+ (portRef A0 (instanceRef blk0000036c))
+ (portRef A0 (instanceRef blk0000036d))
+ (portRef A0 (instanceRef blk0000036e))
+ (portRef A0 (instanceRef blk0000036f))
+ (portRef A0 (instanceRef blk00000370))
+ (portRef A0 (instanceRef blk00000371))
+ (portRef A0 (instanceRef blk00000372))
+ (portRef A0 (instanceRef blk00000373))
+ (portRef A0 (instanceRef blk00000374))
+ (portRef A0 (instanceRef blk00000375))
+ (portRef A0 (instanceRef blk00000376))
+ (portRef A0 (instanceRef blk00000377))
+ (portRef A0 (instanceRef blk00000378))
+ (portRef A0 (instanceRef blk00000379))
+ )
+ )
+ (net sig00000b68
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000377))
+ )
+ )
+ (net sig00000b69
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000379))
+ )
+ )
+ (net sig00000b6a
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000378))
+ )
+ )
+ (net sig00000b6b
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000374))
+ )
+ )
+ (net sig00000b6c
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000376))
+ )
+ )
+ (net sig00000b6d
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000375))
+ )
+ )
+ (net sig00000b6e
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000371))
+ )
+ )
+ (net sig00000b6f
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000373))
+ )
+ )
+ (net sig00000b70
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000372))
+ )
+ )
+ (net sig00000b71
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000036e))
+ )
+ )
+ (net sig00000b72
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000370))
+ )
+ )
+ (net sig00000b73
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000036f))
+ )
+ )
+ (net sig00000b74
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000036b))
+ )
+ )
+ (net sig00000b75
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000036d))
+ )
+ )
+ (net sig00000b76
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000036c))
+ )
+ )
+ (net sig00000b77
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000368))
+ )
+ )
+ (net sig00000b78
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000036a))
+ )
+ )
+ (net sig00000b79
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000369))
+ )
+ )
+ (net sig00000b7a
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000365))
+ )
+ )
+ (net sig00000b7b
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000367))
+ )
+ )
+ (net sig00000b7c
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000366))
+ )
+ )
+ (net sig00000b7d
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000362))
+ )
+ )
+ (net sig00000b7e
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000364))
+ )
+ )
+ (net sig00000b7f
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000363))
+ )
+ )
+ (net sig00000b80
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000037a))
+ )
+ )
+ (net sig00000b81
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000034a))
+ (portRef CE (instanceRef blk0000034b))
+ (portRef CE (instanceRef blk0000034c))
+ (portRef CE (instanceRef blk0000034d))
+ (portRef CE (instanceRef blk0000034e))
+ (portRef CE (instanceRef blk0000034f))
+ (portRef CE (instanceRef blk00000350))
+ (portRef CE (instanceRef blk00000351))
+ (portRef CE (instanceRef blk00000352))
+ (portRef CE (instanceRef blk00000353))
+ (portRef CE (instanceRef blk00000354))
+ (portRef CE (instanceRef blk00000355))
+ (portRef CE (instanceRef blk00000356))
+ (portRef CE (instanceRef blk00000357))
+ (portRef CE (instanceRef blk00000358))
+ (portRef CE (instanceRef blk00000359))
+ (portRef CE (instanceRef blk0000035a))
+ (portRef CE (instanceRef blk0000035b))
+ (portRef CE (instanceRef blk0000035c))
+ (portRef CE (instanceRef blk0000035d))
+ (portRef CE (instanceRef blk0000035e))
+ (portRef CE (instanceRef blk0000035f))
+ (portRef CE (instanceRef blk00000360))
+ (portRef CE (instanceRef blk00000361))
+ (portRef I0 (instanceRef blk0000037a))
+ )
+ )
+ (net sig00000b82
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000034a))
+ (portRef C (instanceRef blk0000034b))
+ (portRef C (instanceRef blk0000034c))
+ (portRef C (instanceRef blk0000034d))
+ (portRef C (instanceRef blk0000034e))
+ (portRef C (instanceRef blk0000034f))
+ (portRef C (instanceRef blk00000350))
+ (portRef C (instanceRef blk00000351))
+ (portRef C (instanceRef blk00000352))
+ (portRef C (instanceRef blk00000353))
+ (portRef C (instanceRef blk00000354))
+ (portRef C (instanceRef blk00000355))
+ (portRef C (instanceRef blk00000356))
+ (portRef C (instanceRef blk00000357))
+ (portRef C (instanceRef blk00000358))
+ (portRef C (instanceRef blk00000359))
+ (portRef C (instanceRef blk0000035a))
+ (portRef C (instanceRef blk0000035b))
+ (portRef C (instanceRef blk0000035c))
+ (portRef C (instanceRef blk0000035d))
+ (portRef C (instanceRef blk0000035e))
+ (portRef C (instanceRef blk0000035f))
+ (portRef C (instanceRef blk00000360))
+ (portRef C (instanceRef blk00000361))
+ (portRef CLK (instanceRef blk00000362))
+ (portRef CLK (instanceRef blk00000363))
+ (portRef CLK (instanceRef blk00000364))
+ (portRef CLK (instanceRef blk00000365))
+ (portRef CLK (instanceRef blk00000366))
+ (portRef CLK (instanceRef blk00000367))
+ (portRef CLK (instanceRef blk00000368))
+ (portRef CLK (instanceRef blk00000369))
+ (portRef CLK (instanceRef blk0000036a))
+ (portRef CLK (instanceRef blk0000036b))
+ (portRef CLK (instanceRef blk0000036c))
+ (portRef CLK (instanceRef blk0000036d))
+ (portRef CLK (instanceRef blk0000036e))
+ (portRef CLK (instanceRef blk0000036f))
+ (portRef CLK (instanceRef blk00000370))
+ (portRef CLK (instanceRef blk00000371))
+ (portRef CLK (instanceRef blk00000372))
+ (portRef CLK (instanceRef blk00000373))
+ (portRef CLK (instanceRef blk00000374))
+ (portRef CLK (instanceRef blk00000375))
+ (portRef CLK (instanceRef blk00000376))
+ (portRef CLK (instanceRef blk00000377))
+ (portRef CLK (instanceRef blk00000378))
+ (portRef CLK (instanceRef blk00000379))
+ )
+ )
+ (net sig00000b83
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000361))
+ )
+ )
+ (net sig00000b84
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000360))
+ )
+ )
+ (net sig00000b85
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000035f))
+ )
+ )
+ (net sig00000b86
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000035e))
+ )
+ )
+ (net sig00000b87
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000035d))
+ )
+ )
+ (net sig00000b88
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000035c))
+ )
+ )
+ (net sig00000b89
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000035b))
+ )
+ )
+ (net sig00000b8a
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000035a))
+ )
+ )
+ (net sig00000b8b
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000359))
+ )
+ )
+ (net sig00000b8c
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000358))
+ )
+ )
+ (net sig00000b8d
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000357))
+ )
+ )
+ (net sig00000b8e
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000356))
+ )
+ )
+ (net sig00000b8f
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000355))
+ )
+ )
+ (net sig00000b90
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000354))
+ )
+ )
+ (net sig00000b91
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000353))
+ )
+ )
+ (net sig00000b92
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000352))
+ )
+ )
+ (net sig00000b93
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000351))
+ )
+ )
+ (net sig00000b94
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000350))
+ )
+ )
+ (net sig00000b95
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000b96
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000034e))
+ )
+ )
+ (net sig00000b97
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000034d))
+ )
+ )
+ (net sig00000b98
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000034c))
+ )
+ )
+ (net sig00000b99
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000034b))
+ )
+ )
+ (net sig00000b9a
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000034a))
+ )
+ )
+ (net sig00000b9b
+ (joined
+ (portRef G (instanceRef blk00000349))
+ (portRef A2 (instanceRef blk00000362))
+ (portRef A3 (instanceRef blk00000362))
+ (portRef A2 (instanceRef blk00000363))
+ (portRef A3 (instanceRef blk00000363))
+ (portRef A2 (instanceRef blk00000364))
+ (portRef A3 (instanceRef blk00000364))
+ (portRef A2 (instanceRef blk00000365))
+ (portRef A3 (instanceRef blk00000365))
+ (portRef A2 (instanceRef blk00000366))
+ (portRef A3 (instanceRef blk00000366))
+ (portRef A2 (instanceRef blk00000367))
+ (portRef A3 (instanceRef blk00000367))
+ (portRef A2 (instanceRef blk00000368))
+ (portRef A3 (instanceRef blk00000368))
+ (portRef A2 (instanceRef blk00000369))
+ (portRef A3 (instanceRef blk00000369))
+ (portRef A2 (instanceRef blk0000036a))
+ (portRef A3 (instanceRef blk0000036a))
+ (portRef A2 (instanceRef blk0000036b))
+ (portRef A3 (instanceRef blk0000036b))
+ (portRef A2 (instanceRef blk0000036c))
+ (portRef A3 (instanceRef blk0000036c))
+ (portRef A2 (instanceRef blk0000036d))
+ (portRef A3 (instanceRef blk0000036d))
+ (portRef A2 (instanceRef blk0000036e))
+ (portRef A3 (instanceRef blk0000036e))
+ (portRef A2 (instanceRef blk0000036f))
+ (portRef A3 (instanceRef blk0000036f))
+ (portRef A2 (instanceRef blk00000370))
+ (portRef A3 (instanceRef blk00000370))
+ (portRef A2 (instanceRef blk00000371))
+ (portRef A3 (instanceRef blk00000371))
+ (portRef A2 (instanceRef blk00000372))
+ (portRef A3 (instanceRef blk00000372))
+ (portRef A2 (instanceRef blk00000373))
+ (portRef A3 (instanceRef blk00000373))
+ (portRef A2 (instanceRef blk00000374))
+ (portRef A3 (instanceRef blk00000374))
+ (portRef A2 (instanceRef blk00000375))
+ (portRef A3 (instanceRef blk00000375))
+ (portRef A2 (instanceRef blk00000376))
+ (portRef A3 (instanceRef blk00000376))
+ (portRef A2 (instanceRef blk00000377))
+ (portRef A3 (instanceRef blk00000377))
+ (portRef A2 (instanceRef blk00000378))
+ (portRef A3 (instanceRef blk00000378))
+ (portRef A2 (instanceRef blk00000379))
+ (portRef A3 (instanceRef blk00000379))
+ )
+ )
+ (net sig00000b9c
+ (joined
+ (portRef D (instanceRef blk0000034a))
+ (portRef Q (instanceRef blk00000363))
+ )
+ )
+ (net sig00000b9d
+ (joined
+ (portRef D (instanceRef blk0000034b))
+ (portRef Q (instanceRef blk00000364))
+ )
+ )
+ (net sig00000b9e
+ (joined
+ (portRef D (instanceRef blk0000034c))
+ (portRef Q (instanceRef blk00000362))
+ )
+ )
+ (net sig00000b9f
+ (joined
+ (portRef D (instanceRef blk0000034d))
+ (portRef Q (instanceRef blk00000366))
+ )
+ )
+ (net sig00000ba0
+ (joined
+ (portRef D (instanceRef blk0000034e))
+ (portRef Q (instanceRef blk00000367))
+ )
+ )
+ (net sig00000ba1
+ (joined
+ (portRef D (instanceRef blk0000034f))
+ (portRef Q (instanceRef blk00000365))
+ )
+ )
+ (net sig00000ba2
+ (joined
+ (portRef D (instanceRef blk00000350))
+ (portRef Q (instanceRef blk00000369))
+ )
+ )
+ (net sig00000ba3
+ (joined
+ (portRef D (instanceRef blk00000351))
+ (portRef Q (instanceRef blk0000036a))
+ )
+ )
+ (net sig00000ba4
+ (joined
+ (portRef D (instanceRef blk00000352))
+ (portRef Q (instanceRef blk00000368))
+ )
+ )
+ (net sig00000ba5
+ (joined
+ (portRef D (instanceRef blk00000353))
+ (portRef Q (instanceRef blk0000036c))
+ )
+ )
+ (net sig00000ba6
+ (joined
+ (portRef D (instanceRef blk00000354))
+ (portRef Q (instanceRef blk0000036d))
+ )
+ )
+ (net sig00000ba7
+ (joined
+ (portRef D (instanceRef blk00000355))
+ (portRef Q (instanceRef blk0000036b))
+ )
+ )
+ (net sig00000ba8
+ (joined
+ (portRef D (instanceRef blk00000356))
+ (portRef Q (instanceRef blk0000036f))
+ )
+ )
+ (net sig00000ba9
+ (joined
+ (portRef D (instanceRef blk00000357))
+ (portRef Q (instanceRef blk00000370))
+ )
+ )
+ (net sig00000baa
+ (joined
+ (portRef D (instanceRef blk00000358))
+ (portRef Q (instanceRef blk0000036e))
+ )
+ )
+ (net sig00000bab
+ (joined
+ (portRef D (instanceRef blk00000359))
+ (portRef Q (instanceRef blk00000372))
+ )
+ )
+ (net sig00000bac
+ (joined
+ (portRef D (instanceRef blk0000035a))
+ (portRef Q (instanceRef blk00000373))
+ )
+ )
+ (net sig00000bad
+ (joined
+ (portRef D (instanceRef blk0000035b))
+ (portRef Q (instanceRef blk00000371))
+ )
+ )
+ (net sig00000bae
+ (joined
+ (portRef D (instanceRef blk0000035c))
+ (portRef Q (instanceRef blk00000375))
+ )
+ )
+ (net sig00000baf
+ (joined
+ (portRef D (instanceRef blk0000035d))
+ (portRef Q (instanceRef blk00000376))
+ )
+ )
+ (net sig00000bb0
+ (joined
+ (portRef D (instanceRef blk0000035e))
+ (portRef Q (instanceRef blk00000374))
+ )
+ )
+ (net sig00000bb1
+ (joined
+ (portRef D (instanceRef blk0000035f))
+ (portRef Q (instanceRef blk00000378))
+ )
+ )
+ (net sig00000bb2
+ (joined
+ (portRef D (instanceRef blk00000360))
+ (portRef Q (instanceRef blk00000379))
+ )
+ )
+ (net sig00000bb3
+ (joined
+ (portRef D (instanceRef blk00000361))
+ (portRef Q (instanceRef blk00000377))
+ )
+ )
+ (net sig00000bb4
+ (joined
+ (portRef CE (instanceRef blk00000362))
+ (portRef CE (instanceRef blk00000363))
+ (portRef CE (instanceRef blk00000364))
+ (portRef CE (instanceRef blk00000365))
+ (portRef CE (instanceRef blk00000366))
+ (portRef CE (instanceRef blk00000367))
+ (portRef CE (instanceRef blk00000368))
+ (portRef CE (instanceRef blk00000369))
+ (portRef CE (instanceRef blk0000036a))
+ (portRef CE (instanceRef blk0000036b))
+ (portRef CE (instanceRef blk0000036c))
+ (portRef CE (instanceRef blk0000036d))
+ (portRef CE (instanceRef blk0000036e))
+ (portRef CE (instanceRef blk0000036f))
+ (portRef CE (instanceRef blk00000370))
+ (portRef CE (instanceRef blk00000371))
+ (portRef CE (instanceRef blk00000372))
+ (portRef CE (instanceRef blk00000373))
+ (portRef CE (instanceRef blk00000374))
+ (portRef CE (instanceRef blk00000375))
+ (portRef CE (instanceRef blk00000376))
+ (portRef CE (instanceRef blk00000377))
+ (portRef CE (instanceRef blk00000378))
+ (portRef CE (instanceRef blk00000379))
+ (portRef O (instanceRef blk0000037a))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO10_blk00000315 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000316
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000317
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000318
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000319
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000320
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000321
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000322
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000323
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000324
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000325
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000326
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000327
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000328
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000329
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000330
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000331
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000332
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000333
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000334
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000335
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000336
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000337
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000338
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000339
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000033f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000340
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000341
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000342
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000343
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000344
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000345
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000346
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000347
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000b17
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk0000032f))
+ (portRef A1 (instanceRef blk00000330))
+ (portRef A1 (instanceRef blk00000331))
+ (portRef A1 (instanceRef blk00000332))
+ (portRef A1 (instanceRef blk00000333))
+ (portRef A1 (instanceRef blk00000334))
+ (portRef A1 (instanceRef blk00000335))
+ (portRef A1 (instanceRef blk00000336))
+ (portRef A1 (instanceRef blk00000337))
+ (portRef A1 (instanceRef blk00000338))
+ (portRef A1 (instanceRef blk00000339))
+ (portRef A1 (instanceRef blk0000033a))
+ (portRef A1 (instanceRef blk0000033b))
+ (portRef A1 (instanceRef blk0000033c))
+ (portRef A1 (instanceRef blk0000033d))
+ (portRef A1 (instanceRef blk0000033e))
+ (portRef A1 (instanceRef blk0000033f))
+ (portRef A1 (instanceRef blk00000340))
+ (portRef A1 (instanceRef blk00000341))
+ (portRef A1 (instanceRef blk00000342))
+ (portRef A1 (instanceRef blk00000343))
+ (portRef A1 (instanceRef blk00000344))
+ (portRef A1 (instanceRef blk00000345))
+ (portRef A1 (instanceRef blk00000346))
+ )
+ )
+ (net sig00000b18
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk0000032f))
+ (portRef A0 (instanceRef blk00000330))
+ (portRef A0 (instanceRef blk00000331))
+ (portRef A0 (instanceRef blk00000332))
+ (portRef A0 (instanceRef blk00000333))
+ (portRef A0 (instanceRef blk00000334))
+ (portRef A0 (instanceRef blk00000335))
+ (portRef A0 (instanceRef blk00000336))
+ (portRef A0 (instanceRef blk00000337))
+ (portRef A0 (instanceRef blk00000338))
+ (portRef A0 (instanceRef blk00000339))
+ (portRef A0 (instanceRef blk0000033a))
+ (portRef A0 (instanceRef blk0000033b))
+ (portRef A0 (instanceRef blk0000033c))
+ (portRef A0 (instanceRef blk0000033d))
+ (portRef A0 (instanceRef blk0000033e))
+ (portRef A0 (instanceRef blk0000033f))
+ (portRef A0 (instanceRef blk00000340))
+ (portRef A0 (instanceRef blk00000341))
+ (portRef A0 (instanceRef blk00000342))
+ (portRef A0 (instanceRef blk00000343))
+ (portRef A0 (instanceRef blk00000344))
+ (portRef A0 (instanceRef blk00000345))
+ (portRef A0 (instanceRef blk00000346))
+ )
+ )
+ (net sig00000b19
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000344))
+ )
+ )
+ (net sig00000b1a
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000346))
+ )
+ )
+ (net sig00000b1b
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000345))
+ )
+ )
+ (net sig00000b1c
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000341))
+ )
+ )
+ (net sig00000b1d
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000343))
+ )
+ )
+ (net sig00000b1e
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000342))
+ )
+ )
+ (net sig00000b1f
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000033e))
+ )
+ )
+ (net sig00000b20
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000340))
+ )
+ )
+ (net sig00000b21
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000033f))
+ )
+ )
+ (net sig00000b22
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000033b))
+ )
+ )
+ (net sig00000b23
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000033d))
+ )
+ )
+ (net sig00000b24
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000033c))
+ )
+ )
+ (net sig00000b25
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000338))
+ )
+ )
+ (net sig00000b26
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000033a))
+ )
+ )
+ (net sig00000b27
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000339))
+ )
+ )
+ (net sig00000b28
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000335))
+ )
+ )
+ (net sig00000b29
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000337))
+ )
+ )
+ (net sig00000b2a
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000336))
+ )
+ )
+ (net sig00000b2b
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000332))
+ )
+ )
+ (net sig00000b2c
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000334))
+ )
+ )
+ (net sig00000b2d
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000333))
+ )
+ )
+ (net sig00000b2e
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk0000032f))
+ )
+ )
+ (net sig00000b2f
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000331))
+ )
+ )
+ (net sig00000b30
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000330))
+ )
+ )
+ (net sig00000b31
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000347))
+ )
+ )
+ (net sig00000b32
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000317))
+ (portRef CE (instanceRef blk00000318))
+ (portRef CE (instanceRef blk00000319))
+ (portRef CE (instanceRef blk0000031a))
+ (portRef CE (instanceRef blk0000031b))
+ (portRef CE (instanceRef blk0000031c))
+ (portRef CE (instanceRef blk0000031d))
+ (portRef CE (instanceRef blk0000031e))
+ (portRef CE (instanceRef blk0000031f))
+ (portRef CE (instanceRef blk00000320))
+ (portRef CE (instanceRef blk00000321))
+ (portRef CE (instanceRef blk00000322))
+ (portRef CE (instanceRef blk00000323))
+ (portRef CE (instanceRef blk00000324))
+ (portRef CE (instanceRef blk00000325))
+ (portRef CE (instanceRef blk00000326))
+ (portRef CE (instanceRef blk00000327))
+ (portRef CE (instanceRef blk00000328))
+ (portRef CE (instanceRef blk00000329))
+ (portRef CE (instanceRef blk0000032a))
+ (portRef CE (instanceRef blk0000032b))
+ (portRef CE (instanceRef blk0000032c))
+ (portRef CE (instanceRef blk0000032d))
+ (portRef CE (instanceRef blk0000032e))
+ (portRef I0 (instanceRef blk00000347))
+ )
+ )
+ (net sig00000b33
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000317))
+ (portRef C (instanceRef blk00000318))
+ (portRef C (instanceRef blk00000319))
+ (portRef C (instanceRef blk0000031a))
+ (portRef C (instanceRef blk0000031b))
+ (portRef C (instanceRef blk0000031c))
+ (portRef C (instanceRef blk0000031d))
+ (portRef C (instanceRef blk0000031e))
+ (portRef C (instanceRef blk0000031f))
+ (portRef C (instanceRef blk00000320))
+ (portRef C (instanceRef blk00000321))
+ (portRef C (instanceRef blk00000322))
+ (portRef C (instanceRef blk00000323))
+ (portRef C (instanceRef blk00000324))
+ (portRef C (instanceRef blk00000325))
+ (portRef C (instanceRef blk00000326))
+ (portRef C (instanceRef blk00000327))
+ (portRef C (instanceRef blk00000328))
+ (portRef C (instanceRef blk00000329))
+ (portRef C (instanceRef blk0000032a))
+ (portRef C (instanceRef blk0000032b))
+ (portRef C (instanceRef blk0000032c))
+ (portRef C (instanceRef blk0000032d))
+ (portRef C (instanceRef blk0000032e))
+ (portRef CLK (instanceRef blk0000032f))
+ (portRef CLK (instanceRef blk00000330))
+ (portRef CLK (instanceRef blk00000331))
+ (portRef CLK (instanceRef blk00000332))
+ (portRef CLK (instanceRef blk00000333))
+ (portRef CLK (instanceRef blk00000334))
+ (portRef CLK (instanceRef blk00000335))
+ (portRef CLK (instanceRef blk00000336))
+ (portRef CLK (instanceRef blk00000337))
+ (portRef CLK (instanceRef blk00000338))
+ (portRef CLK (instanceRef blk00000339))
+ (portRef CLK (instanceRef blk0000033a))
+ (portRef CLK (instanceRef blk0000033b))
+ (portRef CLK (instanceRef blk0000033c))
+ (portRef CLK (instanceRef blk0000033d))
+ (portRef CLK (instanceRef blk0000033e))
+ (portRef CLK (instanceRef blk0000033f))
+ (portRef CLK (instanceRef blk00000340))
+ (portRef CLK (instanceRef blk00000341))
+ (portRef CLK (instanceRef blk00000342))
+ (portRef CLK (instanceRef blk00000343))
+ (portRef CLK (instanceRef blk00000344))
+ (portRef CLK (instanceRef blk00000345))
+ (portRef CLK (instanceRef blk00000346))
+ )
+ )
+ (net sig00000b34
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000032e))
+ )
+ )
+ (net sig00000b35
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000032d))
+ )
+ )
+ (net sig00000b36
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000032c))
+ )
+ )
+ (net sig00000b37
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000032b))
+ )
+ )
+ (net sig00000b38
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000032a))
+ )
+ )
+ (net sig00000b39
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000329))
+ )
+ )
+ (net sig00000b3a
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000328))
+ )
+ )
+ (net sig00000b3b
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000327))
+ )
+ )
+ (net sig00000b3c
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000326))
+ )
+ )
+ (net sig00000b3d
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000325))
+ )
+ )
+ (net sig00000b3e
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000324))
+ )
+ )
+ (net sig00000b3f
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000323))
+ )
+ )
+ (net sig00000b40
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000322))
+ )
+ )
+ (net sig00000b41
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000321))
+ )
+ )
+ (net sig00000b42
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000320))
+ )
+ )
+ (net sig00000b43
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk0000031f))
+ )
+ )
+ (net sig00000b44
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000031e))
+ )
+ )
+ (net sig00000b45
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000031d))
+ )
+ )
+ (net sig00000b46
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000031c))
+ )
+ )
+ (net sig00000b47
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000031b))
+ )
+ )
+ (net sig00000b48
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000031a))
+ )
+ )
+ (net sig00000b49
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000319))
+ )
+ )
+ (net sig00000b4a
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000318))
+ )
+ )
+ (net sig00000b4b
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000317))
+ )
+ )
+ (net sig00000b4c
+ (joined
+ (portRef G (instanceRef blk00000316))
+ (portRef A2 (instanceRef blk0000032f))
+ (portRef A3 (instanceRef blk0000032f))
+ (portRef A2 (instanceRef blk00000330))
+ (portRef A3 (instanceRef blk00000330))
+ (portRef A2 (instanceRef blk00000331))
+ (portRef A3 (instanceRef blk00000331))
+ (portRef A2 (instanceRef blk00000332))
+ (portRef A3 (instanceRef blk00000332))
+ (portRef A2 (instanceRef blk00000333))
+ (portRef A3 (instanceRef blk00000333))
+ (portRef A2 (instanceRef blk00000334))
+ (portRef A3 (instanceRef blk00000334))
+ (portRef A2 (instanceRef blk00000335))
+ (portRef A3 (instanceRef blk00000335))
+ (portRef A2 (instanceRef blk00000336))
+ (portRef A3 (instanceRef blk00000336))
+ (portRef A2 (instanceRef blk00000337))
+ (portRef A3 (instanceRef blk00000337))
+ (portRef A2 (instanceRef blk00000338))
+ (portRef A3 (instanceRef blk00000338))
+ (portRef A2 (instanceRef blk00000339))
+ (portRef A3 (instanceRef blk00000339))
+ (portRef A2 (instanceRef blk0000033a))
+ (portRef A3 (instanceRef blk0000033a))
+ (portRef A2 (instanceRef blk0000033b))
+ (portRef A3 (instanceRef blk0000033b))
+ (portRef A2 (instanceRef blk0000033c))
+ (portRef A3 (instanceRef blk0000033c))
+ (portRef A2 (instanceRef blk0000033d))
+ (portRef A3 (instanceRef blk0000033d))
+ (portRef A2 (instanceRef blk0000033e))
+ (portRef A3 (instanceRef blk0000033e))
+ (portRef A2 (instanceRef blk0000033f))
+ (portRef A3 (instanceRef blk0000033f))
+ (portRef A2 (instanceRef blk00000340))
+ (portRef A3 (instanceRef blk00000340))
+ (portRef A2 (instanceRef blk00000341))
+ (portRef A3 (instanceRef blk00000341))
+ (portRef A2 (instanceRef blk00000342))
+ (portRef A3 (instanceRef blk00000342))
+ (portRef A2 (instanceRef blk00000343))
+ (portRef A3 (instanceRef blk00000343))
+ (portRef A2 (instanceRef blk00000344))
+ (portRef A3 (instanceRef blk00000344))
+ (portRef A2 (instanceRef blk00000345))
+ (portRef A3 (instanceRef blk00000345))
+ (portRef A2 (instanceRef blk00000346))
+ (portRef A3 (instanceRef blk00000346))
+ )
+ )
+ (net sig00000b4d
+ (joined
+ (portRef D (instanceRef blk00000317))
+ (portRef Q (instanceRef blk00000330))
+ )
+ )
+ (net sig00000b4e
+ (joined
+ (portRef D (instanceRef blk00000318))
+ (portRef Q (instanceRef blk00000331))
+ )
+ )
+ (net sig00000b4f
+ (joined
+ (portRef D (instanceRef blk00000319))
+ (portRef Q (instanceRef blk0000032f))
+ )
+ )
+ (net sig00000b50
+ (joined
+ (portRef D (instanceRef blk0000031a))
+ (portRef Q (instanceRef blk00000333))
+ )
+ )
+ (net sig00000b51
+ (joined
+ (portRef D (instanceRef blk0000031b))
+ (portRef Q (instanceRef blk00000334))
+ )
+ )
+ (net sig00000b52
+ (joined
+ (portRef D (instanceRef blk0000031c))
+ (portRef Q (instanceRef blk00000332))
+ )
+ )
+ (net sig00000b53
+ (joined
+ (portRef D (instanceRef blk0000031d))
+ (portRef Q (instanceRef blk00000336))
+ )
+ )
+ (net sig00000b54
+ (joined
+ (portRef D (instanceRef blk0000031e))
+ (portRef Q (instanceRef blk00000337))
+ )
+ )
+ (net sig00000b55
+ (joined
+ (portRef D (instanceRef blk0000031f))
+ (portRef Q (instanceRef blk00000335))
+ )
+ )
+ (net sig00000b56
+ (joined
+ (portRef D (instanceRef blk00000320))
+ (portRef Q (instanceRef blk00000339))
+ )
+ )
+ (net sig00000b57
+ (joined
+ (portRef D (instanceRef blk00000321))
+ (portRef Q (instanceRef blk0000033a))
+ )
+ )
+ (net sig00000b58
+ (joined
+ (portRef D (instanceRef blk00000322))
+ (portRef Q (instanceRef blk00000338))
+ )
+ )
+ (net sig00000b59
+ (joined
+ (portRef D (instanceRef blk00000323))
+ (portRef Q (instanceRef blk0000033c))
+ )
+ )
+ (net sig00000b5a
+ (joined
+ (portRef D (instanceRef blk00000324))
+ (portRef Q (instanceRef blk0000033d))
+ )
+ )
+ (net sig00000b5b
+ (joined
+ (portRef D (instanceRef blk00000325))
+ (portRef Q (instanceRef blk0000033b))
+ )
+ )
+ (net sig00000b5c
+ (joined
+ (portRef D (instanceRef blk00000326))
+ (portRef Q (instanceRef blk0000033f))
+ )
+ )
+ (net sig00000b5d
+ (joined
+ (portRef D (instanceRef blk00000327))
+ (portRef Q (instanceRef blk00000340))
+ )
+ )
+ (net sig00000b5e
+ (joined
+ (portRef D (instanceRef blk00000328))
+ (portRef Q (instanceRef blk0000033e))
+ )
+ )
+ (net sig00000b5f
+ (joined
+ (portRef D (instanceRef blk00000329))
+ (portRef Q (instanceRef blk00000342))
+ )
+ )
+ (net sig00000b60
+ (joined
+ (portRef D (instanceRef blk0000032a))
+ (portRef Q (instanceRef blk00000343))
+ )
+ )
+ (net sig00000b61
+ (joined
+ (portRef D (instanceRef blk0000032b))
+ (portRef Q (instanceRef blk00000341))
+ )
+ )
+ (net sig00000b62
+ (joined
+ (portRef D (instanceRef blk0000032c))
+ (portRef Q (instanceRef blk00000345))
+ )
+ )
+ (net sig00000b63
+ (joined
+ (portRef D (instanceRef blk0000032d))
+ (portRef Q (instanceRef blk00000346))
+ )
+ )
+ (net sig00000b64
+ (joined
+ (portRef D (instanceRef blk0000032e))
+ (portRef Q (instanceRef blk00000344))
+ )
+ )
+ (net sig00000b65
+ (joined
+ (portRef CE (instanceRef blk0000032f))
+ (portRef CE (instanceRef blk00000330))
+ (portRef CE (instanceRef blk00000331))
+ (portRef CE (instanceRef blk00000332))
+ (portRef CE (instanceRef blk00000333))
+ (portRef CE (instanceRef blk00000334))
+ (portRef CE (instanceRef blk00000335))
+ (portRef CE (instanceRef blk00000336))
+ (portRef CE (instanceRef blk00000337))
+ (portRef CE (instanceRef blk00000338))
+ (portRef CE (instanceRef blk00000339))
+ (portRef CE (instanceRef blk0000033a))
+ (portRef CE (instanceRef blk0000033b))
+ (portRef CE (instanceRef blk0000033c))
+ (portRef CE (instanceRef blk0000033d))
+ (portRef CE (instanceRef blk0000033e))
+ (portRef CE (instanceRef blk0000033f))
+ (portRef CE (instanceRef blk00000340))
+ (portRef CE (instanceRef blk00000341))
+ (portRef CE (instanceRef blk00000342))
+ (portRef CE (instanceRef blk00000343))
+ (portRef CE (instanceRef blk00000344))
+ (portRef CE (instanceRef blk00000345))
+ (portRef CE (instanceRef blk00000346))
+ (portRef O (instanceRef blk00000347))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO9_blk000002e2 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000002e3
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000002e4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002e6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002e8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ea
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002fd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002fe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ff
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000300
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000301
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000302
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000303
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000304
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000305
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000306
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000307
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000308
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000309
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000030f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000310
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000311
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000312
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000313
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000314
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000ac8
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk000002fc))
+ (portRef A1 (instanceRef blk000002fd))
+ (portRef A1 (instanceRef blk000002fe))
+ (portRef A1 (instanceRef blk000002ff))
+ (portRef A1 (instanceRef blk00000300))
+ (portRef A1 (instanceRef blk00000301))
+ (portRef A1 (instanceRef blk00000302))
+ (portRef A1 (instanceRef blk00000303))
+ (portRef A1 (instanceRef blk00000304))
+ (portRef A1 (instanceRef blk00000305))
+ (portRef A1 (instanceRef blk00000306))
+ (portRef A1 (instanceRef blk00000307))
+ (portRef A1 (instanceRef blk00000308))
+ (portRef A1 (instanceRef blk00000309))
+ (portRef A1 (instanceRef blk0000030a))
+ (portRef A1 (instanceRef blk0000030b))
+ (portRef A1 (instanceRef blk0000030c))
+ (portRef A1 (instanceRef blk0000030d))
+ (portRef A1 (instanceRef blk0000030e))
+ (portRef A1 (instanceRef blk0000030f))
+ (portRef A1 (instanceRef blk00000310))
+ (portRef A1 (instanceRef blk00000311))
+ (portRef A1 (instanceRef blk00000312))
+ (portRef A1 (instanceRef blk00000313))
+ )
+ )
+ (net sig00000ac9
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk000002fc))
+ (portRef A0 (instanceRef blk000002fd))
+ (portRef A0 (instanceRef blk000002fe))
+ (portRef A0 (instanceRef blk000002ff))
+ (portRef A0 (instanceRef blk00000300))
+ (portRef A0 (instanceRef blk00000301))
+ (portRef A0 (instanceRef blk00000302))
+ (portRef A0 (instanceRef blk00000303))
+ (portRef A0 (instanceRef blk00000304))
+ (portRef A0 (instanceRef blk00000305))
+ (portRef A0 (instanceRef blk00000306))
+ (portRef A0 (instanceRef blk00000307))
+ (portRef A0 (instanceRef blk00000308))
+ (portRef A0 (instanceRef blk00000309))
+ (portRef A0 (instanceRef blk0000030a))
+ (portRef A0 (instanceRef blk0000030b))
+ (portRef A0 (instanceRef blk0000030c))
+ (portRef A0 (instanceRef blk0000030d))
+ (portRef A0 (instanceRef blk0000030e))
+ (portRef A0 (instanceRef blk0000030f))
+ (portRef A0 (instanceRef blk00000310))
+ (portRef A0 (instanceRef blk00000311))
+ (portRef A0 (instanceRef blk00000312))
+ (portRef A0 (instanceRef blk00000313))
+ )
+ )
+ (net sig00000aca
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000311))
+ )
+ )
+ (net sig00000acb
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000313))
+ )
+ )
+ (net sig00000acc
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000312))
+ )
+ )
+ (net sig00000acd
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000030e))
+ )
+ )
+ (net sig00000ace
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000310))
+ )
+ )
+ (net sig00000acf
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000ad0
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000030b))
+ )
+ )
+ (net sig00000ad1
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000030d))
+ )
+ )
+ (net sig00000ad2
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000030c))
+ )
+ )
+ (net sig00000ad3
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000308))
+ )
+ )
+ (net sig00000ad4
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000030a))
+ )
+ )
+ (net sig00000ad5
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000309))
+ )
+ )
+ (net sig00000ad6
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000305))
+ )
+ )
+ (net sig00000ad7
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000307))
+ )
+ )
+ (net sig00000ad8
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000306))
+ )
+ )
+ (net sig00000ad9
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000302))
+ )
+ )
+ (net sig00000ada
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000304))
+ )
+ )
+ (net sig00000adb
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000303))
+ )
+ )
+ (net sig00000adc
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000002ff))
+ )
+ )
+ (net sig00000add
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000301))
+ )
+ )
+ (net sig00000ade
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000300))
+ )
+ )
+ (net sig00000adf
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000002fc))
+ )
+ )
+ (net sig00000ae0
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000002fe))
+ )
+ )
+ (net sig00000ae1
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000002fd))
+ )
+ )
+ (net sig00000ae2
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000314))
+ )
+ )
+ (net sig00000ae3
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000002e4))
+ (portRef CE (instanceRef blk000002e5))
+ (portRef CE (instanceRef blk000002e6))
+ (portRef CE (instanceRef blk000002e7))
+ (portRef CE (instanceRef blk000002e8))
+ (portRef CE (instanceRef blk000002e9))
+ (portRef CE (instanceRef blk000002ea))
+ (portRef CE (instanceRef blk000002eb))
+ (portRef CE (instanceRef blk000002ec))
+ (portRef CE (instanceRef blk000002ed))
+ (portRef CE (instanceRef blk000002ee))
+ (portRef CE (instanceRef blk000002ef))
+ (portRef CE (instanceRef blk000002f0))
+ (portRef CE (instanceRef blk000002f1))
+ (portRef CE (instanceRef blk000002f2))
+ (portRef CE (instanceRef blk000002f3))
+ (portRef CE (instanceRef blk000002f4))
+ (portRef CE (instanceRef blk000002f5))
+ (portRef CE (instanceRef blk000002f6))
+ (portRef CE (instanceRef blk000002f7))
+ (portRef CE (instanceRef blk000002f8))
+ (portRef CE (instanceRef blk000002f9))
+ (portRef CE (instanceRef blk000002fa))
+ (portRef CE (instanceRef blk000002fb))
+ (portRef I0 (instanceRef blk00000314))
+ )
+ )
+ (net sig00000ae4
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000002e4))
+ (portRef C (instanceRef blk000002e5))
+ (portRef C (instanceRef blk000002e6))
+ (portRef C (instanceRef blk000002e7))
+ (portRef C (instanceRef blk000002e8))
+ (portRef C (instanceRef blk000002e9))
+ (portRef C (instanceRef blk000002ea))
+ (portRef C (instanceRef blk000002eb))
+ (portRef C (instanceRef blk000002ec))
+ (portRef C (instanceRef blk000002ed))
+ (portRef C (instanceRef blk000002ee))
+ (portRef C (instanceRef blk000002ef))
+ (portRef C (instanceRef blk000002f0))
+ (portRef C (instanceRef blk000002f1))
+ (portRef C (instanceRef blk000002f2))
+ (portRef C (instanceRef blk000002f3))
+ (portRef C (instanceRef blk000002f4))
+ (portRef C (instanceRef blk000002f5))
+ (portRef C (instanceRef blk000002f6))
+ (portRef C (instanceRef blk000002f7))
+ (portRef C (instanceRef blk000002f8))
+ (portRef C (instanceRef blk000002f9))
+ (portRef C (instanceRef blk000002fa))
+ (portRef C (instanceRef blk000002fb))
+ (portRef CLK (instanceRef blk000002fc))
+ (portRef CLK (instanceRef blk000002fd))
+ (portRef CLK (instanceRef blk000002fe))
+ (portRef CLK (instanceRef blk000002ff))
+ (portRef CLK (instanceRef blk00000300))
+ (portRef CLK (instanceRef blk00000301))
+ (portRef CLK (instanceRef blk00000302))
+ (portRef CLK (instanceRef blk00000303))
+ (portRef CLK (instanceRef blk00000304))
+ (portRef CLK (instanceRef blk00000305))
+ (portRef CLK (instanceRef blk00000306))
+ (portRef CLK (instanceRef blk00000307))
+ (portRef CLK (instanceRef blk00000308))
+ (portRef CLK (instanceRef blk00000309))
+ (portRef CLK (instanceRef blk0000030a))
+ (portRef CLK (instanceRef blk0000030b))
+ (portRef CLK (instanceRef blk0000030c))
+ (portRef CLK (instanceRef blk0000030d))
+ (portRef CLK (instanceRef blk0000030e))
+ (portRef CLK (instanceRef blk0000030f))
+ (portRef CLK (instanceRef blk00000310))
+ (portRef CLK (instanceRef blk00000311))
+ (portRef CLK (instanceRef blk00000312))
+ (portRef CLK (instanceRef blk00000313))
+ )
+ )
+ (net sig00000ae5
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000002fb))
+ )
+ )
+ (net sig00000ae6
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000002fa))
+ )
+ )
+ (net sig00000ae7
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000002f9))
+ )
+ )
+ (net sig00000ae8
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000002f8))
+ )
+ )
+ (net sig00000ae9
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000002f7))
+ )
+ )
+ (net sig00000aea
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000002f6))
+ )
+ )
+ (net sig00000aeb
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000002f5))
+ )
+ )
+ (net sig00000aec
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000002f4))
+ )
+ )
+ (net sig00000aed
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000002f3))
+ )
+ )
+ (net sig00000aee
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000002f2))
+ )
+ )
+ (net sig00000aef
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000002f1))
+ )
+ )
+ (net sig00000af0
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000002f0))
+ )
+ )
+ (net sig00000af1
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000002ef))
+ )
+ )
+ (net sig00000af2
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000002ee))
+ )
+ )
+ (net sig00000af3
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000002ed))
+ )
+ )
+ (net sig00000af4
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000002ec))
+ )
+ )
+ (net sig00000af5
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000002eb))
+ )
+ )
+ (net sig00000af6
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000af7
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000af8
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000002e8))
+ )
+ )
+ (net sig00000af9
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000002e7))
+ )
+ )
+ (net sig00000afa
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000002e6))
+ )
+ )
+ (net sig00000afb
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000002e5))
+ )
+ )
+ (net sig00000afc
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000002e4))
+ )
+ )
+ (net sig00000afd
+ (joined
+ (portRef G (instanceRef blk000002e3))
+ (portRef A2 (instanceRef blk000002fc))
+ (portRef A3 (instanceRef blk000002fc))
+ (portRef A2 (instanceRef blk000002fd))
+ (portRef A3 (instanceRef blk000002fd))
+ (portRef A2 (instanceRef blk000002fe))
+ (portRef A3 (instanceRef blk000002fe))
+ (portRef A2 (instanceRef blk000002ff))
+ (portRef A3 (instanceRef blk000002ff))
+ (portRef A2 (instanceRef blk00000300))
+ (portRef A3 (instanceRef blk00000300))
+ (portRef A2 (instanceRef blk00000301))
+ (portRef A3 (instanceRef blk00000301))
+ (portRef A2 (instanceRef blk00000302))
+ (portRef A3 (instanceRef blk00000302))
+ (portRef A2 (instanceRef blk00000303))
+ (portRef A3 (instanceRef blk00000303))
+ (portRef A2 (instanceRef blk00000304))
+ (portRef A3 (instanceRef blk00000304))
+ (portRef A2 (instanceRef blk00000305))
+ (portRef A3 (instanceRef blk00000305))
+ (portRef A2 (instanceRef blk00000306))
+ (portRef A3 (instanceRef blk00000306))
+ (portRef A2 (instanceRef blk00000307))
+ (portRef A3 (instanceRef blk00000307))
+ (portRef A2 (instanceRef blk00000308))
+ (portRef A3 (instanceRef blk00000308))
+ (portRef A2 (instanceRef blk00000309))
+ (portRef A3 (instanceRef blk00000309))
+ (portRef A2 (instanceRef blk0000030a))
+ (portRef A3 (instanceRef blk0000030a))
+ (portRef A2 (instanceRef blk0000030b))
+ (portRef A3 (instanceRef blk0000030b))
+ (portRef A2 (instanceRef blk0000030c))
+ (portRef A3 (instanceRef blk0000030c))
+ (portRef A2 (instanceRef blk0000030d))
+ (portRef A3 (instanceRef blk0000030d))
+ (portRef A2 (instanceRef blk0000030e))
+ (portRef A3 (instanceRef blk0000030e))
+ (portRef A2 (instanceRef blk0000030f))
+ (portRef A3 (instanceRef blk0000030f))
+ (portRef A2 (instanceRef blk00000310))
+ (portRef A3 (instanceRef blk00000310))
+ (portRef A2 (instanceRef blk00000311))
+ (portRef A3 (instanceRef blk00000311))
+ (portRef A2 (instanceRef blk00000312))
+ (portRef A3 (instanceRef blk00000312))
+ (portRef A2 (instanceRef blk00000313))
+ (portRef A3 (instanceRef blk00000313))
+ )
+ )
+ (net sig00000afe
+ (joined
+ (portRef D (instanceRef blk000002e4))
+ (portRef Q (instanceRef blk000002fd))
+ )
+ )
+ (net sig00000aff
+ (joined
+ (portRef D (instanceRef blk000002e5))
+ (portRef Q (instanceRef blk000002fe))
+ )
+ )
+ (net sig00000b00
+ (joined
+ (portRef D (instanceRef blk000002e6))
+ (portRef Q (instanceRef blk000002fc))
+ )
+ )
+ (net sig00000b01
+ (joined
+ (portRef D (instanceRef blk000002e7))
+ (portRef Q (instanceRef blk00000300))
+ )
+ )
+ (net sig00000b02
+ (joined
+ (portRef D (instanceRef blk000002e8))
+ (portRef Q (instanceRef blk00000301))
+ )
+ )
+ (net sig00000b03
+ (joined
+ (portRef D (instanceRef blk000002e9))
+ (portRef Q (instanceRef blk000002ff))
+ )
+ )
+ (net sig00000b04
+ (joined
+ (portRef D (instanceRef blk000002ea))
+ (portRef Q (instanceRef blk00000303))
+ )
+ )
+ (net sig00000b05
+ (joined
+ (portRef D (instanceRef blk000002eb))
+ (portRef Q (instanceRef blk00000304))
+ )
+ )
+ (net sig00000b06
+ (joined
+ (portRef D (instanceRef blk000002ec))
+ (portRef Q (instanceRef blk00000302))
+ )
+ )
+ (net sig00000b07
+ (joined
+ (portRef D (instanceRef blk000002ed))
+ (portRef Q (instanceRef blk00000306))
+ )
+ )
+ (net sig00000b08
+ (joined
+ (portRef D (instanceRef blk000002ee))
+ (portRef Q (instanceRef blk00000307))
+ )
+ )
+ (net sig00000b09
+ (joined
+ (portRef D (instanceRef blk000002ef))
+ (portRef Q (instanceRef blk00000305))
+ )
+ )
+ (net sig00000b0a
+ (joined
+ (portRef D (instanceRef blk000002f0))
+ (portRef Q (instanceRef blk00000309))
+ )
+ )
+ (net sig00000b0b
+ (joined
+ (portRef D (instanceRef blk000002f1))
+ (portRef Q (instanceRef blk0000030a))
+ )
+ )
+ (net sig00000b0c
+ (joined
+ (portRef D (instanceRef blk000002f2))
+ (portRef Q (instanceRef blk00000308))
+ )
+ )
+ (net sig00000b0d
+ (joined
+ (portRef D (instanceRef blk000002f3))
+ (portRef Q (instanceRef blk0000030c))
+ )
+ )
+ (net sig00000b0e
+ (joined
+ (portRef D (instanceRef blk000002f4))
+ (portRef Q (instanceRef blk0000030d))
+ )
+ )
+ (net sig00000b0f
+ (joined
+ (portRef D (instanceRef blk000002f5))
+ (portRef Q (instanceRef blk0000030b))
+ )
+ )
+ (net sig00000b10
+ (joined
+ (portRef D (instanceRef blk000002f6))
+ (portRef Q (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000b11
+ (joined
+ (portRef D (instanceRef blk000002f7))
+ (portRef Q (instanceRef blk00000310))
+ )
+ )
+ (net sig00000b12
+ (joined
+ (portRef D (instanceRef blk000002f8))
+ (portRef Q (instanceRef blk0000030e))
+ )
+ )
+ (net sig00000b13
+ (joined
+ (portRef D (instanceRef blk000002f9))
+ (portRef Q (instanceRef blk00000312))
+ )
+ )
+ (net sig00000b14
+ (joined
+ (portRef D (instanceRef blk000002fa))
+ (portRef Q (instanceRef blk00000313))
+ )
+ )
+ (net sig00000b15
+ (joined
+ (portRef D (instanceRef blk000002fb))
+ (portRef Q (instanceRef blk00000311))
+ )
+ )
+ (net sig00000b16
+ (joined
+ (portRef CE (instanceRef blk000002fc))
+ (portRef CE (instanceRef blk000002fd))
+ (portRef CE (instanceRef blk000002fe))
+ (portRef CE (instanceRef blk000002ff))
+ (portRef CE (instanceRef blk00000300))
+ (portRef CE (instanceRef blk00000301))
+ (portRef CE (instanceRef blk00000302))
+ (portRef CE (instanceRef blk00000303))
+ (portRef CE (instanceRef blk00000304))
+ (portRef CE (instanceRef blk00000305))
+ (portRef CE (instanceRef blk00000306))
+ (portRef CE (instanceRef blk00000307))
+ (portRef CE (instanceRef blk00000308))
+ (portRef CE (instanceRef blk00000309))
+ (portRef CE (instanceRef blk0000030a))
+ (portRef CE (instanceRef blk0000030b))
+ (portRef CE (instanceRef blk0000030c))
+ (portRef CE (instanceRef blk0000030d))
+ (portRef CE (instanceRef blk0000030e))
+ (portRef CE (instanceRef blk0000030f))
+ (portRef CE (instanceRef blk00000310))
+ (portRef CE (instanceRef blk00000311))
+ (portRef CE (instanceRef blk00000312))
+ (portRef CE (instanceRef blk00000313))
+ (portRef O (instanceRef blk00000314))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO8_blk000002af "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000002b0
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000002b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002cb
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002cc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002cd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002cf
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002e1
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000a79
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk000002c9))
+ (portRef A1 (instanceRef blk000002ca))
+ (portRef A1 (instanceRef blk000002cb))
+ (portRef A1 (instanceRef blk000002cc))
+ (portRef A1 (instanceRef blk000002cd))
+ (portRef A1 (instanceRef blk000002ce))
+ (portRef A1 (instanceRef blk000002cf))
+ (portRef A1 (instanceRef blk000002d0))
+ (portRef A1 (instanceRef blk000002d1))
+ (portRef A1 (instanceRef blk000002d2))
+ (portRef A1 (instanceRef blk000002d3))
+ (portRef A1 (instanceRef blk000002d4))
+ (portRef A1 (instanceRef blk000002d5))
+ (portRef A1 (instanceRef blk000002d6))
+ (portRef A1 (instanceRef blk000002d7))
+ (portRef A1 (instanceRef blk000002d8))
+ (portRef A1 (instanceRef blk000002d9))
+ (portRef A1 (instanceRef blk000002da))
+ (portRef A1 (instanceRef blk000002db))
+ (portRef A1 (instanceRef blk000002dc))
+ (portRef A1 (instanceRef blk000002dd))
+ (portRef A1 (instanceRef blk000002de))
+ (portRef A1 (instanceRef blk000002df))
+ (portRef A1 (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000a7a
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk000002c9))
+ (portRef A0 (instanceRef blk000002ca))
+ (portRef A0 (instanceRef blk000002cb))
+ (portRef A0 (instanceRef blk000002cc))
+ (portRef A0 (instanceRef blk000002cd))
+ (portRef A0 (instanceRef blk000002ce))
+ (portRef A0 (instanceRef blk000002cf))
+ (portRef A0 (instanceRef blk000002d0))
+ (portRef A0 (instanceRef blk000002d1))
+ (portRef A0 (instanceRef blk000002d2))
+ (portRef A0 (instanceRef blk000002d3))
+ (portRef A0 (instanceRef blk000002d4))
+ (portRef A0 (instanceRef blk000002d5))
+ (portRef A0 (instanceRef blk000002d6))
+ (portRef A0 (instanceRef blk000002d7))
+ (portRef A0 (instanceRef blk000002d8))
+ (portRef A0 (instanceRef blk000002d9))
+ (portRef A0 (instanceRef blk000002da))
+ (portRef A0 (instanceRef blk000002db))
+ (portRef A0 (instanceRef blk000002dc))
+ (portRef A0 (instanceRef blk000002dd))
+ (portRef A0 (instanceRef blk000002de))
+ (portRef A0 (instanceRef blk000002df))
+ (portRef A0 (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000a7b
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000002de))
+ )
+ )
+ (net sig00000a7c
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000a7d
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000002df))
+ )
+ )
+ (net sig00000a7e
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000002db))
+ )
+ )
+ (net sig00000a7f
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000002dd))
+ )
+ )
+ (net sig00000a80
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000002dc))
+ )
+ )
+ (net sig00000a81
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000002d8))
+ )
+ )
+ (net sig00000a82
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000002da))
+ )
+ )
+ (net sig00000a83
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000002d9))
+ )
+ )
+ (net sig00000a84
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000002d5))
+ )
+ )
+ (net sig00000a85
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000002d7))
+ )
+ )
+ (net sig00000a86
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000002d6))
+ )
+ )
+ (net sig00000a87
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000002d2))
+ )
+ )
+ (net sig00000a88
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000002d4))
+ )
+ )
+ (net sig00000a89
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000002d3))
+ )
+ )
+ (net sig00000a8a
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000002cf))
+ )
+ )
+ (net sig00000a8b
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000002d1))
+ )
+ )
+ (net sig00000a8c
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000002d0))
+ )
+ )
+ (net sig00000a8d
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000002cc))
+ )
+ )
+ (net sig00000a8e
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000002ce))
+ )
+ )
+ (net sig00000a8f
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000002cd))
+ )
+ )
+ (net sig00000a90
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000002c9))
+ )
+ )
+ (net sig00000a91
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000002cb))
+ )
+ )
+ (net sig00000a92
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000002ca))
+ )
+ )
+ (net sig00000a93
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000002e1))
+ )
+ )
+ (net sig00000a94
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000002b1))
+ (portRef CE (instanceRef blk000002b2))
+ (portRef CE (instanceRef blk000002b3))
+ (portRef CE (instanceRef blk000002b4))
+ (portRef CE (instanceRef blk000002b5))
+ (portRef CE (instanceRef blk000002b6))
+ (portRef CE (instanceRef blk000002b7))
+ (portRef CE (instanceRef blk000002b8))
+ (portRef CE (instanceRef blk000002b9))
+ (portRef CE (instanceRef blk000002ba))
+ (portRef CE (instanceRef blk000002bb))
+ (portRef CE (instanceRef blk000002bc))
+ (portRef CE (instanceRef blk000002bd))
+ (portRef CE (instanceRef blk000002be))
+ (portRef CE (instanceRef blk000002bf))
+ (portRef CE (instanceRef blk000002c0))
+ (portRef CE (instanceRef blk000002c1))
+ (portRef CE (instanceRef blk000002c2))
+ (portRef CE (instanceRef blk000002c3))
+ (portRef CE (instanceRef blk000002c4))
+ (portRef CE (instanceRef blk000002c5))
+ (portRef CE (instanceRef blk000002c6))
+ (portRef CE (instanceRef blk000002c7))
+ (portRef CE (instanceRef blk000002c8))
+ (portRef I0 (instanceRef blk000002e1))
+ )
+ )
+ (net sig00000a95
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000002b1))
+ (portRef C (instanceRef blk000002b2))
+ (portRef C (instanceRef blk000002b3))
+ (portRef C (instanceRef blk000002b4))
+ (portRef C (instanceRef blk000002b5))
+ (portRef C (instanceRef blk000002b6))
+ (portRef C (instanceRef blk000002b7))
+ (portRef C (instanceRef blk000002b8))
+ (portRef C (instanceRef blk000002b9))
+ (portRef C (instanceRef blk000002ba))
+ (portRef C (instanceRef blk000002bb))
+ (portRef C (instanceRef blk000002bc))
+ (portRef C (instanceRef blk000002bd))
+ (portRef C (instanceRef blk000002be))
+ (portRef C (instanceRef blk000002bf))
+ (portRef C (instanceRef blk000002c0))
+ (portRef C (instanceRef blk000002c1))
+ (portRef C (instanceRef blk000002c2))
+ (portRef C (instanceRef blk000002c3))
+ (portRef C (instanceRef blk000002c4))
+ (portRef C (instanceRef blk000002c5))
+ (portRef C (instanceRef blk000002c6))
+ (portRef C (instanceRef blk000002c7))
+ (portRef C (instanceRef blk000002c8))
+ (portRef CLK (instanceRef blk000002c9))
+ (portRef CLK (instanceRef blk000002ca))
+ (portRef CLK (instanceRef blk000002cb))
+ (portRef CLK (instanceRef blk000002cc))
+ (portRef CLK (instanceRef blk000002cd))
+ (portRef CLK (instanceRef blk000002ce))
+ (portRef CLK (instanceRef blk000002cf))
+ (portRef CLK (instanceRef blk000002d0))
+ (portRef CLK (instanceRef blk000002d1))
+ (portRef CLK (instanceRef blk000002d2))
+ (portRef CLK (instanceRef blk000002d3))
+ (portRef CLK (instanceRef blk000002d4))
+ (portRef CLK (instanceRef blk000002d5))
+ (portRef CLK (instanceRef blk000002d6))
+ (portRef CLK (instanceRef blk000002d7))
+ (portRef CLK (instanceRef blk000002d8))
+ (portRef CLK (instanceRef blk000002d9))
+ (portRef CLK (instanceRef blk000002da))
+ (portRef CLK (instanceRef blk000002db))
+ (portRef CLK (instanceRef blk000002dc))
+ (portRef CLK (instanceRef blk000002dd))
+ (portRef CLK (instanceRef blk000002de))
+ (portRef CLK (instanceRef blk000002df))
+ (portRef CLK (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000a96
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000002c8))
+ )
+ )
+ (net sig00000a97
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000002c7))
+ )
+ )
+ (net sig00000a98
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000002c6))
+ )
+ )
+ (net sig00000a99
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000002c5))
+ )
+ )
+ (net sig00000a9a
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000002c4))
+ )
+ )
+ (net sig00000a9b
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000002c3))
+ )
+ )
+ (net sig00000a9c
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000002c2))
+ )
+ )
+ (net sig00000a9d
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000002c1))
+ )
+ )
+ (net sig00000a9e
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000002c0))
+ )
+ )
+ (net sig00000a9f
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000002bf))
+ )
+ )
+ (net sig00000aa0
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000002be))
+ )
+ )
+ (net sig00000aa1
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000002bd))
+ )
+ )
+ (net sig00000aa2
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000002bc))
+ )
+ )
+ (net sig00000aa3
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000002bb))
+ )
+ )
+ (net sig00000aa4
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000002ba))
+ )
+ )
+ (net sig00000aa5
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000002b9))
+ )
+ )
+ (net sig00000aa6
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000002b8))
+ )
+ )
+ (net sig00000aa7
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000002b7))
+ )
+ )
+ (net sig00000aa8
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000002b6))
+ )
+ )
+ (net sig00000aa9
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000002b5))
+ )
+ )
+ (net sig00000aaa
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000002b4))
+ )
+ )
+ (net sig00000aab
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000002b3))
+ )
+ )
+ (net sig00000aac
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000002b2))
+ )
+ )
+ (net sig00000aad
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000002b1))
+ )
+ )
+ (net sig00000aae
+ (joined
+ (portRef G (instanceRef blk000002b0))
+ (portRef A2 (instanceRef blk000002c9))
+ (portRef A3 (instanceRef blk000002c9))
+ (portRef A2 (instanceRef blk000002ca))
+ (portRef A3 (instanceRef blk000002ca))
+ (portRef A2 (instanceRef blk000002cb))
+ (portRef A3 (instanceRef blk000002cb))
+ (portRef A2 (instanceRef blk000002cc))
+ (portRef A3 (instanceRef blk000002cc))
+ (portRef A2 (instanceRef blk000002cd))
+ (portRef A3 (instanceRef blk000002cd))
+ (portRef A2 (instanceRef blk000002ce))
+ (portRef A3 (instanceRef blk000002ce))
+ (portRef A2 (instanceRef blk000002cf))
+ (portRef A3 (instanceRef blk000002cf))
+ (portRef A2 (instanceRef blk000002d0))
+ (portRef A3 (instanceRef blk000002d0))
+ (portRef A2 (instanceRef blk000002d1))
+ (portRef A3 (instanceRef blk000002d1))
+ (portRef A2 (instanceRef blk000002d2))
+ (portRef A3 (instanceRef blk000002d2))
+ (portRef A2 (instanceRef blk000002d3))
+ (portRef A3 (instanceRef blk000002d3))
+ (portRef A2 (instanceRef blk000002d4))
+ (portRef A3 (instanceRef blk000002d4))
+ (portRef A2 (instanceRef blk000002d5))
+ (portRef A3 (instanceRef blk000002d5))
+ (portRef A2 (instanceRef blk000002d6))
+ (portRef A3 (instanceRef blk000002d6))
+ (portRef A2 (instanceRef blk000002d7))
+ (portRef A3 (instanceRef blk000002d7))
+ (portRef A2 (instanceRef blk000002d8))
+ (portRef A3 (instanceRef blk000002d8))
+ (portRef A2 (instanceRef blk000002d9))
+ (portRef A3 (instanceRef blk000002d9))
+ (portRef A2 (instanceRef blk000002da))
+ (portRef A3 (instanceRef blk000002da))
+ (portRef A2 (instanceRef blk000002db))
+ (portRef A3 (instanceRef blk000002db))
+ (portRef A2 (instanceRef blk000002dc))
+ (portRef A3 (instanceRef blk000002dc))
+ (portRef A2 (instanceRef blk000002dd))
+ (portRef A3 (instanceRef blk000002dd))
+ (portRef A2 (instanceRef blk000002de))
+ (portRef A3 (instanceRef blk000002de))
+ (portRef A2 (instanceRef blk000002df))
+ (portRef A3 (instanceRef blk000002df))
+ (portRef A2 (instanceRef blk000002e0))
+ (portRef A3 (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000aaf
+ (joined
+ (portRef D (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000002ca))
+ )
+ )
+ (net sig00000ab0
+ (joined
+ (portRef D (instanceRef blk000002b2))
+ (portRef Q (instanceRef blk000002cb))
+ )
+ )
+ (net sig00000ab1
+ (joined
+ (portRef D (instanceRef blk000002b3))
+ (portRef Q (instanceRef blk000002c9))
+ )
+ )
+ (net sig00000ab2
+ (joined
+ (portRef D (instanceRef blk000002b4))
+ (portRef Q (instanceRef blk000002cd))
+ )
+ )
+ (net sig00000ab3
+ (joined
+ (portRef D (instanceRef blk000002b5))
+ (portRef Q (instanceRef blk000002ce))
+ )
+ )
+ (net sig00000ab4
+ (joined
+ (portRef D (instanceRef blk000002b6))
+ (portRef Q (instanceRef blk000002cc))
+ )
+ )
+ (net sig00000ab5
+ (joined
+ (portRef D (instanceRef blk000002b7))
+ (portRef Q (instanceRef blk000002d0))
+ )
+ )
+ (net sig00000ab6
+ (joined
+ (portRef D (instanceRef blk000002b8))
+ (portRef Q (instanceRef blk000002d1))
+ )
+ )
+ (net sig00000ab7
+ (joined
+ (portRef D (instanceRef blk000002b9))
+ (portRef Q (instanceRef blk000002cf))
+ )
+ )
+ (net sig00000ab8
+ (joined
+ (portRef D (instanceRef blk000002ba))
+ (portRef Q (instanceRef blk000002d3))
+ )
+ )
+ (net sig00000ab9
+ (joined
+ (portRef D (instanceRef blk000002bb))
+ (portRef Q (instanceRef blk000002d4))
+ )
+ )
+ (net sig00000aba
+ (joined
+ (portRef D (instanceRef blk000002bc))
+ (portRef Q (instanceRef blk000002d2))
+ )
+ )
+ (net sig00000abb
+ (joined
+ (portRef D (instanceRef blk000002bd))
+ (portRef Q (instanceRef blk000002d6))
+ )
+ )
+ (net sig00000abc
+ (joined
+ (portRef D (instanceRef blk000002be))
+ (portRef Q (instanceRef blk000002d7))
+ )
+ )
+ (net sig00000abd
+ (joined
+ (portRef D (instanceRef blk000002bf))
+ (portRef Q (instanceRef blk000002d5))
+ )
+ )
+ (net sig00000abe
+ (joined
+ (portRef D (instanceRef blk000002c0))
+ (portRef Q (instanceRef blk000002d9))
+ )
+ )
+ (net sig00000abf
+ (joined
+ (portRef D (instanceRef blk000002c1))
+ (portRef Q (instanceRef blk000002da))
+ )
+ )
+ (net sig00000ac0
+ (joined
+ (portRef D (instanceRef blk000002c2))
+ (portRef Q (instanceRef blk000002d8))
+ )
+ )
+ (net sig00000ac1
+ (joined
+ (portRef D (instanceRef blk000002c3))
+ (portRef Q (instanceRef blk000002dc))
+ )
+ )
+ (net sig00000ac2
+ (joined
+ (portRef D (instanceRef blk000002c4))
+ (portRef Q (instanceRef blk000002dd))
+ )
+ )
+ (net sig00000ac3
+ (joined
+ (portRef D (instanceRef blk000002c5))
+ (portRef Q (instanceRef blk000002db))
+ )
+ )
+ (net sig00000ac4
+ (joined
+ (portRef D (instanceRef blk000002c6))
+ (portRef Q (instanceRef blk000002df))
+ )
+ )
+ (net sig00000ac5
+ (joined
+ (portRef D (instanceRef blk000002c7))
+ (portRef Q (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000ac6
+ (joined
+ (portRef D (instanceRef blk000002c8))
+ (portRef Q (instanceRef blk000002de))
+ )
+ )
+ (net sig00000ac7
+ (joined
+ (portRef CE (instanceRef blk000002c9))
+ (portRef CE (instanceRef blk000002ca))
+ (portRef CE (instanceRef blk000002cb))
+ (portRef CE (instanceRef blk000002cc))
+ (portRef CE (instanceRef blk000002cd))
+ (portRef CE (instanceRef blk000002ce))
+ (portRef CE (instanceRef blk000002cf))
+ (portRef CE (instanceRef blk000002d0))
+ (portRef CE (instanceRef blk000002d1))
+ (portRef CE (instanceRef blk000002d2))
+ (portRef CE (instanceRef blk000002d3))
+ (portRef CE (instanceRef blk000002d4))
+ (portRef CE (instanceRef blk000002d5))
+ (portRef CE (instanceRef blk000002d6))
+ (portRef CE (instanceRef blk000002d7))
+ (portRef CE (instanceRef blk000002d8))
+ (portRef CE (instanceRef blk000002d9))
+ (portRef CE (instanceRef blk000002da))
+ (portRef CE (instanceRef blk000002db))
+ (portRef CE (instanceRef blk000002dc))
+ (portRef CE (instanceRef blk000002dd))
+ (portRef CE (instanceRef blk000002de))
+ (portRef CE (instanceRef blk000002df))
+ (portRef CE (instanceRef blk000002e0))
+ (portRef O (instanceRef blk000002e1))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO7_blk0000027c "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000027d
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000027e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000027f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000280
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000281
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000282
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000283
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000284
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000285
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000286
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000287
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000288
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000289
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000290
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000291
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000292
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000293
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000294
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000295
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000296
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000297
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000298
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000299
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ae
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000a2a
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000296))
+ (portRef A1 (instanceRef blk00000297))
+ (portRef A1 (instanceRef blk00000298))
+ (portRef A1 (instanceRef blk00000299))
+ (portRef A1 (instanceRef blk0000029a))
+ (portRef A1 (instanceRef blk0000029b))
+ (portRef A1 (instanceRef blk0000029c))
+ (portRef A1 (instanceRef blk0000029d))
+ (portRef A1 (instanceRef blk0000029e))
+ (portRef A1 (instanceRef blk0000029f))
+ (portRef A1 (instanceRef blk000002a0))
+ (portRef A1 (instanceRef blk000002a1))
+ (portRef A1 (instanceRef blk000002a2))
+ (portRef A1 (instanceRef blk000002a3))
+ (portRef A1 (instanceRef blk000002a4))
+ (portRef A1 (instanceRef blk000002a5))
+ (portRef A1 (instanceRef blk000002a6))
+ (portRef A1 (instanceRef blk000002a7))
+ (portRef A1 (instanceRef blk000002a8))
+ (portRef A1 (instanceRef blk000002a9))
+ (portRef A1 (instanceRef blk000002aa))
+ (portRef A1 (instanceRef blk000002ab))
+ (portRef A1 (instanceRef blk000002ac))
+ (portRef A1 (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000a2b
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000296))
+ (portRef A0 (instanceRef blk00000297))
+ (portRef A0 (instanceRef blk00000298))
+ (portRef A0 (instanceRef blk00000299))
+ (portRef A0 (instanceRef blk0000029a))
+ (portRef A0 (instanceRef blk0000029b))
+ (portRef A0 (instanceRef blk0000029c))
+ (portRef A0 (instanceRef blk0000029d))
+ (portRef A0 (instanceRef blk0000029e))
+ (portRef A0 (instanceRef blk0000029f))
+ (portRef A0 (instanceRef blk000002a0))
+ (portRef A0 (instanceRef blk000002a1))
+ (portRef A0 (instanceRef blk000002a2))
+ (portRef A0 (instanceRef blk000002a3))
+ (portRef A0 (instanceRef blk000002a4))
+ (portRef A0 (instanceRef blk000002a5))
+ (portRef A0 (instanceRef blk000002a6))
+ (portRef A0 (instanceRef blk000002a7))
+ (portRef A0 (instanceRef blk000002a8))
+ (portRef A0 (instanceRef blk000002a9))
+ (portRef A0 (instanceRef blk000002aa))
+ (portRef A0 (instanceRef blk000002ab))
+ (portRef A0 (instanceRef blk000002ac))
+ (portRef A0 (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000a2c
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000002ab))
+ )
+ )
+ (net sig00000a2d
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000a2e
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000002ac))
+ )
+ )
+ (net sig00000a2f
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000002a8))
+ )
+ )
+ (net sig00000a30
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000002aa))
+ )
+ )
+ (net sig00000a31
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000002a9))
+ )
+ )
+ (net sig00000a32
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000002a5))
+ )
+ )
+ (net sig00000a33
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000002a7))
+ )
+ )
+ (net sig00000a34
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000002a6))
+ )
+ )
+ (net sig00000a35
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000002a2))
+ )
+ )
+ (net sig00000a36
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000002a4))
+ )
+ )
+ (net sig00000a37
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000002a3))
+ )
+ )
+ (net sig00000a38
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000029f))
+ )
+ )
+ (net sig00000a39
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000002a1))
+ )
+ )
+ (net sig00000a3a
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000002a0))
+ )
+ )
+ (net sig00000a3b
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000029c))
+ )
+ )
+ (net sig00000a3c
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000029e))
+ )
+ )
+ (net sig00000a3d
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000029d))
+ )
+ )
+ (net sig00000a3e
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000299))
+ )
+ )
+ (net sig00000a3f
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000029b))
+ )
+ )
+ (net sig00000a40
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000029a))
+ )
+ )
+ (net sig00000a41
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000296))
+ )
+ )
+ (net sig00000a42
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000298))
+ )
+ )
+ (net sig00000a43
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000297))
+ )
+ )
+ (net sig00000a44
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000002ae))
+ )
+ )
+ (net sig00000a45
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000027e))
+ (portRef CE (instanceRef blk0000027f))
+ (portRef CE (instanceRef blk00000280))
+ (portRef CE (instanceRef blk00000281))
+ (portRef CE (instanceRef blk00000282))
+ (portRef CE (instanceRef blk00000283))
+ (portRef CE (instanceRef blk00000284))
+ (portRef CE (instanceRef blk00000285))
+ (portRef CE (instanceRef blk00000286))
+ (portRef CE (instanceRef blk00000287))
+ (portRef CE (instanceRef blk00000288))
+ (portRef CE (instanceRef blk00000289))
+ (portRef CE (instanceRef blk0000028a))
+ (portRef CE (instanceRef blk0000028b))
+ (portRef CE (instanceRef blk0000028c))
+ (portRef CE (instanceRef blk0000028d))
+ (portRef CE (instanceRef blk0000028e))
+ (portRef CE (instanceRef blk0000028f))
+ (portRef CE (instanceRef blk00000290))
+ (portRef CE (instanceRef blk00000291))
+ (portRef CE (instanceRef blk00000292))
+ (portRef CE (instanceRef blk00000293))
+ (portRef CE (instanceRef blk00000294))
+ (portRef CE (instanceRef blk00000295))
+ (portRef I0 (instanceRef blk000002ae))
+ )
+ )
+ (net sig00000a46
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000027e))
+ (portRef C (instanceRef blk0000027f))
+ (portRef C (instanceRef blk00000280))
+ (portRef C (instanceRef blk00000281))
+ (portRef C (instanceRef blk00000282))
+ (portRef C (instanceRef blk00000283))
+ (portRef C (instanceRef blk00000284))
+ (portRef C (instanceRef blk00000285))
+ (portRef C (instanceRef blk00000286))
+ (portRef C (instanceRef blk00000287))
+ (portRef C (instanceRef blk00000288))
+ (portRef C (instanceRef blk00000289))
+ (portRef C (instanceRef blk0000028a))
+ (portRef C (instanceRef blk0000028b))
+ (portRef C (instanceRef blk0000028c))
+ (portRef C (instanceRef blk0000028d))
+ (portRef C (instanceRef blk0000028e))
+ (portRef C (instanceRef blk0000028f))
+ (portRef C (instanceRef blk00000290))
+ (portRef C (instanceRef blk00000291))
+ (portRef C (instanceRef blk00000292))
+ (portRef C (instanceRef blk00000293))
+ (portRef C (instanceRef blk00000294))
+ (portRef C (instanceRef blk00000295))
+ (portRef CLK (instanceRef blk00000296))
+ (portRef CLK (instanceRef blk00000297))
+ (portRef CLK (instanceRef blk00000298))
+ (portRef CLK (instanceRef blk00000299))
+ (portRef CLK (instanceRef blk0000029a))
+ (portRef CLK (instanceRef blk0000029b))
+ (portRef CLK (instanceRef blk0000029c))
+ (portRef CLK (instanceRef blk0000029d))
+ (portRef CLK (instanceRef blk0000029e))
+ (portRef CLK (instanceRef blk0000029f))
+ (portRef CLK (instanceRef blk000002a0))
+ (portRef CLK (instanceRef blk000002a1))
+ (portRef CLK (instanceRef blk000002a2))
+ (portRef CLK (instanceRef blk000002a3))
+ (portRef CLK (instanceRef blk000002a4))
+ (portRef CLK (instanceRef blk000002a5))
+ (portRef CLK (instanceRef blk000002a6))
+ (portRef CLK (instanceRef blk000002a7))
+ (portRef CLK (instanceRef blk000002a8))
+ (portRef CLK (instanceRef blk000002a9))
+ (portRef CLK (instanceRef blk000002aa))
+ (portRef CLK (instanceRef blk000002ab))
+ (portRef CLK (instanceRef blk000002ac))
+ (portRef CLK (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000a47
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000295))
+ )
+ )
+ (net sig00000a48
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000294))
+ )
+ )
+ (net sig00000a49
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000293))
+ )
+ )
+ (net sig00000a4a
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000292))
+ )
+ )
+ (net sig00000a4b
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000291))
+ )
+ )
+ (net sig00000a4c
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000290))
+ )
+ )
+ (net sig00000a4d
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000028f))
+ )
+ )
+ (net sig00000a4e
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000028e))
+ )
+ )
+ (net sig00000a4f
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000028d))
+ )
+ )
+ (net sig00000a50
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000028c))
+ )
+ )
+ (net sig00000a51
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000028b))
+ )
+ )
+ (net sig00000a52
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000028a))
+ )
+ )
+ (net sig00000a53
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000289))
+ )
+ )
+ (net sig00000a54
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000288))
+ )
+ )
+ (net sig00000a55
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000287))
+ )
+ )
+ (net sig00000a56
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000286))
+ )
+ )
+ (net sig00000a57
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000285))
+ )
+ )
+ (net sig00000a58
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000284))
+ )
+ )
+ (net sig00000a59
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000283))
+ )
+ )
+ (net sig00000a5a
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000282))
+ )
+ )
+ (net sig00000a5b
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000281))
+ )
+ )
+ (net sig00000a5c
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000280))
+ )
+ )
+ (net sig00000a5d
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000027f))
+ )
+ )
+ (net sig00000a5e
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000a5f
+ (joined
+ (portRef G (instanceRef blk0000027d))
+ (portRef A2 (instanceRef blk00000296))
+ (portRef A3 (instanceRef blk00000296))
+ (portRef A2 (instanceRef blk00000297))
+ (portRef A3 (instanceRef blk00000297))
+ (portRef A2 (instanceRef blk00000298))
+ (portRef A3 (instanceRef blk00000298))
+ (portRef A2 (instanceRef blk00000299))
+ (portRef A3 (instanceRef blk00000299))
+ (portRef A2 (instanceRef blk0000029a))
+ (portRef A3 (instanceRef blk0000029a))
+ (portRef A2 (instanceRef blk0000029b))
+ (portRef A3 (instanceRef blk0000029b))
+ (portRef A2 (instanceRef blk0000029c))
+ (portRef A3 (instanceRef blk0000029c))
+ (portRef A2 (instanceRef blk0000029d))
+ (portRef A3 (instanceRef blk0000029d))
+ (portRef A2 (instanceRef blk0000029e))
+ (portRef A3 (instanceRef blk0000029e))
+ (portRef A2 (instanceRef blk0000029f))
+ (portRef A3 (instanceRef blk0000029f))
+ (portRef A2 (instanceRef blk000002a0))
+ (portRef A3 (instanceRef blk000002a0))
+ (portRef A2 (instanceRef blk000002a1))
+ (portRef A3 (instanceRef blk000002a1))
+ (portRef A2 (instanceRef blk000002a2))
+ (portRef A3 (instanceRef blk000002a2))
+ (portRef A2 (instanceRef blk000002a3))
+ (portRef A3 (instanceRef blk000002a3))
+ (portRef A2 (instanceRef blk000002a4))
+ (portRef A3 (instanceRef blk000002a4))
+ (portRef A2 (instanceRef blk000002a5))
+ (portRef A3 (instanceRef blk000002a5))
+ (portRef A2 (instanceRef blk000002a6))
+ (portRef A3 (instanceRef blk000002a6))
+ (portRef A2 (instanceRef blk000002a7))
+ (portRef A3 (instanceRef blk000002a7))
+ (portRef A2 (instanceRef blk000002a8))
+ (portRef A3 (instanceRef blk000002a8))
+ (portRef A2 (instanceRef blk000002a9))
+ (portRef A3 (instanceRef blk000002a9))
+ (portRef A2 (instanceRef blk000002aa))
+ (portRef A3 (instanceRef blk000002aa))
+ (portRef A2 (instanceRef blk000002ab))
+ (portRef A3 (instanceRef blk000002ab))
+ (portRef A2 (instanceRef blk000002ac))
+ (portRef A3 (instanceRef blk000002ac))
+ (portRef A2 (instanceRef blk000002ad))
+ (portRef A3 (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000a60
+ (joined
+ (portRef D (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000297))
+ )
+ )
+ (net sig00000a61
+ (joined
+ (portRef D (instanceRef blk0000027f))
+ (portRef Q (instanceRef blk00000298))
+ )
+ )
+ (net sig00000a62
+ (joined
+ (portRef D (instanceRef blk00000280))
+ (portRef Q (instanceRef blk00000296))
+ )
+ )
+ (net sig00000a63
+ (joined
+ (portRef D (instanceRef blk00000281))
+ (portRef Q (instanceRef blk0000029a))
+ )
+ )
+ (net sig00000a64
+ (joined
+ (portRef D (instanceRef blk00000282))
+ (portRef Q (instanceRef blk0000029b))
+ )
+ )
+ (net sig00000a65
+ (joined
+ (portRef D (instanceRef blk00000283))
+ (portRef Q (instanceRef blk00000299))
+ )
+ )
+ (net sig00000a66
+ (joined
+ (portRef D (instanceRef blk00000284))
+ (portRef Q (instanceRef blk0000029d))
+ )
+ )
+ (net sig00000a67
+ (joined
+ (portRef D (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000029e))
+ )
+ )
+ (net sig00000a68
+ (joined
+ (portRef D (instanceRef blk00000286))
+ (portRef Q (instanceRef blk0000029c))
+ )
+ )
+ (net sig00000a69
+ (joined
+ (portRef D (instanceRef blk00000287))
+ (portRef Q (instanceRef blk000002a0))
+ )
+ )
+ (net sig00000a6a
+ (joined
+ (portRef D (instanceRef blk00000288))
+ (portRef Q (instanceRef blk000002a1))
+ )
+ )
+ (net sig00000a6b
+ (joined
+ (portRef D (instanceRef blk00000289))
+ (portRef Q (instanceRef blk0000029f))
+ )
+ )
+ (net sig00000a6c
+ (joined
+ (portRef D (instanceRef blk0000028a))
+ (portRef Q (instanceRef blk000002a3))
+ )
+ )
+ (net sig00000a6d
+ (joined
+ (portRef D (instanceRef blk0000028b))
+ (portRef Q (instanceRef blk000002a4))
+ )
+ )
+ (net sig00000a6e
+ (joined
+ (portRef D (instanceRef blk0000028c))
+ (portRef Q (instanceRef blk000002a2))
+ )
+ )
+ (net sig00000a6f
+ (joined
+ (portRef D (instanceRef blk0000028d))
+ (portRef Q (instanceRef blk000002a6))
+ )
+ )
+ (net sig00000a70
+ (joined
+ (portRef D (instanceRef blk0000028e))
+ (portRef Q (instanceRef blk000002a7))
+ )
+ )
+ (net sig00000a71
+ (joined
+ (portRef D (instanceRef blk0000028f))
+ (portRef Q (instanceRef blk000002a5))
+ )
+ )
+ (net sig00000a72
+ (joined
+ (portRef D (instanceRef blk00000290))
+ (portRef Q (instanceRef blk000002a9))
+ )
+ )
+ (net sig00000a73
+ (joined
+ (portRef D (instanceRef blk00000291))
+ (portRef Q (instanceRef blk000002aa))
+ )
+ )
+ (net sig00000a74
+ (joined
+ (portRef D (instanceRef blk00000292))
+ (portRef Q (instanceRef blk000002a8))
+ )
+ )
+ (net sig00000a75
+ (joined
+ (portRef D (instanceRef blk00000293))
+ (portRef Q (instanceRef blk000002ac))
+ )
+ )
+ (net sig00000a76
+ (joined
+ (portRef D (instanceRef blk00000294))
+ (portRef Q (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000a77
+ (joined
+ (portRef D (instanceRef blk00000295))
+ (portRef Q (instanceRef blk000002ab))
+ )
+ )
+ (net sig00000a78
+ (joined
+ (portRef CE (instanceRef blk00000296))
+ (portRef CE (instanceRef blk00000297))
+ (portRef CE (instanceRef blk00000298))
+ (portRef CE (instanceRef blk00000299))
+ (portRef CE (instanceRef blk0000029a))
+ (portRef CE (instanceRef blk0000029b))
+ (portRef CE (instanceRef blk0000029c))
+ (portRef CE (instanceRef blk0000029d))
+ (portRef CE (instanceRef blk0000029e))
+ (portRef CE (instanceRef blk0000029f))
+ (portRef CE (instanceRef blk000002a0))
+ (portRef CE (instanceRef blk000002a1))
+ (portRef CE (instanceRef blk000002a2))
+ (portRef CE (instanceRef blk000002a3))
+ (portRef CE (instanceRef blk000002a4))
+ (portRef CE (instanceRef blk000002a5))
+ (portRef CE (instanceRef blk000002a6))
+ (portRef CE (instanceRef blk000002a7))
+ (portRef CE (instanceRef blk000002a8))
+ (portRef CE (instanceRef blk000002a9))
+ (portRef CE (instanceRef blk000002aa))
+ (portRef CE (instanceRef blk000002ab))
+ (portRef CE (instanceRef blk000002ac))
+ (portRef CE (instanceRef blk000002ad))
+ (portRef O (instanceRef blk000002ae))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO6_blk00000249 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000024a
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000024b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000024c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000024d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000024e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000024f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000250
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000251
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000252
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000253
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000254
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000255
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000256
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000257
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000258
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000259
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000260
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000261
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000262
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000263
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000264
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000265
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000266
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000267
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000268
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000269
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000270
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000271
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000272
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000273
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000274
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000275
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000276
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000277
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000278
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000279
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000009db
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000263))
+ (portRef A1 (instanceRef blk00000264))
+ (portRef A1 (instanceRef blk00000265))
+ (portRef A1 (instanceRef blk00000266))
+ (portRef A1 (instanceRef blk00000267))
+ (portRef A1 (instanceRef blk00000268))
+ (portRef A1 (instanceRef blk00000269))
+ (portRef A1 (instanceRef blk0000026a))
+ (portRef A1 (instanceRef blk0000026b))
+ (portRef A1 (instanceRef blk0000026c))
+ (portRef A1 (instanceRef blk0000026d))
+ (portRef A1 (instanceRef blk0000026e))
+ (portRef A1 (instanceRef blk0000026f))
+ (portRef A1 (instanceRef blk00000270))
+ (portRef A1 (instanceRef blk00000271))
+ (portRef A1 (instanceRef blk00000272))
+ (portRef A1 (instanceRef blk00000273))
+ (portRef A1 (instanceRef blk00000274))
+ (portRef A1 (instanceRef blk00000275))
+ (portRef A1 (instanceRef blk00000276))
+ (portRef A1 (instanceRef blk00000277))
+ (portRef A1 (instanceRef blk00000278))
+ (portRef A1 (instanceRef blk00000279))
+ (portRef A1 (instanceRef blk0000027a))
+ )
+ )
+ (net sig000009dc
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000263))
+ (portRef A0 (instanceRef blk00000264))
+ (portRef A0 (instanceRef blk00000265))
+ (portRef A0 (instanceRef blk00000266))
+ (portRef A0 (instanceRef blk00000267))
+ (portRef A0 (instanceRef blk00000268))
+ (portRef A0 (instanceRef blk00000269))
+ (portRef A0 (instanceRef blk0000026a))
+ (portRef A0 (instanceRef blk0000026b))
+ (portRef A0 (instanceRef blk0000026c))
+ (portRef A0 (instanceRef blk0000026d))
+ (portRef A0 (instanceRef blk0000026e))
+ (portRef A0 (instanceRef blk0000026f))
+ (portRef A0 (instanceRef blk00000270))
+ (portRef A0 (instanceRef blk00000271))
+ (portRef A0 (instanceRef blk00000272))
+ (portRef A0 (instanceRef blk00000273))
+ (portRef A0 (instanceRef blk00000274))
+ (portRef A0 (instanceRef blk00000275))
+ (portRef A0 (instanceRef blk00000276))
+ (portRef A0 (instanceRef blk00000277))
+ (portRef A0 (instanceRef blk00000278))
+ (portRef A0 (instanceRef blk00000279))
+ (portRef A0 (instanceRef blk0000027a))
+ )
+ )
+ (net sig000009dd
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000278))
+ )
+ )
+ (net sig000009de
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000027a))
+ )
+ )
+ (net sig000009df
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000279))
+ )
+ )
+ (net sig000009e0
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000275))
+ )
+ )
+ (net sig000009e1
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000277))
+ )
+ )
+ (net sig000009e2
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000276))
+ )
+ )
+ (net sig000009e3
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000272))
+ )
+ )
+ (net sig000009e4
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000274))
+ )
+ )
+ (net sig000009e5
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000273))
+ )
+ )
+ (net sig000009e6
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000026f))
+ )
+ )
+ (net sig000009e7
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000271))
+ )
+ )
+ (net sig000009e8
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000270))
+ )
+ )
+ (net sig000009e9
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000026c))
+ )
+ )
+ (net sig000009ea
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000026e))
+ )
+ )
+ (net sig000009eb
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000026d))
+ )
+ )
+ (net sig000009ec
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000269))
+ )
+ )
+ (net sig000009ed
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000026b))
+ )
+ )
+ (net sig000009ee
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000026a))
+ )
+ )
+ (net sig000009ef
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000266))
+ )
+ )
+ (net sig000009f0
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000268))
+ )
+ )
+ (net sig000009f1
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000267))
+ )
+ )
+ (net sig000009f2
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000263))
+ )
+ )
+ (net sig000009f3
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000265))
+ )
+ )
+ (net sig000009f4
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000264))
+ )
+ )
+ (net sig000009f5
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000027b))
+ )
+ )
+ (net sig000009f6
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000024b))
+ (portRef CE (instanceRef blk0000024c))
+ (portRef CE (instanceRef blk0000024d))
+ (portRef CE (instanceRef blk0000024e))
+ (portRef CE (instanceRef blk0000024f))
+ (portRef CE (instanceRef blk00000250))
+ (portRef CE (instanceRef blk00000251))
+ (portRef CE (instanceRef blk00000252))
+ (portRef CE (instanceRef blk00000253))
+ (portRef CE (instanceRef blk00000254))
+ (portRef CE (instanceRef blk00000255))
+ (portRef CE (instanceRef blk00000256))
+ (portRef CE (instanceRef blk00000257))
+ (portRef CE (instanceRef blk00000258))
+ (portRef CE (instanceRef blk00000259))
+ (portRef CE (instanceRef blk0000025a))
+ (portRef CE (instanceRef blk0000025b))
+ (portRef CE (instanceRef blk0000025c))
+ (portRef CE (instanceRef blk0000025d))
+ (portRef CE (instanceRef blk0000025e))
+ (portRef CE (instanceRef blk0000025f))
+ (portRef CE (instanceRef blk00000260))
+ (portRef CE (instanceRef blk00000261))
+ (portRef CE (instanceRef blk00000262))
+ (portRef I0 (instanceRef blk0000027b))
+ )
+ )
+ (net sig000009f7
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000024b))
+ (portRef C (instanceRef blk0000024c))
+ (portRef C (instanceRef blk0000024d))
+ (portRef C (instanceRef blk0000024e))
+ (portRef C (instanceRef blk0000024f))
+ (portRef C (instanceRef blk00000250))
+ (portRef C (instanceRef blk00000251))
+ (portRef C (instanceRef blk00000252))
+ (portRef C (instanceRef blk00000253))
+ (portRef C (instanceRef blk00000254))
+ (portRef C (instanceRef blk00000255))
+ (portRef C (instanceRef blk00000256))
+ (portRef C (instanceRef blk00000257))
+ (portRef C (instanceRef blk00000258))
+ (portRef C (instanceRef blk00000259))
+ (portRef C (instanceRef blk0000025a))
+ (portRef C (instanceRef blk0000025b))
+ (portRef C (instanceRef blk0000025c))
+ (portRef C (instanceRef blk0000025d))
+ (portRef C (instanceRef blk0000025e))
+ (portRef C (instanceRef blk0000025f))
+ (portRef C (instanceRef blk00000260))
+ (portRef C (instanceRef blk00000261))
+ (portRef C (instanceRef blk00000262))
+ (portRef CLK (instanceRef blk00000263))
+ (portRef CLK (instanceRef blk00000264))
+ (portRef CLK (instanceRef blk00000265))
+ (portRef CLK (instanceRef blk00000266))
+ (portRef CLK (instanceRef blk00000267))
+ (portRef CLK (instanceRef blk00000268))
+ (portRef CLK (instanceRef blk00000269))
+ (portRef CLK (instanceRef blk0000026a))
+ (portRef CLK (instanceRef blk0000026b))
+ (portRef CLK (instanceRef blk0000026c))
+ (portRef CLK (instanceRef blk0000026d))
+ (portRef CLK (instanceRef blk0000026e))
+ (portRef CLK (instanceRef blk0000026f))
+ (portRef CLK (instanceRef blk00000270))
+ (portRef CLK (instanceRef blk00000271))
+ (portRef CLK (instanceRef blk00000272))
+ (portRef CLK (instanceRef blk00000273))
+ (portRef CLK (instanceRef blk00000274))
+ (portRef CLK (instanceRef blk00000275))
+ (portRef CLK (instanceRef blk00000276))
+ (portRef CLK (instanceRef blk00000277))
+ (portRef CLK (instanceRef blk00000278))
+ (portRef CLK (instanceRef blk00000279))
+ (portRef CLK (instanceRef blk0000027a))
+ )
+ )
+ (net sig000009f8
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000262))
+ )
+ )
+ (net sig000009f9
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000261))
+ )
+ )
+ (net sig000009fa
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000260))
+ )
+ )
+ (net sig000009fb
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000025f))
+ )
+ )
+ (net sig000009fc
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000025e))
+ )
+ )
+ (net sig000009fd
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000025d))
+ )
+ )
+ (net sig000009fe
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000025c))
+ )
+ )
+ (net sig000009ff
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000025b))
+ )
+ )
+ (net sig00000a00
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000025a))
+ )
+ )
+ (net sig00000a01
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000259))
+ )
+ )
+ (net sig00000a02
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000258))
+ )
+ )
+ (net sig00000a03
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000257))
+ )
+ )
+ (net sig00000a04
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000256))
+ )
+ )
+ (net sig00000a05
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000255))
+ )
+ )
+ (net sig00000a06
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000254))
+ )
+ )
+ (net sig00000a07
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000253))
+ )
+ )
+ (net sig00000a08
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000252))
+ )
+ )
+ (net sig00000a09
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000251))
+ )
+ )
+ (net sig00000a0a
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000250))
+ )
+ )
+ (net sig00000a0b
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000024f))
+ )
+ )
+ (net sig00000a0c
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000024e))
+ )
+ )
+ (net sig00000a0d
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000024d))
+ )
+ )
+ (net sig00000a0e
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000024c))
+ )
+ )
+ (net sig00000a0f
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000a10
+ (joined
+ (portRef G (instanceRef blk0000024a))
+ (portRef A2 (instanceRef blk00000263))
+ (portRef A3 (instanceRef blk00000263))
+ (portRef A2 (instanceRef blk00000264))
+ (portRef A3 (instanceRef blk00000264))
+ (portRef A2 (instanceRef blk00000265))
+ (portRef A3 (instanceRef blk00000265))
+ (portRef A2 (instanceRef blk00000266))
+ (portRef A3 (instanceRef blk00000266))
+ (portRef A2 (instanceRef blk00000267))
+ (portRef A3 (instanceRef blk00000267))
+ (portRef A2 (instanceRef blk00000268))
+ (portRef A3 (instanceRef blk00000268))
+ (portRef A2 (instanceRef blk00000269))
+ (portRef A3 (instanceRef blk00000269))
+ (portRef A2 (instanceRef blk0000026a))
+ (portRef A3 (instanceRef blk0000026a))
+ (portRef A2 (instanceRef blk0000026b))
+ (portRef A3 (instanceRef blk0000026b))
+ (portRef A2 (instanceRef blk0000026c))
+ (portRef A3 (instanceRef blk0000026c))
+ (portRef A2 (instanceRef blk0000026d))
+ (portRef A3 (instanceRef blk0000026d))
+ (portRef A2 (instanceRef blk0000026e))
+ (portRef A3 (instanceRef blk0000026e))
+ (portRef A2 (instanceRef blk0000026f))
+ (portRef A3 (instanceRef blk0000026f))
+ (portRef A2 (instanceRef blk00000270))
+ (portRef A3 (instanceRef blk00000270))
+ (portRef A2 (instanceRef blk00000271))
+ (portRef A3 (instanceRef blk00000271))
+ (portRef A2 (instanceRef blk00000272))
+ (portRef A3 (instanceRef blk00000272))
+ (portRef A2 (instanceRef blk00000273))
+ (portRef A3 (instanceRef blk00000273))
+ (portRef A2 (instanceRef blk00000274))
+ (portRef A3 (instanceRef blk00000274))
+ (portRef A2 (instanceRef blk00000275))
+ (portRef A3 (instanceRef blk00000275))
+ (portRef A2 (instanceRef blk00000276))
+ (portRef A3 (instanceRef blk00000276))
+ (portRef A2 (instanceRef blk00000277))
+ (portRef A3 (instanceRef blk00000277))
+ (portRef A2 (instanceRef blk00000278))
+ (portRef A3 (instanceRef blk00000278))
+ (portRef A2 (instanceRef blk00000279))
+ (portRef A3 (instanceRef blk00000279))
+ (portRef A2 (instanceRef blk0000027a))
+ (portRef A3 (instanceRef blk0000027a))
+ )
+ )
+ (net sig00000a11
+ (joined
+ (portRef D (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000264))
+ )
+ )
+ (net sig00000a12
+ (joined
+ (portRef D (instanceRef blk0000024c))
+ (portRef Q (instanceRef blk00000265))
+ )
+ )
+ (net sig00000a13
+ (joined
+ (portRef D (instanceRef blk0000024d))
+ (portRef Q (instanceRef blk00000263))
+ )
+ )
+ (net sig00000a14
+ (joined
+ (portRef D (instanceRef blk0000024e))
+ (portRef Q (instanceRef blk00000267))
+ )
+ )
+ (net sig00000a15
+ (joined
+ (portRef D (instanceRef blk0000024f))
+ (portRef Q (instanceRef blk00000268))
+ )
+ )
+ (net sig00000a16
+ (joined
+ (portRef D (instanceRef blk00000250))
+ (portRef Q (instanceRef blk00000266))
+ )
+ )
+ (net sig00000a17
+ (joined
+ (portRef D (instanceRef blk00000251))
+ (portRef Q (instanceRef blk0000026a))
+ )
+ )
+ (net sig00000a18
+ (joined
+ (portRef D (instanceRef blk00000252))
+ (portRef Q (instanceRef blk0000026b))
+ )
+ )
+ (net sig00000a19
+ (joined
+ (portRef D (instanceRef blk00000253))
+ (portRef Q (instanceRef blk00000269))
+ )
+ )
+ (net sig00000a1a
+ (joined
+ (portRef D (instanceRef blk00000254))
+ (portRef Q (instanceRef blk0000026d))
+ )
+ )
+ (net sig00000a1b
+ (joined
+ (portRef D (instanceRef blk00000255))
+ (portRef Q (instanceRef blk0000026e))
+ )
+ )
+ (net sig00000a1c
+ (joined
+ (portRef D (instanceRef blk00000256))
+ (portRef Q (instanceRef blk0000026c))
+ )
+ )
+ (net sig00000a1d
+ (joined
+ (portRef D (instanceRef blk00000257))
+ (portRef Q (instanceRef blk00000270))
+ )
+ )
+ (net sig00000a1e
+ (joined
+ (portRef D (instanceRef blk00000258))
+ (portRef Q (instanceRef blk00000271))
+ )
+ )
+ (net sig00000a1f
+ (joined
+ (portRef D (instanceRef blk00000259))
+ (portRef Q (instanceRef blk0000026f))
+ )
+ )
+ (net sig00000a20
+ (joined
+ (portRef D (instanceRef blk0000025a))
+ (portRef Q (instanceRef blk00000273))
+ )
+ )
+ (net sig00000a21
+ (joined
+ (portRef D (instanceRef blk0000025b))
+ (portRef Q (instanceRef blk00000274))
+ )
+ )
+ (net sig00000a22
+ (joined
+ (portRef D (instanceRef blk0000025c))
+ (portRef Q (instanceRef blk00000272))
+ )
+ )
+ (net sig00000a23
+ (joined
+ (portRef D (instanceRef blk0000025d))
+ (portRef Q (instanceRef blk00000276))
+ )
+ )
+ (net sig00000a24
+ (joined
+ (portRef D (instanceRef blk0000025e))
+ (portRef Q (instanceRef blk00000277))
+ )
+ )
+ (net sig00000a25
+ (joined
+ (portRef D (instanceRef blk0000025f))
+ (portRef Q (instanceRef blk00000275))
+ )
+ )
+ (net sig00000a26
+ (joined
+ (portRef D (instanceRef blk00000260))
+ (portRef Q (instanceRef blk00000279))
+ )
+ )
+ (net sig00000a27
+ (joined
+ (portRef D (instanceRef blk00000261))
+ (portRef Q (instanceRef blk0000027a))
+ )
+ )
+ (net sig00000a28
+ (joined
+ (portRef D (instanceRef blk00000262))
+ (portRef Q (instanceRef blk00000278))
+ )
+ )
+ (net sig00000a29
+ (joined
+ (portRef CE (instanceRef blk00000263))
+ (portRef CE (instanceRef blk00000264))
+ (portRef CE (instanceRef blk00000265))
+ (portRef CE (instanceRef blk00000266))
+ (portRef CE (instanceRef blk00000267))
+ (portRef CE (instanceRef blk00000268))
+ (portRef CE (instanceRef blk00000269))
+ (portRef CE (instanceRef blk0000026a))
+ (portRef CE (instanceRef blk0000026b))
+ (portRef CE (instanceRef blk0000026c))
+ (portRef CE (instanceRef blk0000026d))
+ (portRef CE (instanceRef blk0000026e))
+ (portRef CE (instanceRef blk0000026f))
+ (portRef CE (instanceRef blk00000270))
+ (portRef CE (instanceRef blk00000271))
+ (portRef CE (instanceRef blk00000272))
+ (portRef CE (instanceRef blk00000273))
+ (portRef CE (instanceRef blk00000274))
+ (portRef CE (instanceRef blk00000275))
+ (portRef CE (instanceRef blk00000276))
+ (portRef CE (instanceRef blk00000277))
+ (portRef CE (instanceRef blk00000278))
+ (portRef CE (instanceRef blk00000279))
+ (portRef CE (instanceRef blk0000027a))
+ (portRef O (instanceRef blk0000027b))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO5_blk00000216 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000217
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000218
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000219
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000220
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000221
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000222
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000223
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000224
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000225
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000226
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000227
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000228
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000229
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000230
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000231
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000232
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000233
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000234
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000235
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000236
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000237
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000238
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000239
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000240
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000241
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000242
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000243
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000244
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000245
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000246
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000247
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000248
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000098c
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000230))
+ (portRef A1 (instanceRef blk00000231))
+ (portRef A1 (instanceRef blk00000232))
+ (portRef A1 (instanceRef blk00000233))
+ (portRef A1 (instanceRef blk00000234))
+ (portRef A1 (instanceRef blk00000235))
+ (portRef A1 (instanceRef blk00000236))
+ (portRef A1 (instanceRef blk00000237))
+ (portRef A1 (instanceRef blk00000238))
+ (portRef A1 (instanceRef blk00000239))
+ (portRef A1 (instanceRef blk0000023a))
+ (portRef A1 (instanceRef blk0000023b))
+ (portRef A1 (instanceRef blk0000023c))
+ (portRef A1 (instanceRef blk0000023d))
+ (portRef A1 (instanceRef blk0000023e))
+ (portRef A1 (instanceRef blk0000023f))
+ (portRef A1 (instanceRef blk00000240))
+ (portRef A1 (instanceRef blk00000241))
+ (portRef A1 (instanceRef blk00000242))
+ (portRef A1 (instanceRef blk00000243))
+ (portRef A1 (instanceRef blk00000244))
+ (portRef A1 (instanceRef blk00000245))
+ (portRef A1 (instanceRef blk00000246))
+ (portRef A1 (instanceRef blk00000247))
+ )
+ )
+ (net sig0000098d
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000230))
+ (portRef A0 (instanceRef blk00000231))
+ (portRef A0 (instanceRef blk00000232))
+ (portRef A0 (instanceRef blk00000233))
+ (portRef A0 (instanceRef blk00000234))
+ (portRef A0 (instanceRef blk00000235))
+ (portRef A0 (instanceRef blk00000236))
+ (portRef A0 (instanceRef blk00000237))
+ (portRef A0 (instanceRef blk00000238))
+ (portRef A0 (instanceRef blk00000239))
+ (portRef A0 (instanceRef blk0000023a))
+ (portRef A0 (instanceRef blk0000023b))
+ (portRef A0 (instanceRef blk0000023c))
+ (portRef A0 (instanceRef blk0000023d))
+ (portRef A0 (instanceRef blk0000023e))
+ (portRef A0 (instanceRef blk0000023f))
+ (portRef A0 (instanceRef blk00000240))
+ (portRef A0 (instanceRef blk00000241))
+ (portRef A0 (instanceRef blk00000242))
+ (portRef A0 (instanceRef blk00000243))
+ (portRef A0 (instanceRef blk00000244))
+ (portRef A0 (instanceRef blk00000245))
+ (portRef A0 (instanceRef blk00000246))
+ (portRef A0 (instanceRef blk00000247))
+ )
+ )
+ (net sig0000098e
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000245))
+ )
+ )
+ (net sig0000098f
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000247))
+ )
+ )
+ (net sig00000990
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000246))
+ )
+ )
+ (net sig00000991
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000242))
+ )
+ )
+ (net sig00000992
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000244))
+ )
+ )
+ (net sig00000993
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000243))
+ )
+ )
+ (net sig00000994
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000023f))
+ )
+ )
+ (net sig00000995
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000241))
+ )
+ )
+ (net sig00000996
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000240))
+ )
+ )
+ (net sig00000997
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000023c))
+ )
+ )
+ (net sig00000998
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000023e))
+ )
+ )
+ (net sig00000999
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000023d))
+ )
+ )
+ (net sig0000099a
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000239))
+ )
+ )
+ (net sig0000099b
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000023b))
+ )
+ )
+ (net sig0000099c
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000023a))
+ )
+ )
+ (net sig0000099d
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000236))
+ )
+ )
+ (net sig0000099e
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000238))
+ )
+ )
+ (net sig0000099f
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000237))
+ )
+ )
+ (net sig000009a0
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000233))
+ )
+ )
+ (net sig000009a1
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000235))
+ )
+ )
+ (net sig000009a2
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000234))
+ )
+ )
+ (net sig000009a3
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000230))
+ )
+ )
+ (net sig000009a4
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000232))
+ )
+ )
+ (net sig000009a5
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000231))
+ )
+ )
+ (net sig000009a6
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000248))
+ )
+ )
+ (net sig000009a7
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000218))
+ (portRef CE (instanceRef blk00000219))
+ (portRef CE (instanceRef blk0000021a))
+ (portRef CE (instanceRef blk0000021b))
+ (portRef CE (instanceRef blk0000021c))
+ (portRef CE (instanceRef blk0000021d))
+ (portRef CE (instanceRef blk0000021e))
+ (portRef CE (instanceRef blk0000021f))
+ (portRef CE (instanceRef blk00000220))
+ (portRef CE (instanceRef blk00000221))
+ (portRef CE (instanceRef blk00000222))
+ (portRef CE (instanceRef blk00000223))
+ (portRef CE (instanceRef blk00000224))
+ (portRef CE (instanceRef blk00000225))
+ (portRef CE (instanceRef blk00000226))
+ (portRef CE (instanceRef blk00000227))
+ (portRef CE (instanceRef blk00000228))
+ (portRef CE (instanceRef blk00000229))
+ (portRef CE (instanceRef blk0000022a))
+ (portRef CE (instanceRef blk0000022b))
+ (portRef CE (instanceRef blk0000022c))
+ (portRef CE (instanceRef blk0000022d))
+ (portRef CE (instanceRef blk0000022e))
+ (portRef CE (instanceRef blk0000022f))
+ (portRef I0 (instanceRef blk00000248))
+ )
+ )
+ (net sig000009a8
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000218))
+ (portRef C (instanceRef blk00000219))
+ (portRef C (instanceRef blk0000021a))
+ (portRef C (instanceRef blk0000021b))
+ (portRef C (instanceRef blk0000021c))
+ (portRef C (instanceRef blk0000021d))
+ (portRef C (instanceRef blk0000021e))
+ (portRef C (instanceRef blk0000021f))
+ (portRef C (instanceRef blk00000220))
+ (portRef C (instanceRef blk00000221))
+ (portRef C (instanceRef blk00000222))
+ (portRef C (instanceRef blk00000223))
+ (portRef C (instanceRef blk00000224))
+ (portRef C (instanceRef blk00000225))
+ (portRef C (instanceRef blk00000226))
+ (portRef C (instanceRef blk00000227))
+ (portRef C (instanceRef blk00000228))
+ (portRef C (instanceRef blk00000229))
+ (portRef C (instanceRef blk0000022a))
+ (portRef C (instanceRef blk0000022b))
+ (portRef C (instanceRef blk0000022c))
+ (portRef C (instanceRef blk0000022d))
+ (portRef C (instanceRef blk0000022e))
+ (portRef C (instanceRef blk0000022f))
+ (portRef CLK (instanceRef blk00000230))
+ (portRef CLK (instanceRef blk00000231))
+ (portRef CLK (instanceRef blk00000232))
+ (portRef CLK (instanceRef blk00000233))
+ (portRef CLK (instanceRef blk00000234))
+ (portRef CLK (instanceRef blk00000235))
+ (portRef CLK (instanceRef blk00000236))
+ (portRef CLK (instanceRef blk00000237))
+ (portRef CLK (instanceRef blk00000238))
+ (portRef CLK (instanceRef blk00000239))
+ (portRef CLK (instanceRef blk0000023a))
+ (portRef CLK (instanceRef blk0000023b))
+ (portRef CLK (instanceRef blk0000023c))
+ (portRef CLK (instanceRef blk0000023d))
+ (portRef CLK (instanceRef blk0000023e))
+ (portRef CLK (instanceRef blk0000023f))
+ (portRef CLK (instanceRef blk00000240))
+ (portRef CLK (instanceRef blk00000241))
+ (portRef CLK (instanceRef blk00000242))
+ (portRef CLK (instanceRef blk00000243))
+ (portRef CLK (instanceRef blk00000244))
+ (portRef CLK (instanceRef blk00000245))
+ (portRef CLK (instanceRef blk00000246))
+ (portRef CLK (instanceRef blk00000247))
+ )
+ )
+ (net sig000009a9
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk0000022f))
+ )
+ )
+ (net sig000009aa
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000022e))
+ )
+ )
+ (net sig000009ab
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000022d))
+ )
+ )
+ (net sig000009ac
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000022c))
+ )
+ )
+ (net sig000009ad
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000022b))
+ )
+ )
+ (net sig000009ae
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000022a))
+ )
+ )
+ (net sig000009af
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000229))
+ )
+ )
+ (net sig000009b0
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000228))
+ )
+ )
+ (net sig000009b1
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000227))
+ )
+ )
+ (net sig000009b2
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000226))
+ )
+ )
+ (net sig000009b3
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000225))
+ )
+ )
+ (net sig000009b4
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000224))
+ )
+ )
+ (net sig000009b5
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000223))
+ )
+ )
+ (net sig000009b6
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000222))
+ )
+ )
+ (net sig000009b7
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000221))
+ )
+ )
+ (net sig000009b8
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000220))
+ )
+ )
+ (net sig000009b9
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk0000021f))
+ )
+ )
+ (net sig000009ba
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000021e))
+ )
+ )
+ (net sig000009bb
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000021d))
+ )
+ )
+ (net sig000009bc
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000021c))
+ )
+ )
+ (net sig000009bd
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000021b))
+ )
+ )
+ (net sig000009be
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000021a))
+ )
+ )
+ (net sig000009bf
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000219))
+ )
+ )
+ (net sig000009c0
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000218))
+ )
+ )
+ (net sig000009c1
+ (joined
+ (portRef G (instanceRef blk00000217))
+ (portRef A2 (instanceRef blk00000230))
+ (portRef A3 (instanceRef blk00000230))
+ (portRef A2 (instanceRef blk00000231))
+ (portRef A3 (instanceRef blk00000231))
+ (portRef A2 (instanceRef blk00000232))
+ (portRef A3 (instanceRef blk00000232))
+ (portRef A2 (instanceRef blk00000233))
+ (portRef A3 (instanceRef blk00000233))
+ (portRef A2 (instanceRef blk00000234))
+ (portRef A3 (instanceRef blk00000234))
+ (portRef A2 (instanceRef blk00000235))
+ (portRef A3 (instanceRef blk00000235))
+ (portRef A2 (instanceRef blk00000236))
+ (portRef A3 (instanceRef blk00000236))
+ (portRef A2 (instanceRef blk00000237))
+ (portRef A3 (instanceRef blk00000237))
+ (portRef A2 (instanceRef blk00000238))
+ (portRef A3 (instanceRef blk00000238))
+ (portRef A2 (instanceRef blk00000239))
+ (portRef A3 (instanceRef blk00000239))
+ (portRef A2 (instanceRef blk0000023a))
+ (portRef A3 (instanceRef blk0000023a))
+ (portRef A2 (instanceRef blk0000023b))
+ (portRef A3 (instanceRef blk0000023b))
+ (portRef A2 (instanceRef blk0000023c))
+ (portRef A3 (instanceRef blk0000023c))
+ (portRef A2 (instanceRef blk0000023d))
+ (portRef A3 (instanceRef blk0000023d))
+ (portRef A2 (instanceRef blk0000023e))
+ (portRef A3 (instanceRef blk0000023e))
+ (portRef A2 (instanceRef blk0000023f))
+ (portRef A3 (instanceRef blk0000023f))
+ (portRef A2 (instanceRef blk00000240))
+ (portRef A3 (instanceRef blk00000240))
+ (portRef A2 (instanceRef blk00000241))
+ (portRef A3 (instanceRef blk00000241))
+ (portRef A2 (instanceRef blk00000242))
+ (portRef A3 (instanceRef blk00000242))
+ (portRef A2 (instanceRef blk00000243))
+ (portRef A3 (instanceRef blk00000243))
+ (portRef A2 (instanceRef blk00000244))
+ (portRef A3 (instanceRef blk00000244))
+ (portRef A2 (instanceRef blk00000245))
+ (portRef A3 (instanceRef blk00000245))
+ (portRef A2 (instanceRef blk00000246))
+ (portRef A3 (instanceRef blk00000246))
+ (portRef A2 (instanceRef blk00000247))
+ (portRef A3 (instanceRef blk00000247))
+ )
+ )
+ (net sig000009c2
+ (joined
+ (portRef D (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000231))
+ )
+ )
+ (net sig000009c3
+ (joined
+ (portRef D (instanceRef blk00000219))
+ (portRef Q (instanceRef blk00000232))
+ )
+ )
+ (net sig000009c4
+ (joined
+ (portRef D (instanceRef blk0000021a))
+ (portRef Q (instanceRef blk00000230))
+ )
+ )
+ (net sig000009c5
+ (joined
+ (portRef D (instanceRef blk0000021b))
+ (portRef Q (instanceRef blk00000234))
+ )
+ )
+ (net sig000009c6
+ (joined
+ (portRef D (instanceRef blk0000021c))
+ (portRef Q (instanceRef blk00000235))
+ )
+ )
+ (net sig000009c7
+ (joined
+ (portRef D (instanceRef blk0000021d))
+ (portRef Q (instanceRef blk00000233))
+ )
+ )
+ (net sig000009c8
+ (joined
+ (portRef D (instanceRef blk0000021e))
+ (portRef Q (instanceRef blk00000237))
+ )
+ )
+ (net sig000009c9
+ (joined
+ (portRef D (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk00000238))
+ )
+ )
+ (net sig000009ca
+ (joined
+ (portRef D (instanceRef blk00000220))
+ (portRef Q (instanceRef blk00000236))
+ )
+ )
+ (net sig000009cb
+ (joined
+ (portRef D (instanceRef blk00000221))
+ (portRef Q (instanceRef blk0000023a))
+ )
+ )
+ (net sig000009cc
+ (joined
+ (portRef D (instanceRef blk00000222))
+ (portRef Q (instanceRef blk0000023b))
+ )
+ )
+ (net sig000009cd
+ (joined
+ (portRef D (instanceRef blk00000223))
+ (portRef Q (instanceRef blk00000239))
+ )
+ )
+ (net sig000009ce
+ (joined
+ (portRef D (instanceRef blk00000224))
+ (portRef Q (instanceRef blk0000023d))
+ )
+ )
+ (net sig000009cf
+ (joined
+ (portRef D (instanceRef blk00000225))
+ (portRef Q (instanceRef blk0000023e))
+ )
+ )
+ (net sig000009d0
+ (joined
+ (portRef D (instanceRef blk00000226))
+ (portRef Q (instanceRef blk0000023c))
+ )
+ )
+ (net sig000009d1
+ (joined
+ (portRef D (instanceRef blk00000227))
+ (portRef Q (instanceRef blk00000240))
+ )
+ )
+ (net sig000009d2
+ (joined
+ (portRef D (instanceRef blk00000228))
+ (portRef Q (instanceRef blk00000241))
+ )
+ )
+ (net sig000009d3
+ (joined
+ (portRef D (instanceRef blk00000229))
+ (portRef Q (instanceRef blk0000023f))
+ )
+ )
+ (net sig000009d4
+ (joined
+ (portRef D (instanceRef blk0000022a))
+ (portRef Q (instanceRef blk00000243))
+ )
+ )
+ (net sig000009d5
+ (joined
+ (portRef D (instanceRef blk0000022b))
+ (portRef Q (instanceRef blk00000244))
+ )
+ )
+ (net sig000009d6
+ (joined
+ (portRef D (instanceRef blk0000022c))
+ (portRef Q (instanceRef blk00000242))
+ )
+ )
+ (net sig000009d7
+ (joined
+ (portRef D (instanceRef blk0000022d))
+ (portRef Q (instanceRef blk00000246))
+ )
+ )
+ (net sig000009d8
+ (joined
+ (portRef D (instanceRef blk0000022e))
+ (portRef Q (instanceRef blk00000247))
+ )
+ )
+ (net sig000009d9
+ (joined
+ (portRef D (instanceRef blk0000022f))
+ (portRef Q (instanceRef blk00000245))
+ )
+ )
+ (net sig000009da
+ (joined
+ (portRef CE (instanceRef blk00000230))
+ (portRef CE (instanceRef blk00000231))
+ (portRef CE (instanceRef blk00000232))
+ (portRef CE (instanceRef blk00000233))
+ (portRef CE (instanceRef blk00000234))
+ (portRef CE (instanceRef blk00000235))
+ (portRef CE (instanceRef blk00000236))
+ (portRef CE (instanceRef blk00000237))
+ (portRef CE (instanceRef blk00000238))
+ (portRef CE (instanceRef blk00000239))
+ (portRef CE (instanceRef blk0000023a))
+ (portRef CE (instanceRef blk0000023b))
+ (portRef CE (instanceRef blk0000023c))
+ (portRef CE (instanceRef blk0000023d))
+ (portRef CE (instanceRef blk0000023e))
+ (portRef CE (instanceRef blk0000023f))
+ (portRef CE (instanceRef blk00000240))
+ (portRef CE (instanceRef blk00000241))
+ (portRef CE (instanceRef blk00000242))
+ (portRef CE (instanceRef blk00000243))
+ (portRef CE (instanceRef blk00000244))
+ (portRef CE (instanceRef blk00000245))
+ (portRef CE (instanceRef blk00000246))
+ (portRef CE (instanceRef blk00000247))
+ (portRef O (instanceRef blk00000248))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO4_blk000001e3 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000001e4
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000001e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001e6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001e8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ea
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001fe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ff
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000200
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000201
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000202
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000203
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000204
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000205
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000206
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000207
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000208
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000209
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000210
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000211
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000212
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000213
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000214
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000215
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000093d
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk000001fd))
+ (portRef A1 (instanceRef blk000001fe))
+ (portRef A1 (instanceRef blk000001ff))
+ (portRef A1 (instanceRef blk00000200))
+ (portRef A1 (instanceRef blk00000201))
+ (portRef A1 (instanceRef blk00000202))
+ (portRef A1 (instanceRef blk00000203))
+ (portRef A1 (instanceRef blk00000204))
+ (portRef A1 (instanceRef blk00000205))
+ (portRef A1 (instanceRef blk00000206))
+ (portRef A1 (instanceRef blk00000207))
+ (portRef A1 (instanceRef blk00000208))
+ (portRef A1 (instanceRef blk00000209))
+ (portRef A1 (instanceRef blk0000020a))
+ (portRef A1 (instanceRef blk0000020b))
+ (portRef A1 (instanceRef blk0000020c))
+ (portRef A1 (instanceRef blk0000020d))
+ (portRef A1 (instanceRef blk0000020e))
+ (portRef A1 (instanceRef blk0000020f))
+ (portRef A1 (instanceRef blk00000210))
+ (portRef A1 (instanceRef blk00000211))
+ (portRef A1 (instanceRef blk00000212))
+ (portRef A1 (instanceRef blk00000213))
+ (portRef A1 (instanceRef blk00000214))
+ )
+ )
+ (net sig0000093e
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk000001fd))
+ (portRef A0 (instanceRef blk000001fe))
+ (portRef A0 (instanceRef blk000001ff))
+ (portRef A0 (instanceRef blk00000200))
+ (portRef A0 (instanceRef blk00000201))
+ (portRef A0 (instanceRef blk00000202))
+ (portRef A0 (instanceRef blk00000203))
+ (portRef A0 (instanceRef blk00000204))
+ (portRef A0 (instanceRef blk00000205))
+ (portRef A0 (instanceRef blk00000206))
+ (portRef A0 (instanceRef blk00000207))
+ (portRef A0 (instanceRef blk00000208))
+ (portRef A0 (instanceRef blk00000209))
+ (portRef A0 (instanceRef blk0000020a))
+ (portRef A0 (instanceRef blk0000020b))
+ (portRef A0 (instanceRef blk0000020c))
+ (portRef A0 (instanceRef blk0000020d))
+ (portRef A0 (instanceRef blk0000020e))
+ (portRef A0 (instanceRef blk0000020f))
+ (portRef A0 (instanceRef blk00000210))
+ (portRef A0 (instanceRef blk00000211))
+ (portRef A0 (instanceRef blk00000212))
+ (portRef A0 (instanceRef blk00000213))
+ (portRef A0 (instanceRef blk00000214))
+ )
+ )
+ (net sig0000093f
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000212))
+ )
+ )
+ (net sig00000940
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000214))
+ )
+ )
+ (net sig00000941
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000213))
+ )
+ )
+ (net sig00000942
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk0000020f))
+ )
+ )
+ (net sig00000943
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000211))
+ )
+ )
+ (net sig00000944
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000210))
+ )
+ )
+ (net sig00000945
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000020c))
+ )
+ )
+ (net sig00000946
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk0000020e))
+ )
+ )
+ (net sig00000947
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000020d))
+ )
+ )
+ (net sig00000948
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000209))
+ )
+ )
+ (net sig00000949
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000020b))
+ )
+ )
+ (net sig0000094a
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000020a))
+ )
+ )
+ (net sig0000094b
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000206))
+ )
+ )
+ (net sig0000094c
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000208))
+ )
+ )
+ (net sig0000094d
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000207))
+ )
+ )
+ (net sig0000094e
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000203))
+ )
+ )
+ (net sig0000094f
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000205))
+ )
+ )
+ (net sig00000950
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000204))
+ )
+ )
+ (net sig00000951
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000200))
+ )
+ )
+ (net sig00000952
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000202))
+ )
+ )
+ (net sig00000953
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000201))
+ )
+ )
+ (net sig00000954
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000001fd))
+ )
+ )
+ (net sig00000955
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000001ff))
+ )
+ )
+ (net sig00000956
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000001fe))
+ )
+ )
+ (net sig00000957
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000215))
+ )
+ )
+ (net sig00000958
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000001e5))
+ (portRef CE (instanceRef blk000001e6))
+ (portRef CE (instanceRef blk000001e7))
+ (portRef CE (instanceRef blk000001e8))
+ (portRef CE (instanceRef blk000001e9))
+ (portRef CE (instanceRef blk000001ea))
+ (portRef CE (instanceRef blk000001eb))
+ (portRef CE (instanceRef blk000001ec))
+ (portRef CE (instanceRef blk000001ed))
+ (portRef CE (instanceRef blk000001ee))
+ (portRef CE (instanceRef blk000001ef))
+ (portRef CE (instanceRef blk000001f0))
+ (portRef CE (instanceRef blk000001f1))
+ (portRef CE (instanceRef blk000001f2))
+ (portRef CE (instanceRef blk000001f3))
+ (portRef CE (instanceRef blk000001f4))
+ (portRef CE (instanceRef blk000001f5))
+ (portRef CE (instanceRef blk000001f6))
+ (portRef CE (instanceRef blk000001f7))
+ (portRef CE (instanceRef blk000001f8))
+ (portRef CE (instanceRef blk000001f9))
+ (portRef CE (instanceRef blk000001fa))
+ (portRef CE (instanceRef blk000001fb))
+ (portRef CE (instanceRef blk000001fc))
+ (portRef I0 (instanceRef blk00000215))
+ )
+ )
+ (net sig00000959
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000001e5))
+ (portRef C (instanceRef blk000001e6))
+ (portRef C (instanceRef blk000001e7))
+ (portRef C (instanceRef blk000001e8))
+ (portRef C (instanceRef blk000001e9))
+ (portRef C (instanceRef blk000001ea))
+ (portRef C (instanceRef blk000001eb))
+ (portRef C (instanceRef blk000001ec))
+ (portRef C (instanceRef blk000001ed))
+ (portRef C (instanceRef blk000001ee))
+ (portRef C (instanceRef blk000001ef))
+ (portRef C (instanceRef blk000001f0))
+ (portRef C (instanceRef blk000001f1))
+ (portRef C (instanceRef blk000001f2))
+ (portRef C (instanceRef blk000001f3))
+ (portRef C (instanceRef blk000001f4))
+ (portRef C (instanceRef blk000001f5))
+ (portRef C (instanceRef blk000001f6))
+ (portRef C (instanceRef blk000001f7))
+ (portRef C (instanceRef blk000001f8))
+ (portRef C (instanceRef blk000001f9))
+ (portRef C (instanceRef blk000001fa))
+ (portRef C (instanceRef blk000001fb))
+ (portRef C (instanceRef blk000001fc))
+ (portRef CLK (instanceRef blk000001fd))
+ (portRef CLK (instanceRef blk000001fe))
+ (portRef CLK (instanceRef blk000001ff))
+ (portRef CLK (instanceRef blk00000200))
+ (portRef CLK (instanceRef blk00000201))
+ (portRef CLK (instanceRef blk00000202))
+ (portRef CLK (instanceRef blk00000203))
+ (portRef CLK (instanceRef blk00000204))
+ (portRef CLK (instanceRef blk00000205))
+ (portRef CLK (instanceRef blk00000206))
+ (portRef CLK (instanceRef blk00000207))
+ (portRef CLK (instanceRef blk00000208))
+ (portRef CLK (instanceRef blk00000209))
+ (portRef CLK (instanceRef blk0000020a))
+ (portRef CLK (instanceRef blk0000020b))
+ (portRef CLK (instanceRef blk0000020c))
+ (portRef CLK (instanceRef blk0000020d))
+ (portRef CLK (instanceRef blk0000020e))
+ (portRef CLK (instanceRef blk0000020f))
+ (portRef CLK (instanceRef blk00000210))
+ (portRef CLK (instanceRef blk00000211))
+ (portRef CLK (instanceRef blk00000212))
+ (portRef CLK (instanceRef blk00000213))
+ (portRef CLK (instanceRef blk00000214))
+ )
+ )
+ (net sig0000095a
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000001fc))
+ )
+ )
+ (net sig0000095b
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000001fb))
+ )
+ )
+ (net sig0000095c
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000001fa))
+ )
+ )
+ (net sig0000095d
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000001f9))
+ )
+ )
+ (net sig0000095e
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000001f8))
+ )
+ )
+ (net sig0000095f
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000001f7))
+ )
+ )
+ (net sig00000960
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000001f6))
+ )
+ )
+ (net sig00000961
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000001f5))
+ )
+ )
+ (net sig00000962
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000001f4))
+ )
+ )
+ (net sig00000963
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000001f3))
+ )
+ )
+ (net sig00000964
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000001f2))
+ )
+ )
+ (net sig00000965
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000001f1))
+ )
+ )
+ (net sig00000966
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000001f0))
+ )
+ )
+ (net sig00000967
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000001ef))
+ )
+ )
+ (net sig00000968
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000001ee))
+ )
+ )
+ (net sig00000969
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000001ed))
+ )
+ )
+ (net sig0000096a
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000001ec))
+ )
+ )
+ (net sig0000096b
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000001eb))
+ )
+ )
+ (net sig0000096c
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000001ea))
+ )
+ )
+ (net sig0000096d
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000001e9))
+ )
+ )
+ (net sig0000096e
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000001e8))
+ )
+ )
+ (net sig0000096f
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000001e7))
+ )
+ )
+ (net sig00000970
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000001e6))
+ )
+ )
+ (net sig00000971
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000972
+ (joined
+ (portRef G (instanceRef blk000001e4))
+ (portRef A2 (instanceRef blk000001fd))
+ (portRef A3 (instanceRef blk000001fd))
+ (portRef A2 (instanceRef blk000001fe))
+ (portRef A3 (instanceRef blk000001fe))
+ (portRef A2 (instanceRef blk000001ff))
+ (portRef A3 (instanceRef blk000001ff))
+ (portRef A2 (instanceRef blk00000200))
+ (portRef A3 (instanceRef blk00000200))
+ (portRef A2 (instanceRef blk00000201))
+ (portRef A3 (instanceRef blk00000201))
+ (portRef A2 (instanceRef blk00000202))
+ (portRef A3 (instanceRef blk00000202))
+ (portRef A2 (instanceRef blk00000203))
+ (portRef A3 (instanceRef blk00000203))
+ (portRef A2 (instanceRef blk00000204))
+ (portRef A3 (instanceRef blk00000204))
+ (portRef A2 (instanceRef blk00000205))
+ (portRef A3 (instanceRef blk00000205))
+ (portRef A2 (instanceRef blk00000206))
+ (portRef A3 (instanceRef blk00000206))
+ (portRef A2 (instanceRef blk00000207))
+ (portRef A3 (instanceRef blk00000207))
+ (portRef A2 (instanceRef blk00000208))
+ (portRef A3 (instanceRef blk00000208))
+ (portRef A2 (instanceRef blk00000209))
+ (portRef A3 (instanceRef blk00000209))
+ (portRef A2 (instanceRef blk0000020a))
+ (portRef A3 (instanceRef blk0000020a))
+ (portRef A2 (instanceRef blk0000020b))
+ (portRef A3 (instanceRef blk0000020b))
+ (portRef A2 (instanceRef blk0000020c))
+ (portRef A3 (instanceRef blk0000020c))
+ (portRef A2 (instanceRef blk0000020d))
+ (portRef A3 (instanceRef blk0000020d))
+ (portRef A2 (instanceRef blk0000020e))
+ (portRef A3 (instanceRef blk0000020e))
+ (portRef A2 (instanceRef blk0000020f))
+ (portRef A3 (instanceRef blk0000020f))
+ (portRef A2 (instanceRef blk00000210))
+ (portRef A3 (instanceRef blk00000210))
+ (portRef A2 (instanceRef blk00000211))
+ (portRef A3 (instanceRef blk00000211))
+ (portRef A2 (instanceRef blk00000212))
+ (portRef A3 (instanceRef blk00000212))
+ (portRef A2 (instanceRef blk00000213))
+ (portRef A3 (instanceRef blk00000213))
+ (portRef A2 (instanceRef blk00000214))
+ (portRef A3 (instanceRef blk00000214))
+ )
+ )
+ (net sig00000973
+ (joined
+ (portRef D (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk000001fe))
+ )
+ )
+ (net sig00000974
+ (joined
+ (portRef D (instanceRef blk000001e6))
+ (portRef Q (instanceRef blk000001ff))
+ )
+ )
+ (net sig00000975
+ (joined
+ (portRef D (instanceRef blk000001e7))
+ (portRef Q (instanceRef blk000001fd))
+ )
+ )
+ (net sig00000976
+ (joined
+ (portRef D (instanceRef blk000001e8))
+ (portRef Q (instanceRef blk00000201))
+ )
+ )
+ (net sig00000977
+ (joined
+ (portRef D (instanceRef blk000001e9))
+ (portRef Q (instanceRef blk00000202))
+ )
+ )
+ (net sig00000978
+ (joined
+ (portRef D (instanceRef blk000001ea))
+ (portRef Q (instanceRef blk00000200))
+ )
+ )
+ (net sig00000979
+ (joined
+ (portRef D (instanceRef blk000001eb))
+ (portRef Q (instanceRef blk00000204))
+ )
+ )
+ (net sig0000097a
+ (joined
+ (portRef D (instanceRef blk000001ec))
+ (portRef Q (instanceRef blk00000205))
+ )
+ )
+ (net sig0000097b
+ (joined
+ (portRef D (instanceRef blk000001ed))
+ (portRef Q (instanceRef blk00000203))
+ )
+ )
+ (net sig0000097c
+ (joined
+ (portRef D (instanceRef blk000001ee))
+ (portRef Q (instanceRef blk00000207))
+ )
+ )
+ (net sig0000097d
+ (joined
+ (portRef D (instanceRef blk000001ef))
+ (portRef Q (instanceRef blk00000208))
+ )
+ )
+ (net sig0000097e
+ (joined
+ (portRef D (instanceRef blk000001f0))
+ (portRef Q (instanceRef blk00000206))
+ )
+ )
+ (net sig0000097f
+ (joined
+ (portRef D (instanceRef blk000001f1))
+ (portRef Q (instanceRef blk0000020a))
+ )
+ )
+ (net sig00000980
+ (joined
+ (portRef D (instanceRef blk000001f2))
+ (portRef Q (instanceRef blk0000020b))
+ )
+ )
+ (net sig00000981
+ (joined
+ (portRef D (instanceRef blk000001f3))
+ (portRef Q (instanceRef blk00000209))
+ )
+ )
+ (net sig00000982
+ (joined
+ (portRef D (instanceRef blk000001f4))
+ (portRef Q (instanceRef blk0000020d))
+ )
+ )
+ (net sig00000983
+ (joined
+ (portRef D (instanceRef blk000001f5))
+ (portRef Q (instanceRef blk0000020e))
+ )
+ )
+ (net sig00000984
+ (joined
+ (portRef D (instanceRef blk000001f6))
+ (portRef Q (instanceRef blk0000020c))
+ )
+ )
+ (net sig00000985
+ (joined
+ (portRef D (instanceRef blk000001f7))
+ (portRef Q (instanceRef blk00000210))
+ )
+ )
+ (net sig00000986
+ (joined
+ (portRef D (instanceRef blk000001f8))
+ (portRef Q (instanceRef blk00000211))
+ )
+ )
+ (net sig00000987
+ (joined
+ (portRef D (instanceRef blk000001f9))
+ (portRef Q (instanceRef blk0000020f))
+ )
+ )
+ (net sig00000988
+ (joined
+ (portRef D (instanceRef blk000001fa))
+ (portRef Q (instanceRef blk00000213))
+ )
+ )
+ (net sig00000989
+ (joined
+ (portRef D (instanceRef blk000001fb))
+ (portRef Q (instanceRef blk00000214))
+ )
+ )
+ (net sig0000098a
+ (joined
+ (portRef D (instanceRef blk000001fc))
+ (portRef Q (instanceRef blk00000212))
+ )
+ )
+ (net sig0000098b
+ (joined
+ (portRef CE (instanceRef blk000001fd))
+ (portRef CE (instanceRef blk000001fe))
+ (portRef CE (instanceRef blk000001ff))
+ (portRef CE (instanceRef blk00000200))
+ (portRef CE (instanceRef blk00000201))
+ (portRef CE (instanceRef blk00000202))
+ (portRef CE (instanceRef blk00000203))
+ (portRef CE (instanceRef blk00000204))
+ (portRef CE (instanceRef blk00000205))
+ (portRef CE (instanceRef blk00000206))
+ (portRef CE (instanceRef blk00000207))
+ (portRef CE (instanceRef blk00000208))
+ (portRef CE (instanceRef blk00000209))
+ (portRef CE (instanceRef blk0000020a))
+ (portRef CE (instanceRef blk0000020b))
+ (portRef CE (instanceRef blk0000020c))
+ (portRef CE (instanceRef blk0000020d))
+ (portRef CE (instanceRef blk0000020e))
+ (portRef CE (instanceRef blk0000020f))
+ (portRef CE (instanceRef blk00000210))
+ (portRef CE (instanceRef blk00000211))
+ (portRef CE (instanceRef blk00000212))
+ (portRef CE (instanceRef blk00000213))
+ (portRef CE (instanceRef blk00000214))
+ (portRef O (instanceRef blk00000215))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO3_blk000001b0 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000001b1
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000001b2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001cb
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001cc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001cd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001cf
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e2
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000008ee
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk000001ca))
+ (portRef A1 (instanceRef blk000001cb))
+ (portRef A1 (instanceRef blk000001cc))
+ (portRef A1 (instanceRef blk000001cd))
+ (portRef A1 (instanceRef blk000001ce))
+ (portRef A1 (instanceRef blk000001cf))
+ (portRef A1 (instanceRef blk000001d0))
+ (portRef A1 (instanceRef blk000001d1))
+ (portRef A1 (instanceRef blk000001d2))
+ (portRef A1 (instanceRef blk000001d3))
+ (portRef A1 (instanceRef blk000001d4))
+ (portRef A1 (instanceRef blk000001d5))
+ (portRef A1 (instanceRef blk000001d6))
+ (portRef A1 (instanceRef blk000001d7))
+ (portRef A1 (instanceRef blk000001d8))
+ (portRef A1 (instanceRef blk000001d9))
+ (portRef A1 (instanceRef blk000001da))
+ (portRef A1 (instanceRef blk000001db))
+ (portRef A1 (instanceRef blk000001dc))
+ (portRef A1 (instanceRef blk000001dd))
+ (portRef A1 (instanceRef blk000001de))
+ (portRef A1 (instanceRef blk000001df))
+ (portRef A1 (instanceRef blk000001e0))
+ (portRef A1 (instanceRef blk000001e1))
+ )
+ )
+ (net sig000008ef
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk000001ca))
+ (portRef A0 (instanceRef blk000001cb))
+ (portRef A0 (instanceRef blk000001cc))
+ (portRef A0 (instanceRef blk000001cd))
+ (portRef A0 (instanceRef blk000001ce))
+ (portRef A0 (instanceRef blk000001cf))
+ (portRef A0 (instanceRef blk000001d0))
+ (portRef A0 (instanceRef blk000001d1))
+ (portRef A0 (instanceRef blk000001d2))
+ (portRef A0 (instanceRef blk000001d3))
+ (portRef A0 (instanceRef blk000001d4))
+ (portRef A0 (instanceRef blk000001d5))
+ (portRef A0 (instanceRef blk000001d6))
+ (portRef A0 (instanceRef blk000001d7))
+ (portRef A0 (instanceRef blk000001d8))
+ (portRef A0 (instanceRef blk000001d9))
+ (portRef A0 (instanceRef blk000001da))
+ (portRef A0 (instanceRef blk000001db))
+ (portRef A0 (instanceRef blk000001dc))
+ (portRef A0 (instanceRef blk000001dd))
+ (portRef A0 (instanceRef blk000001de))
+ (portRef A0 (instanceRef blk000001df))
+ (portRef A0 (instanceRef blk000001e0))
+ (portRef A0 (instanceRef blk000001e1))
+ )
+ )
+ (net sig000008f0
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000001df))
+ )
+ )
+ (net sig000008f1
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000001e1))
+ )
+ )
+ (net sig000008f2
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000001e0))
+ )
+ )
+ (net sig000008f3
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000001dc))
+ )
+ )
+ (net sig000008f4
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000001de))
+ )
+ )
+ (net sig000008f5
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000001dd))
+ )
+ )
+ (net sig000008f6
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000001d9))
+ )
+ )
+ (net sig000008f7
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000001db))
+ )
+ )
+ (net sig000008f8
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000001da))
+ )
+ )
+ (net sig000008f9
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000001d6))
+ )
+ )
+ (net sig000008fa
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000001d8))
+ )
+ )
+ (net sig000008fb
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000001d7))
+ )
+ )
+ (net sig000008fc
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000001d3))
+ )
+ )
+ (net sig000008fd
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000001d5))
+ )
+ )
+ (net sig000008fe
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000001d4))
+ )
+ )
+ (net sig000008ff
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000001d0))
+ )
+ )
+ (net sig00000900
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000001d2))
+ )
+ )
+ (net sig00000901
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000001d1))
+ )
+ )
+ (net sig00000902
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000001cd))
+ )
+ )
+ (net sig00000903
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000001cf))
+ )
+ )
+ (net sig00000904
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000001ce))
+ )
+ )
+ (net sig00000905
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000001ca))
+ )
+ )
+ (net sig00000906
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000001cc))
+ )
+ )
+ (net sig00000907
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000001cb))
+ )
+ )
+ (net sig00000908
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000001e2))
+ )
+ )
+ (net sig00000909
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000001b2))
+ (portRef CE (instanceRef blk000001b3))
+ (portRef CE (instanceRef blk000001b4))
+ (portRef CE (instanceRef blk000001b5))
+ (portRef CE (instanceRef blk000001b6))
+ (portRef CE (instanceRef blk000001b7))
+ (portRef CE (instanceRef blk000001b8))
+ (portRef CE (instanceRef blk000001b9))
+ (portRef CE (instanceRef blk000001ba))
+ (portRef CE (instanceRef blk000001bb))
+ (portRef CE (instanceRef blk000001bc))
+ (portRef CE (instanceRef blk000001bd))
+ (portRef CE (instanceRef blk000001be))
+ (portRef CE (instanceRef blk000001bf))
+ (portRef CE (instanceRef blk000001c0))
+ (portRef CE (instanceRef blk000001c1))
+ (portRef CE (instanceRef blk000001c2))
+ (portRef CE (instanceRef blk000001c3))
+ (portRef CE (instanceRef blk000001c4))
+ (portRef CE (instanceRef blk000001c5))
+ (portRef CE (instanceRef blk000001c6))
+ (portRef CE (instanceRef blk000001c7))
+ (portRef CE (instanceRef blk000001c8))
+ (portRef CE (instanceRef blk000001c9))
+ (portRef I0 (instanceRef blk000001e2))
+ )
+ )
+ (net sig0000090a
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000001b2))
+ (portRef C (instanceRef blk000001b3))
+ (portRef C (instanceRef blk000001b4))
+ (portRef C (instanceRef blk000001b5))
+ (portRef C (instanceRef blk000001b6))
+ (portRef C (instanceRef blk000001b7))
+ (portRef C (instanceRef blk000001b8))
+ (portRef C (instanceRef blk000001b9))
+ (portRef C (instanceRef blk000001ba))
+ (portRef C (instanceRef blk000001bb))
+ (portRef C (instanceRef blk000001bc))
+ (portRef C (instanceRef blk000001bd))
+ (portRef C (instanceRef blk000001be))
+ (portRef C (instanceRef blk000001bf))
+ (portRef C (instanceRef blk000001c0))
+ (portRef C (instanceRef blk000001c1))
+ (portRef C (instanceRef blk000001c2))
+ (portRef C (instanceRef blk000001c3))
+ (portRef C (instanceRef blk000001c4))
+ (portRef C (instanceRef blk000001c5))
+ (portRef C (instanceRef blk000001c6))
+ (portRef C (instanceRef blk000001c7))
+ (portRef C (instanceRef blk000001c8))
+ (portRef C (instanceRef blk000001c9))
+ (portRef CLK (instanceRef blk000001ca))
+ (portRef CLK (instanceRef blk000001cb))
+ (portRef CLK (instanceRef blk000001cc))
+ (portRef CLK (instanceRef blk000001cd))
+ (portRef CLK (instanceRef blk000001ce))
+ (portRef CLK (instanceRef blk000001cf))
+ (portRef CLK (instanceRef blk000001d0))
+ (portRef CLK (instanceRef blk000001d1))
+ (portRef CLK (instanceRef blk000001d2))
+ (portRef CLK (instanceRef blk000001d3))
+ (portRef CLK (instanceRef blk000001d4))
+ (portRef CLK (instanceRef blk000001d5))
+ (portRef CLK (instanceRef blk000001d6))
+ (portRef CLK (instanceRef blk000001d7))
+ (portRef CLK (instanceRef blk000001d8))
+ (portRef CLK (instanceRef blk000001d9))
+ (portRef CLK (instanceRef blk000001da))
+ (portRef CLK (instanceRef blk000001db))
+ (portRef CLK (instanceRef blk000001dc))
+ (portRef CLK (instanceRef blk000001dd))
+ (portRef CLK (instanceRef blk000001de))
+ (portRef CLK (instanceRef blk000001df))
+ (portRef CLK (instanceRef blk000001e0))
+ (portRef CLK (instanceRef blk000001e1))
+ )
+ )
+ (net sig0000090b
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000001c9))
+ )
+ )
+ (net sig0000090c
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000001c8))
+ )
+ )
+ (net sig0000090d
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000001c7))
+ )
+ )
+ (net sig0000090e
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000001c6))
+ )
+ )
+ (net sig0000090f
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000001c5))
+ )
+ )
+ (net sig00000910
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000001c4))
+ )
+ )
+ (net sig00000911
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000001c3))
+ )
+ )
+ (net sig00000912
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000001c2))
+ )
+ )
+ (net sig00000913
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000001c1))
+ )
+ )
+ (net sig00000914
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000001c0))
+ )
+ )
+ (net sig00000915
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000001bf))
+ )
+ )
+ (net sig00000916
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000001be))
+ )
+ )
+ (net sig00000917
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000001bd))
+ )
+ )
+ (net sig00000918
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000001bc))
+ )
+ )
+ (net sig00000919
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000001bb))
+ )
+ )
+ (net sig0000091a
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000001ba))
+ )
+ )
+ (net sig0000091b
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000091c
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000001b8))
+ )
+ )
+ (net sig0000091d
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000001b7))
+ )
+ )
+ (net sig0000091e
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000001b6))
+ )
+ )
+ (net sig0000091f
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000001b5))
+ )
+ )
+ (net sig00000920
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000001b4))
+ )
+ )
+ (net sig00000921
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000001b3))
+ )
+ )
+ (net sig00000922
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000001b2))
+ )
+ )
+ (net sig00000923
+ (joined
+ (portRef G (instanceRef blk000001b1))
+ (portRef A2 (instanceRef blk000001ca))
+ (portRef A3 (instanceRef blk000001ca))
+ (portRef A2 (instanceRef blk000001cb))
+ (portRef A3 (instanceRef blk000001cb))
+ (portRef A2 (instanceRef blk000001cc))
+ (portRef A3 (instanceRef blk000001cc))
+ (portRef A2 (instanceRef blk000001cd))
+ (portRef A3 (instanceRef blk000001cd))
+ (portRef A2 (instanceRef blk000001ce))
+ (portRef A3 (instanceRef blk000001ce))
+ (portRef A2 (instanceRef blk000001cf))
+ (portRef A3 (instanceRef blk000001cf))
+ (portRef A2 (instanceRef blk000001d0))
+ (portRef A3 (instanceRef blk000001d0))
+ (portRef A2 (instanceRef blk000001d1))
+ (portRef A3 (instanceRef blk000001d1))
+ (portRef A2 (instanceRef blk000001d2))
+ (portRef A3 (instanceRef blk000001d2))
+ (portRef A2 (instanceRef blk000001d3))
+ (portRef A3 (instanceRef blk000001d3))
+ (portRef A2 (instanceRef blk000001d4))
+ (portRef A3 (instanceRef blk000001d4))
+ (portRef A2 (instanceRef blk000001d5))
+ (portRef A3 (instanceRef blk000001d5))
+ (portRef A2 (instanceRef blk000001d6))
+ (portRef A3 (instanceRef blk000001d6))
+ (portRef A2 (instanceRef blk000001d7))
+ (portRef A3 (instanceRef blk000001d7))
+ (portRef A2 (instanceRef blk000001d8))
+ (portRef A3 (instanceRef blk000001d8))
+ (portRef A2 (instanceRef blk000001d9))
+ (portRef A3 (instanceRef blk000001d9))
+ (portRef A2 (instanceRef blk000001da))
+ (portRef A3 (instanceRef blk000001da))
+ (portRef A2 (instanceRef blk000001db))
+ (portRef A3 (instanceRef blk000001db))
+ (portRef A2 (instanceRef blk000001dc))
+ (portRef A3 (instanceRef blk000001dc))
+ (portRef A2 (instanceRef blk000001dd))
+ (portRef A3 (instanceRef blk000001dd))
+ (portRef A2 (instanceRef blk000001de))
+ (portRef A3 (instanceRef blk000001de))
+ (portRef A2 (instanceRef blk000001df))
+ (portRef A3 (instanceRef blk000001df))
+ (portRef A2 (instanceRef blk000001e0))
+ (portRef A3 (instanceRef blk000001e0))
+ (portRef A2 (instanceRef blk000001e1))
+ (portRef A3 (instanceRef blk000001e1))
+ )
+ )
+ (net sig00000924
+ (joined
+ (portRef D (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk000001cb))
+ )
+ )
+ (net sig00000925
+ (joined
+ (portRef D (instanceRef blk000001b3))
+ (portRef Q (instanceRef blk000001cc))
+ )
+ )
+ (net sig00000926
+ (joined
+ (portRef D (instanceRef blk000001b4))
+ (portRef Q (instanceRef blk000001ca))
+ )
+ )
+ (net sig00000927
+ (joined
+ (portRef D (instanceRef blk000001b5))
+ (portRef Q (instanceRef blk000001ce))
+ )
+ )
+ (net sig00000928
+ (joined
+ (portRef D (instanceRef blk000001b6))
+ (portRef Q (instanceRef blk000001cf))
+ )
+ )
+ (net sig00000929
+ (joined
+ (portRef D (instanceRef blk000001b7))
+ (portRef Q (instanceRef blk000001cd))
+ )
+ )
+ (net sig0000092a
+ (joined
+ (portRef D (instanceRef blk000001b8))
+ (portRef Q (instanceRef blk000001d1))
+ )
+ )
+ (net sig0000092b
+ (joined
+ (portRef D (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk000001d2))
+ )
+ )
+ (net sig0000092c
+ (joined
+ (portRef D (instanceRef blk000001ba))
+ (portRef Q (instanceRef blk000001d0))
+ )
+ )
+ (net sig0000092d
+ (joined
+ (portRef D (instanceRef blk000001bb))
+ (portRef Q (instanceRef blk000001d4))
+ )
+ )
+ (net sig0000092e
+ (joined
+ (portRef D (instanceRef blk000001bc))
+ (portRef Q (instanceRef blk000001d5))
+ )
+ )
+ (net sig0000092f
+ (joined
+ (portRef D (instanceRef blk000001bd))
+ (portRef Q (instanceRef blk000001d3))
+ )
+ )
+ (net sig00000930
+ (joined
+ (portRef D (instanceRef blk000001be))
+ (portRef Q (instanceRef blk000001d7))
+ )
+ )
+ (net sig00000931
+ (joined
+ (portRef D (instanceRef blk000001bf))
+ (portRef Q (instanceRef blk000001d8))
+ )
+ )
+ (net sig00000932
+ (joined
+ (portRef D (instanceRef blk000001c0))
+ (portRef Q (instanceRef blk000001d6))
+ )
+ )
+ (net sig00000933
+ (joined
+ (portRef D (instanceRef blk000001c1))
+ (portRef Q (instanceRef blk000001da))
+ )
+ )
+ (net sig00000934
+ (joined
+ (portRef D (instanceRef blk000001c2))
+ (portRef Q (instanceRef blk000001db))
+ )
+ )
+ (net sig00000935
+ (joined
+ (portRef D (instanceRef blk000001c3))
+ (portRef Q (instanceRef blk000001d9))
+ )
+ )
+ (net sig00000936
+ (joined
+ (portRef D (instanceRef blk000001c4))
+ (portRef Q (instanceRef blk000001dd))
+ )
+ )
+ (net sig00000937
+ (joined
+ (portRef D (instanceRef blk000001c5))
+ (portRef Q (instanceRef blk000001de))
+ )
+ )
+ (net sig00000938
+ (joined
+ (portRef D (instanceRef blk000001c6))
+ (portRef Q (instanceRef blk000001dc))
+ )
+ )
+ (net sig00000939
+ (joined
+ (portRef D (instanceRef blk000001c7))
+ (portRef Q (instanceRef blk000001e0))
+ )
+ )
+ (net sig0000093a
+ (joined
+ (portRef D (instanceRef blk000001c8))
+ (portRef Q (instanceRef blk000001e1))
+ )
+ )
+ (net sig0000093b
+ (joined
+ (portRef D (instanceRef blk000001c9))
+ (portRef Q (instanceRef blk000001df))
+ )
+ )
+ (net sig0000093c
+ (joined
+ (portRef CE (instanceRef blk000001ca))
+ (portRef CE (instanceRef blk000001cb))
+ (portRef CE (instanceRef blk000001cc))
+ (portRef CE (instanceRef blk000001cd))
+ (portRef CE (instanceRef blk000001ce))
+ (portRef CE (instanceRef blk000001cf))
+ (portRef CE (instanceRef blk000001d0))
+ (portRef CE (instanceRef blk000001d1))
+ (portRef CE (instanceRef blk000001d2))
+ (portRef CE (instanceRef blk000001d3))
+ (portRef CE (instanceRef blk000001d4))
+ (portRef CE (instanceRef blk000001d5))
+ (portRef CE (instanceRef blk000001d6))
+ (portRef CE (instanceRef blk000001d7))
+ (portRef CE (instanceRef blk000001d8))
+ (portRef CE (instanceRef blk000001d9))
+ (portRef CE (instanceRef blk000001da))
+ (portRef CE (instanceRef blk000001db))
+ (portRef CE (instanceRef blk000001dc))
+ (portRef CE (instanceRef blk000001dd))
+ (portRef CE (instanceRef blk000001de))
+ (portRef CE (instanceRef blk000001df))
+ (portRef CE (instanceRef blk000001e0))
+ (portRef CE (instanceRef blk000001e1))
+ (portRef O (instanceRef blk000001e2))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO2_blk0000017d "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000017e
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000017f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000180
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000181
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000182
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000183
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000184
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000185
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000186
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000187
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000188
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000189
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000190
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000191
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000192
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000193
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000194
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000195
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000196
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000197
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000198
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000199
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001af
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000089f
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000197))
+ (portRef A1 (instanceRef blk00000198))
+ (portRef A1 (instanceRef blk00000199))
+ (portRef A1 (instanceRef blk0000019a))
+ (portRef A1 (instanceRef blk0000019b))
+ (portRef A1 (instanceRef blk0000019c))
+ (portRef A1 (instanceRef blk0000019d))
+ (portRef A1 (instanceRef blk0000019e))
+ (portRef A1 (instanceRef blk0000019f))
+ (portRef A1 (instanceRef blk000001a0))
+ (portRef A1 (instanceRef blk000001a1))
+ (portRef A1 (instanceRef blk000001a2))
+ (portRef A1 (instanceRef blk000001a3))
+ (portRef A1 (instanceRef blk000001a4))
+ (portRef A1 (instanceRef blk000001a5))
+ (portRef A1 (instanceRef blk000001a6))
+ (portRef A1 (instanceRef blk000001a7))
+ (portRef A1 (instanceRef blk000001a8))
+ (portRef A1 (instanceRef blk000001a9))
+ (portRef A1 (instanceRef blk000001aa))
+ (portRef A1 (instanceRef blk000001ab))
+ (portRef A1 (instanceRef blk000001ac))
+ (portRef A1 (instanceRef blk000001ad))
+ (portRef A1 (instanceRef blk000001ae))
+ )
+ )
+ (net sig000008a0
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000197))
+ (portRef A0 (instanceRef blk00000198))
+ (portRef A0 (instanceRef blk00000199))
+ (portRef A0 (instanceRef blk0000019a))
+ (portRef A0 (instanceRef blk0000019b))
+ (portRef A0 (instanceRef blk0000019c))
+ (portRef A0 (instanceRef blk0000019d))
+ (portRef A0 (instanceRef blk0000019e))
+ (portRef A0 (instanceRef blk0000019f))
+ (portRef A0 (instanceRef blk000001a0))
+ (portRef A0 (instanceRef blk000001a1))
+ (portRef A0 (instanceRef blk000001a2))
+ (portRef A0 (instanceRef blk000001a3))
+ (portRef A0 (instanceRef blk000001a4))
+ (portRef A0 (instanceRef blk000001a5))
+ (portRef A0 (instanceRef blk000001a6))
+ (portRef A0 (instanceRef blk000001a7))
+ (portRef A0 (instanceRef blk000001a8))
+ (portRef A0 (instanceRef blk000001a9))
+ (portRef A0 (instanceRef blk000001aa))
+ (portRef A0 (instanceRef blk000001ab))
+ (portRef A0 (instanceRef blk000001ac))
+ (portRef A0 (instanceRef blk000001ad))
+ (portRef A0 (instanceRef blk000001ae))
+ )
+ )
+ (net sig000008a1
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000001ac))
+ )
+ )
+ (net sig000008a2
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000001ae))
+ )
+ )
+ (net sig000008a3
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000001ad))
+ )
+ )
+ (net sig000008a4
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000001a9))
+ )
+ )
+ (net sig000008a5
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000001ab))
+ )
+ )
+ (net sig000008a6
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000001aa))
+ )
+ )
+ (net sig000008a7
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000001a6))
+ )
+ )
+ (net sig000008a8
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000001a8))
+ )
+ )
+ (net sig000008a9
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000001a7))
+ )
+ )
+ (net sig000008aa
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000001a3))
+ )
+ )
+ (net sig000008ab
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000001a5))
+ )
+ )
+ (net sig000008ac
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000001a4))
+ )
+ )
+ (net sig000008ad
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000001a0))
+ )
+ )
+ (net sig000008ae
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000001a2))
+ )
+ )
+ (net sig000008af
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000001a1))
+ )
+ )
+ (net sig000008b0
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000019d))
+ )
+ )
+ (net sig000008b1
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000019f))
+ )
+ )
+ (net sig000008b2
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000019e))
+ )
+ )
+ (net sig000008b3
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000019a))
+ )
+ )
+ (net sig000008b4
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000019c))
+ )
+ )
+ (net sig000008b5
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000019b))
+ )
+ )
+ (net sig000008b6
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000197))
+ )
+ )
+ (net sig000008b7
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000199))
+ )
+ )
+ (net sig000008b8
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000198))
+ )
+ )
+ (net sig000008b9
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000001af))
+ )
+ )
+ (net sig000008ba
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000017f))
+ (portRef CE (instanceRef blk00000180))
+ (portRef CE (instanceRef blk00000181))
+ (portRef CE (instanceRef blk00000182))
+ (portRef CE (instanceRef blk00000183))
+ (portRef CE (instanceRef blk00000184))
+ (portRef CE (instanceRef blk00000185))
+ (portRef CE (instanceRef blk00000186))
+ (portRef CE (instanceRef blk00000187))
+ (portRef CE (instanceRef blk00000188))
+ (portRef CE (instanceRef blk00000189))
+ (portRef CE (instanceRef blk0000018a))
+ (portRef CE (instanceRef blk0000018b))
+ (portRef CE (instanceRef blk0000018c))
+ (portRef CE (instanceRef blk0000018d))
+ (portRef CE (instanceRef blk0000018e))
+ (portRef CE (instanceRef blk0000018f))
+ (portRef CE (instanceRef blk00000190))
+ (portRef CE (instanceRef blk00000191))
+ (portRef CE (instanceRef blk00000192))
+ (portRef CE (instanceRef blk00000193))
+ (portRef CE (instanceRef blk00000194))
+ (portRef CE (instanceRef blk00000195))
+ (portRef CE (instanceRef blk00000196))
+ (portRef I0 (instanceRef blk000001af))
+ )
+ )
+ (net sig000008bb
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000017f))
+ (portRef C (instanceRef blk00000180))
+ (portRef C (instanceRef blk00000181))
+ (portRef C (instanceRef blk00000182))
+ (portRef C (instanceRef blk00000183))
+ (portRef C (instanceRef blk00000184))
+ (portRef C (instanceRef blk00000185))
+ (portRef C (instanceRef blk00000186))
+ (portRef C (instanceRef blk00000187))
+ (portRef C (instanceRef blk00000188))
+ (portRef C (instanceRef blk00000189))
+ (portRef C (instanceRef blk0000018a))
+ (portRef C (instanceRef blk0000018b))
+ (portRef C (instanceRef blk0000018c))
+ (portRef C (instanceRef blk0000018d))
+ (portRef C (instanceRef blk0000018e))
+ (portRef C (instanceRef blk0000018f))
+ (portRef C (instanceRef blk00000190))
+ (portRef C (instanceRef blk00000191))
+ (portRef C (instanceRef blk00000192))
+ (portRef C (instanceRef blk00000193))
+ (portRef C (instanceRef blk00000194))
+ (portRef C (instanceRef blk00000195))
+ (portRef C (instanceRef blk00000196))
+ (portRef CLK (instanceRef blk00000197))
+ (portRef CLK (instanceRef blk00000198))
+ (portRef CLK (instanceRef blk00000199))
+ (portRef CLK (instanceRef blk0000019a))
+ (portRef CLK (instanceRef blk0000019b))
+ (portRef CLK (instanceRef blk0000019c))
+ (portRef CLK (instanceRef blk0000019d))
+ (portRef CLK (instanceRef blk0000019e))
+ (portRef CLK (instanceRef blk0000019f))
+ (portRef CLK (instanceRef blk000001a0))
+ (portRef CLK (instanceRef blk000001a1))
+ (portRef CLK (instanceRef blk000001a2))
+ (portRef CLK (instanceRef blk000001a3))
+ (portRef CLK (instanceRef blk000001a4))
+ (portRef CLK (instanceRef blk000001a5))
+ (portRef CLK (instanceRef blk000001a6))
+ (portRef CLK (instanceRef blk000001a7))
+ (portRef CLK (instanceRef blk000001a8))
+ (portRef CLK (instanceRef blk000001a9))
+ (portRef CLK (instanceRef blk000001aa))
+ (portRef CLK (instanceRef blk000001ab))
+ (portRef CLK (instanceRef blk000001ac))
+ (portRef CLK (instanceRef blk000001ad))
+ (portRef CLK (instanceRef blk000001ae))
+ )
+ )
+ (net sig000008bc
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000196))
+ )
+ )
+ (net sig000008bd
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000195))
+ )
+ )
+ (net sig000008be
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000194))
+ )
+ )
+ (net sig000008bf
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000193))
+ )
+ )
+ (net sig000008c0
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000192))
+ )
+ )
+ (net sig000008c1
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000191))
+ )
+ )
+ (net sig000008c2
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000190))
+ )
+ )
+ (net sig000008c3
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000018f))
+ )
+ )
+ (net sig000008c4
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000018e))
+ )
+ )
+ (net sig000008c5
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000018d))
+ )
+ )
+ (net sig000008c6
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000018c))
+ )
+ )
+ (net sig000008c7
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000018b))
+ )
+ )
+ (net sig000008c8
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000018a))
+ )
+ )
+ (net sig000008c9
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000189))
+ )
+ )
+ (net sig000008ca
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000188))
+ )
+ )
+ (net sig000008cb
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000187))
+ )
+ )
+ (net sig000008cc
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000186))
+ )
+ )
+ (net sig000008cd
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000185))
+ )
+ )
+ (net sig000008ce
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000184))
+ )
+ )
+ (net sig000008cf
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000183))
+ )
+ )
+ (net sig000008d0
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000182))
+ )
+ )
+ (net sig000008d1
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000181))
+ )
+ )
+ (net sig000008d2
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000180))
+ )
+ )
+ (net sig000008d3
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000017f))
+ )
+ )
+ (net sig000008d4
+ (joined
+ (portRef G (instanceRef blk0000017e))
+ (portRef A2 (instanceRef blk00000197))
+ (portRef A3 (instanceRef blk00000197))
+ (portRef A2 (instanceRef blk00000198))
+ (portRef A3 (instanceRef blk00000198))
+ (portRef A2 (instanceRef blk00000199))
+ (portRef A3 (instanceRef blk00000199))
+ (portRef A2 (instanceRef blk0000019a))
+ (portRef A3 (instanceRef blk0000019a))
+ (portRef A2 (instanceRef blk0000019b))
+ (portRef A3 (instanceRef blk0000019b))
+ (portRef A2 (instanceRef blk0000019c))
+ (portRef A3 (instanceRef blk0000019c))
+ (portRef A2 (instanceRef blk0000019d))
+ (portRef A3 (instanceRef blk0000019d))
+ (portRef A2 (instanceRef blk0000019e))
+ (portRef A3 (instanceRef blk0000019e))
+ (portRef A2 (instanceRef blk0000019f))
+ (portRef A3 (instanceRef blk0000019f))
+ (portRef A2 (instanceRef blk000001a0))
+ (portRef A3 (instanceRef blk000001a0))
+ (portRef A2 (instanceRef blk000001a1))
+ (portRef A3 (instanceRef blk000001a1))
+ (portRef A2 (instanceRef blk000001a2))
+ (portRef A3 (instanceRef blk000001a2))
+ (portRef A2 (instanceRef blk000001a3))
+ (portRef A3 (instanceRef blk000001a3))
+ (portRef A2 (instanceRef blk000001a4))
+ (portRef A3 (instanceRef blk000001a4))
+ (portRef A2 (instanceRef blk000001a5))
+ (portRef A3 (instanceRef blk000001a5))
+ (portRef A2 (instanceRef blk000001a6))
+ (portRef A3 (instanceRef blk000001a6))
+ (portRef A2 (instanceRef blk000001a7))
+ (portRef A3 (instanceRef blk000001a7))
+ (portRef A2 (instanceRef blk000001a8))
+ (portRef A3 (instanceRef blk000001a8))
+ (portRef A2 (instanceRef blk000001a9))
+ (portRef A3 (instanceRef blk000001a9))
+ (portRef A2 (instanceRef blk000001aa))
+ (portRef A3 (instanceRef blk000001aa))
+ (portRef A2 (instanceRef blk000001ab))
+ (portRef A3 (instanceRef blk000001ab))
+ (portRef A2 (instanceRef blk000001ac))
+ (portRef A3 (instanceRef blk000001ac))
+ (portRef A2 (instanceRef blk000001ad))
+ (portRef A3 (instanceRef blk000001ad))
+ (portRef A2 (instanceRef blk000001ae))
+ (portRef A3 (instanceRef blk000001ae))
+ )
+ )
+ (net sig000008d5
+ (joined
+ (portRef D (instanceRef blk0000017f))
+ (portRef Q (instanceRef blk00000198))
+ )
+ )
+ (net sig000008d6
+ (joined
+ (portRef D (instanceRef blk00000180))
+ (portRef Q (instanceRef blk00000199))
+ )
+ )
+ (net sig000008d7
+ (joined
+ (portRef D (instanceRef blk00000181))
+ (portRef Q (instanceRef blk00000197))
+ )
+ )
+ (net sig000008d8
+ (joined
+ (portRef D (instanceRef blk00000182))
+ (portRef Q (instanceRef blk0000019b))
+ )
+ )
+ (net sig000008d9
+ (joined
+ (portRef D (instanceRef blk00000183))
+ (portRef Q (instanceRef blk0000019c))
+ )
+ )
+ (net sig000008da
+ (joined
+ (portRef D (instanceRef blk00000184))
+ (portRef Q (instanceRef blk0000019a))
+ )
+ )
+ (net sig000008db
+ (joined
+ (portRef D (instanceRef blk00000185))
+ (portRef Q (instanceRef blk0000019e))
+ )
+ )
+ (net sig000008dc
+ (joined
+ (portRef D (instanceRef blk00000186))
+ (portRef Q (instanceRef blk0000019f))
+ )
+ )
+ (net sig000008dd
+ (joined
+ (portRef D (instanceRef blk00000187))
+ (portRef Q (instanceRef blk0000019d))
+ )
+ )
+ (net sig000008de
+ (joined
+ (portRef D (instanceRef blk00000188))
+ (portRef Q (instanceRef blk000001a1))
+ )
+ )
+ (net sig000008df
+ (joined
+ (portRef D (instanceRef blk00000189))
+ (portRef Q (instanceRef blk000001a2))
+ )
+ )
+ (net sig000008e0
+ (joined
+ (portRef D (instanceRef blk0000018a))
+ (portRef Q (instanceRef blk000001a0))
+ )
+ )
+ (net sig000008e1
+ (joined
+ (portRef D (instanceRef blk0000018b))
+ (portRef Q (instanceRef blk000001a4))
+ )
+ )
+ (net sig000008e2
+ (joined
+ (portRef D (instanceRef blk0000018c))
+ (portRef Q (instanceRef blk000001a5))
+ )
+ )
+ (net sig000008e3
+ (joined
+ (portRef D (instanceRef blk0000018d))
+ (portRef Q (instanceRef blk000001a3))
+ )
+ )
+ (net sig000008e4
+ (joined
+ (portRef D (instanceRef blk0000018e))
+ (portRef Q (instanceRef blk000001a7))
+ )
+ )
+ (net sig000008e5
+ (joined
+ (portRef D (instanceRef blk0000018f))
+ (portRef Q (instanceRef blk000001a8))
+ )
+ )
+ (net sig000008e6
+ (joined
+ (portRef D (instanceRef blk00000190))
+ (portRef Q (instanceRef blk000001a6))
+ )
+ )
+ (net sig000008e7
+ (joined
+ (portRef D (instanceRef blk00000191))
+ (portRef Q (instanceRef blk000001aa))
+ )
+ )
+ (net sig000008e8
+ (joined
+ (portRef D (instanceRef blk00000192))
+ (portRef Q (instanceRef blk000001ab))
+ )
+ )
+ (net sig000008e9
+ (joined
+ (portRef D (instanceRef blk00000193))
+ (portRef Q (instanceRef blk000001a9))
+ )
+ )
+ (net sig000008ea
+ (joined
+ (portRef D (instanceRef blk00000194))
+ (portRef Q (instanceRef blk000001ad))
+ )
+ )
+ (net sig000008eb
+ (joined
+ (portRef D (instanceRef blk00000195))
+ (portRef Q (instanceRef blk000001ae))
+ )
+ )
+ (net sig000008ec
+ (joined
+ (portRef D (instanceRef blk00000196))
+ (portRef Q (instanceRef blk000001ac))
+ )
+ )
+ (net sig000008ed
+ (joined
+ (portRef CE (instanceRef blk00000197))
+ (portRef CE (instanceRef blk00000198))
+ (portRef CE (instanceRef blk00000199))
+ (portRef CE (instanceRef blk0000019a))
+ (portRef CE (instanceRef blk0000019b))
+ (portRef CE (instanceRef blk0000019c))
+ (portRef CE (instanceRef blk0000019d))
+ (portRef CE (instanceRef blk0000019e))
+ (portRef CE (instanceRef blk0000019f))
+ (portRef CE (instanceRef blk000001a0))
+ (portRef CE (instanceRef blk000001a1))
+ (portRef CE (instanceRef blk000001a2))
+ (portRef CE (instanceRef blk000001a3))
+ (portRef CE (instanceRef blk000001a4))
+ (portRef CE (instanceRef blk000001a5))
+ (portRef CE (instanceRef blk000001a6))
+ (portRef CE (instanceRef blk000001a7))
+ (portRef CE (instanceRef blk000001a8))
+ (portRef CE (instanceRef blk000001a9))
+ (portRef CE (instanceRef blk000001aa))
+ (portRef CE (instanceRef blk000001ab))
+ (portRef CE (instanceRef blk000001ac))
+ (portRef CE (instanceRef blk000001ad))
+ (portRef CE (instanceRef blk000001ae))
+ (portRef O (instanceRef blk000001af))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO1_blk0000014a "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000014b
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000014c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000014d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000014e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000014f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000150
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000151
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000152
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000153
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000154
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000155
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000156
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000157
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000158
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000159
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000160
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000161
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000162
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000163
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000164
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000165
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000166
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000167
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000168
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000169
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000170
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000171
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000172
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000173
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000174
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000175
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000176
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000177
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000178
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000179
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000850
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000164))
+ (portRef A1 (instanceRef blk00000165))
+ (portRef A1 (instanceRef blk00000166))
+ (portRef A1 (instanceRef blk00000167))
+ (portRef A1 (instanceRef blk00000168))
+ (portRef A1 (instanceRef blk00000169))
+ (portRef A1 (instanceRef blk0000016a))
+ (portRef A1 (instanceRef blk0000016b))
+ (portRef A1 (instanceRef blk0000016c))
+ (portRef A1 (instanceRef blk0000016d))
+ (portRef A1 (instanceRef blk0000016e))
+ (portRef A1 (instanceRef blk0000016f))
+ (portRef A1 (instanceRef blk00000170))
+ (portRef A1 (instanceRef blk00000171))
+ (portRef A1 (instanceRef blk00000172))
+ (portRef A1 (instanceRef blk00000173))
+ (portRef A1 (instanceRef blk00000174))
+ (portRef A1 (instanceRef blk00000175))
+ (portRef A1 (instanceRef blk00000176))
+ (portRef A1 (instanceRef blk00000177))
+ (portRef A1 (instanceRef blk00000178))
+ (portRef A1 (instanceRef blk00000179))
+ (portRef A1 (instanceRef blk0000017a))
+ (portRef A1 (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000851
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000164))
+ (portRef A0 (instanceRef blk00000165))
+ (portRef A0 (instanceRef blk00000166))
+ (portRef A0 (instanceRef blk00000167))
+ (portRef A0 (instanceRef blk00000168))
+ (portRef A0 (instanceRef blk00000169))
+ (portRef A0 (instanceRef blk0000016a))
+ (portRef A0 (instanceRef blk0000016b))
+ (portRef A0 (instanceRef blk0000016c))
+ (portRef A0 (instanceRef blk0000016d))
+ (portRef A0 (instanceRef blk0000016e))
+ (portRef A0 (instanceRef blk0000016f))
+ (portRef A0 (instanceRef blk00000170))
+ (portRef A0 (instanceRef blk00000171))
+ (portRef A0 (instanceRef blk00000172))
+ (portRef A0 (instanceRef blk00000173))
+ (portRef A0 (instanceRef blk00000174))
+ (portRef A0 (instanceRef blk00000175))
+ (portRef A0 (instanceRef blk00000176))
+ (portRef A0 (instanceRef blk00000177))
+ (portRef A0 (instanceRef blk00000178))
+ (portRef A0 (instanceRef blk00000179))
+ (portRef A0 (instanceRef blk0000017a))
+ (portRef A0 (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000852
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000179))
+ )
+ )
+ (net sig00000853
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000854
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000017a))
+ )
+ )
+ (net sig00000855
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000176))
+ )
+ )
+ (net sig00000856
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000178))
+ )
+ )
+ (net sig00000857
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000177))
+ )
+ )
+ (net sig00000858
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000173))
+ )
+ )
+ (net sig00000859
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000175))
+ )
+ )
+ (net sig0000085a
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000174))
+ )
+ )
+ (net sig0000085b
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000170))
+ )
+ )
+ (net sig0000085c
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000172))
+ )
+ )
+ (net sig0000085d
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000171))
+ )
+ )
+ (net sig0000085e
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000016d))
+ )
+ )
+ (net sig0000085f
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000016f))
+ )
+ )
+ (net sig00000860
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000016e))
+ )
+ )
+ (net sig00000861
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000016a))
+ )
+ )
+ (net sig00000862
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000016c))
+ )
+ )
+ (net sig00000863
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000016b))
+ )
+ )
+ (net sig00000864
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000167))
+ )
+ )
+ (net sig00000865
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000169))
+ )
+ )
+ (net sig00000866
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000168))
+ )
+ )
+ (net sig00000867
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000164))
+ )
+ )
+ (net sig00000868
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000166))
+ )
+ )
+ (net sig00000869
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000165))
+ )
+ )
+ (net sig0000086a
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000017c))
+ )
+ )
+ (net sig0000086b
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000014c))
+ (portRef CE (instanceRef blk0000014d))
+ (portRef CE (instanceRef blk0000014e))
+ (portRef CE (instanceRef blk0000014f))
+ (portRef CE (instanceRef blk00000150))
+ (portRef CE (instanceRef blk00000151))
+ (portRef CE (instanceRef blk00000152))
+ (portRef CE (instanceRef blk00000153))
+ (portRef CE (instanceRef blk00000154))
+ (portRef CE (instanceRef blk00000155))
+ (portRef CE (instanceRef blk00000156))
+ (portRef CE (instanceRef blk00000157))
+ (portRef CE (instanceRef blk00000158))
+ (portRef CE (instanceRef blk00000159))
+ (portRef CE (instanceRef blk0000015a))
+ (portRef CE (instanceRef blk0000015b))
+ (portRef CE (instanceRef blk0000015c))
+ (portRef CE (instanceRef blk0000015d))
+ (portRef CE (instanceRef blk0000015e))
+ (portRef CE (instanceRef blk0000015f))
+ (portRef CE (instanceRef blk00000160))
+ (portRef CE (instanceRef blk00000161))
+ (portRef CE (instanceRef blk00000162))
+ (portRef CE (instanceRef blk00000163))
+ (portRef I0 (instanceRef blk0000017c))
+ )
+ )
+ (net sig0000086c
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000014c))
+ (portRef C (instanceRef blk0000014d))
+ (portRef C (instanceRef blk0000014e))
+ (portRef C (instanceRef blk0000014f))
+ (portRef C (instanceRef blk00000150))
+ (portRef C (instanceRef blk00000151))
+ (portRef C (instanceRef blk00000152))
+ (portRef C (instanceRef blk00000153))
+ (portRef C (instanceRef blk00000154))
+ (portRef C (instanceRef blk00000155))
+ (portRef C (instanceRef blk00000156))
+ (portRef C (instanceRef blk00000157))
+ (portRef C (instanceRef blk00000158))
+ (portRef C (instanceRef blk00000159))
+ (portRef C (instanceRef blk0000015a))
+ (portRef C (instanceRef blk0000015b))
+ (portRef C (instanceRef blk0000015c))
+ (portRef C (instanceRef blk0000015d))
+ (portRef C (instanceRef blk0000015e))
+ (portRef C (instanceRef blk0000015f))
+ (portRef C (instanceRef blk00000160))
+ (portRef C (instanceRef blk00000161))
+ (portRef C (instanceRef blk00000162))
+ (portRef C (instanceRef blk00000163))
+ (portRef CLK (instanceRef blk00000164))
+ (portRef CLK (instanceRef blk00000165))
+ (portRef CLK (instanceRef blk00000166))
+ (portRef CLK (instanceRef blk00000167))
+ (portRef CLK (instanceRef blk00000168))
+ (portRef CLK (instanceRef blk00000169))
+ (portRef CLK (instanceRef blk0000016a))
+ (portRef CLK (instanceRef blk0000016b))
+ (portRef CLK (instanceRef blk0000016c))
+ (portRef CLK (instanceRef blk0000016d))
+ (portRef CLK (instanceRef blk0000016e))
+ (portRef CLK (instanceRef blk0000016f))
+ (portRef CLK (instanceRef blk00000170))
+ (portRef CLK (instanceRef blk00000171))
+ (portRef CLK (instanceRef blk00000172))
+ (portRef CLK (instanceRef blk00000173))
+ (portRef CLK (instanceRef blk00000174))
+ (portRef CLK (instanceRef blk00000175))
+ (portRef CLK (instanceRef blk00000176))
+ (portRef CLK (instanceRef blk00000177))
+ (portRef CLK (instanceRef blk00000178))
+ (portRef CLK (instanceRef blk00000179))
+ (portRef CLK (instanceRef blk0000017a))
+ (portRef CLK (instanceRef blk0000017b))
+ )
+ )
+ (net sig0000086d
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000163))
+ )
+ )
+ (net sig0000086e
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000162))
+ )
+ )
+ (net sig0000086f
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000161))
+ )
+ )
+ (net sig00000870
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000160))
+ )
+ )
+ (net sig00000871
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000015f))
+ )
+ )
+ (net sig00000872
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000015e))
+ )
+ )
+ (net sig00000873
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000015d))
+ )
+ )
+ (net sig00000874
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000015c))
+ )
+ )
+ (net sig00000875
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000015b))
+ )
+ )
+ (net sig00000876
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000015a))
+ )
+ )
+ (net sig00000877
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000159))
+ )
+ )
+ (net sig00000878
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000158))
+ )
+ )
+ (net sig00000879
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000157))
+ )
+ )
+ (net sig0000087a
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000156))
+ )
+ )
+ (net sig0000087b
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000155))
+ )
+ )
+ (net sig0000087c
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000154))
+ )
+ )
+ (net sig0000087d
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000153))
+ )
+ )
+ (net sig0000087e
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000152))
+ )
+ )
+ (net sig0000087f
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000151))
+ )
+ )
+ (net sig00000880
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000150))
+ )
+ )
+ (net sig00000881
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000014f))
+ )
+ )
+ (net sig00000882
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000014e))
+ )
+ )
+ (net sig00000883
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000014d))
+ )
+ )
+ (net sig00000884
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000014c))
+ )
+ )
+ (net sig00000885
+ (joined
+ (portRef G (instanceRef blk0000014b))
+ (portRef A2 (instanceRef blk00000164))
+ (portRef A3 (instanceRef blk00000164))
+ (portRef A2 (instanceRef blk00000165))
+ (portRef A3 (instanceRef blk00000165))
+ (portRef A2 (instanceRef blk00000166))
+ (portRef A3 (instanceRef blk00000166))
+ (portRef A2 (instanceRef blk00000167))
+ (portRef A3 (instanceRef blk00000167))
+ (portRef A2 (instanceRef blk00000168))
+ (portRef A3 (instanceRef blk00000168))
+ (portRef A2 (instanceRef blk00000169))
+ (portRef A3 (instanceRef blk00000169))
+ (portRef A2 (instanceRef blk0000016a))
+ (portRef A3 (instanceRef blk0000016a))
+ (portRef A2 (instanceRef blk0000016b))
+ (portRef A3 (instanceRef blk0000016b))
+ (portRef A2 (instanceRef blk0000016c))
+ (portRef A3 (instanceRef blk0000016c))
+ (portRef A2 (instanceRef blk0000016d))
+ (portRef A3 (instanceRef blk0000016d))
+ (portRef A2 (instanceRef blk0000016e))
+ (portRef A3 (instanceRef blk0000016e))
+ (portRef A2 (instanceRef blk0000016f))
+ (portRef A3 (instanceRef blk0000016f))
+ (portRef A2 (instanceRef blk00000170))
+ (portRef A3 (instanceRef blk00000170))
+ (portRef A2 (instanceRef blk00000171))
+ (portRef A3 (instanceRef blk00000171))
+ (portRef A2 (instanceRef blk00000172))
+ (portRef A3 (instanceRef blk00000172))
+ (portRef A2 (instanceRef blk00000173))
+ (portRef A3 (instanceRef blk00000173))
+ (portRef A2 (instanceRef blk00000174))
+ (portRef A3 (instanceRef blk00000174))
+ (portRef A2 (instanceRef blk00000175))
+ (portRef A3 (instanceRef blk00000175))
+ (portRef A2 (instanceRef blk00000176))
+ (portRef A3 (instanceRef blk00000176))
+ (portRef A2 (instanceRef blk00000177))
+ (portRef A3 (instanceRef blk00000177))
+ (portRef A2 (instanceRef blk00000178))
+ (portRef A3 (instanceRef blk00000178))
+ (portRef A2 (instanceRef blk00000179))
+ (portRef A3 (instanceRef blk00000179))
+ (portRef A2 (instanceRef blk0000017a))
+ (portRef A3 (instanceRef blk0000017a))
+ (portRef A2 (instanceRef blk0000017b))
+ (portRef A3 (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000886
+ (joined
+ (portRef D (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000165))
+ )
+ )
+ (net sig00000887
+ (joined
+ (portRef D (instanceRef blk0000014d))
+ (portRef Q (instanceRef blk00000166))
+ )
+ )
+ (net sig00000888
+ (joined
+ (portRef D (instanceRef blk0000014e))
+ (portRef Q (instanceRef blk00000164))
+ )
+ )
+ (net sig00000889
+ (joined
+ (portRef D (instanceRef blk0000014f))
+ (portRef Q (instanceRef blk00000168))
+ )
+ )
+ (net sig0000088a
+ (joined
+ (portRef D (instanceRef blk00000150))
+ (portRef Q (instanceRef blk00000169))
+ )
+ )
+ (net sig0000088b
+ (joined
+ (portRef D (instanceRef blk00000151))
+ (portRef Q (instanceRef blk00000167))
+ )
+ )
+ (net sig0000088c
+ (joined
+ (portRef D (instanceRef blk00000152))
+ (portRef Q (instanceRef blk0000016b))
+ )
+ )
+ (net sig0000088d
+ (joined
+ (portRef D (instanceRef blk00000153))
+ (portRef Q (instanceRef blk0000016c))
+ )
+ )
+ (net sig0000088e
+ (joined
+ (portRef D (instanceRef blk00000154))
+ (portRef Q (instanceRef blk0000016a))
+ )
+ )
+ (net sig0000088f
+ (joined
+ (portRef D (instanceRef blk00000155))
+ (portRef Q (instanceRef blk0000016e))
+ )
+ )
+ (net sig00000890
+ (joined
+ (portRef D (instanceRef blk00000156))
+ (portRef Q (instanceRef blk0000016f))
+ )
+ )
+ (net sig00000891
+ (joined
+ (portRef D (instanceRef blk00000157))
+ (portRef Q (instanceRef blk0000016d))
+ )
+ )
+ (net sig00000892
+ (joined
+ (portRef D (instanceRef blk00000158))
+ (portRef Q (instanceRef blk00000171))
+ )
+ )
+ (net sig00000893
+ (joined
+ (portRef D (instanceRef blk00000159))
+ (portRef Q (instanceRef blk00000172))
+ )
+ )
+ (net sig00000894
+ (joined
+ (portRef D (instanceRef blk0000015a))
+ (portRef Q (instanceRef blk00000170))
+ )
+ )
+ (net sig00000895
+ (joined
+ (portRef D (instanceRef blk0000015b))
+ (portRef Q (instanceRef blk00000174))
+ )
+ )
+ (net sig00000896
+ (joined
+ (portRef D (instanceRef blk0000015c))
+ (portRef Q (instanceRef blk00000175))
+ )
+ )
+ (net sig00000897
+ (joined
+ (portRef D (instanceRef blk0000015d))
+ (portRef Q (instanceRef blk00000173))
+ )
+ )
+ (net sig00000898
+ (joined
+ (portRef D (instanceRef blk0000015e))
+ (portRef Q (instanceRef blk00000177))
+ )
+ )
+ (net sig00000899
+ (joined
+ (portRef D (instanceRef blk0000015f))
+ (portRef Q (instanceRef blk00000178))
+ )
+ )
+ (net sig0000089a
+ (joined
+ (portRef D (instanceRef blk00000160))
+ (portRef Q (instanceRef blk00000176))
+ )
+ )
+ (net sig0000089b
+ (joined
+ (portRef D (instanceRef blk00000161))
+ (portRef Q (instanceRef blk0000017a))
+ )
+ )
+ (net sig0000089c
+ (joined
+ (portRef D (instanceRef blk00000162))
+ (portRef Q (instanceRef blk0000017b))
+ )
+ )
+ (net sig0000089d
+ (joined
+ (portRef D (instanceRef blk00000163))
+ (portRef Q (instanceRef blk00000179))
+ )
+ )
+ (net sig0000089e
+ (joined
+ (portRef CE (instanceRef blk00000164))
+ (portRef CE (instanceRef blk00000165))
+ (portRef CE (instanceRef blk00000166))
+ (portRef CE (instanceRef blk00000167))
+ (portRef CE (instanceRef blk00000168))
+ (portRef CE (instanceRef blk00000169))
+ (portRef CE (instanceRef blk0000016a))
+ (portRef CE (instanceRef blk0000016b))
+ (portRef CE (instanceRef blk0000016c))
+ (portRef CE (instanceRef blk0000016d))
+ (portRef CE (instanceRef blk0000016e))
+ (portRef CE (instanceRef blk0000016f))
+ (portRef CE (instanceRef blk00000170))
+ (portRef CE (instanceRef blk00000171))
+ (portRef CE (instanceRef blk00000172))
+ (portRef CE (instanceRef blk00000173))
+ (portRef CE (instanceRef blk00000174))
+ (portRef CE (instanceRef blk00000175))
+ (portRef CE (instanceRef blk00000176))
+ (portRef CE (instanceRef blk00000177))
+ (portRef CE (instanceRef blk00000178))
+ (portRef CE (instanceRef blk00000179))
+ (portRef CE (instanceRef blk0000017a))
+ (portRef CE (instanceRef blk0000017b))
+ (portRef O (instanceRef blk0000017c))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_blk00000117 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000118
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000119
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000120
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000121
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000122
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000123
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000124
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000125
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000126
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000127
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000128
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000129
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000130
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000131
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000132
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000133
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000134
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000135
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000136
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000137
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000138
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000139
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000140
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000141
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000142
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000143
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000144
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000145
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000146
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000147
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000148
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000149
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000801
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A1 (instanceRef blk00000131))
+ (portRef A1 (instanceRef blk00000132))
+ (portRef A1 (instanceRef blk00000133))
+ (portRef A1 (instanceRef blk00000134))
+ (portRef A1 (instanceRef blk00000135))
+ (portRef A1 (instanceRef blk00000136))
+ (portRef A1 (instanceRef blk00000137))
+ (portRef A1 (instanceRef blk00000138))
+ (portRef A1 (instanceRef blk00000139))
+ (portRef A1 (instanceRef blk0000013a))
+ (portRef A1 (instanceRef blk0000013b))
+ (portRef A1 (instanceRef blk0000013c))
+ (portRef A1 (instanceRef blk0000013d))
+ (portRef A1 (instanceRef blk0000013e))
+ (portRef A1 (instanceRef blk0000013f))
+ (portRef A1 (instanceRef blk00000140))
+ (portRef A1 (instanceRef blk00000141))
+ (portRef A1 (instanceRef blk00000142))
+ (portRef A1 (instanceRef blk00000143))
+ (portRef A1 (instanceRef blk00000144))
+ (portRef A1 (instanceRef blk00000145))
+ (portRef A1 (instanceRef blk00000146))
+ (portRef A1 (instanceRef blk00000147))
+ (portRef A1 (instanceRef blk00000148))
+ )
+ )
+ (net sig00000802
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A0 (instanceRef blk00000131))
+ (portRef A0 (instanceRef blk00000132))
+ (portRef A0 (instanceRef blk00000133))
+ (portRef A0 (instanceRef blk00000134))
+ (portRef A0 (instanceRef blk00000135))
+ (portRef A0 (instanceRef blk00000136))
+ (portRef A0 (instanceRef blk00000137))
+ (portRef A0 (instanceRef blk00000138))
+ (portRef A0 (instanceRef blk00000139))
+ (portRef A0 (instanceRef blk0000013a))
+ (portRef A0 (instanceRef blk0000013b))
+ (portRef A0 (instanceRef blk0000013c))
+ (portRef A0 (instanceRef blk0000013d))
+ (portRef A0 (instanceRef blk0000013e))
+ (portRef A0 (instanceRef blk0000013f))
+ (portRef A0 (instanceRef blk00000140))
+ (portRef A0 (instanceRef blk00000141))
+ (portRef A0 (instanceRef blk00000142))
+ (portRef A0 (instanceRef blk00000143))
+ (portRef A0 (instanceRef blk00000144))
+ (portRef A0 (instanceRef blk00000145))
+ (portRef A0 (instanceRef blk00000146))
+ (portRef A0 (instanceRef blk00000147))
+ (portRef A0 (instanceRef blk00000148))
+ )
+ )
+ (net sig00000803
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000146))
+ )
+ )
+ (net sig00000804
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000148))
+ )
+ )
+ (net sig00000805
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000147))
+ )
+ )
+ (net sig00000806
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000143))
+ )
+ )
+ (net sig00000807
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000145))
+ )
+ )
+ (net sig00000808
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000144))
+ )
+ )
+ (net sig00000809
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000140))
+ )
+ )
+ (net sig0000080a
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000142))
+ )
+ )
+ (net sig0000080b
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000141))
+ )
+ )
+ (net sig0000080c
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000013d))
+ )
+ )
+ (net sig0000080d
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000013f))
+ )
+ )
+ (net sig0000080e
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000013e))
+ )
+ )
+ (net sig0000080f
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000013a))
+ )
+ )
+ (net sig00000810
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000013c))
+ )
+ )
+ (net sig00000811
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000013b))
+ )
+ )
+ (net sig00000812
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000137))
+ )
+ )
+ (net sig00000813
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000139))
+ )
+ )
+ (net sig00000814
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000138))
+ )
+ )
+ (net sig00000815
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000134))
+ )
+ )
+ (net sig00000816
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000136))
+ )
+ )
+ (net sig00000817
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000135))
+ )
+ )
+ (net sig00000818
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000131))
+ )
+ )
+ (net sig00000819
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000133))
+ )
+ )
+ (net sig0000081a
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000132))
+ )
+ )
+ (net sig0000081b
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000149))
+ )
+ )
+ (net sig0000081c
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000119))
+ (portRef CE (instanceRef blk0000011a))
+ (portRef CE (instanceRef blk0000011b))
+ (portRef CE (instanceRef blk0000011c))
+ (portRef CE (instanceRef blk0000011d))
+ (portRef CE (instanceRef blk0000011e))
+ (portRef CE (instanceRef blk0000011f))
+ (portRef CE (instanceRef blk00000120))
+ (portRef CE (instanceRef blk00000121))
+ (portRef CE (instanceRef blk00000122))
+ (portRef CE (instanceRef blk00000123))
+ (portRef CE (instanceRef blk00000124))
+ (portRef CE (instanceRef blk00000125))
+ (portRef CE (instanceRef blk00000126))
+ (portRef CE (instanceRef blk00000127))
+ (portRef CE (instanceRef blk00000128))
+ (portRef CE (instanceRef blk00000129))
+ (portRef CE (instanceRef blk0000012a))
+ (portRef CE (instanceRef blk0000012b))
+ (portRef CE (instanceRef blk0000012c))
+ (portRef CE (instanceRef blk0000012d))
+ (portRef CE (instanceRef blk0000012e))
+ (portRef CE (instanceRef blk0000012f))
+ (portRef CE (instanceRef blk00000130))
+ (portRef I0 (instanceRef blk00000149))
+ )
+ )
+ (net sig0000081d
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000119))
+ (portRef C (instanceRef blk0000011a))
+ (portRef C (instanceRef blk0000011b))
+ (portRef C (instanceRef blk0000011c))
+ (portRef C (instanceRef blk0000011d))
+ (portRef C (instanceRef blk0000011e))
+ (portRef C (instanceRef blk0000011f))
+ (portRef C (instanceRef blk00000120))
+ (portRef C (instanceRef blk00000121))
+ (portRef C (instanceRef blk00000122))
+ (portRef C (instanceRef blk00000123))
+ (portRef C (instanceRef blk00000124))
+ (portRef C (instanceRef blk00000125))
+ (portRef C (instanceRef blk00000126))
+ (portRef C (instanceRef blk00000127))
+ (portRef C (instanceRef blk00000128))
+ (portRef C (instanceRef blk00000129))
+ (portRef C (instanceRef blk0000012a))
+ (portRef C (instanceRef blk0000012b))
+ (portRef C (instanceRef blk0000012c))
+ (portRef C (instanceRef blk0000012d))
+ (portRef C (instanceRef blk0000012e))
+ (portRef C (instanceRef blk0000012f))
+ (portRef C (instanceRef blk00000130))
+ (portRef CLK (instanceRef blk00000131))
+ (portRef CLK (instanceRef blk00000132))
+ (portRef CLK (instanceRef blk00000133))
+ (portRef CLK (instanceRef blk00000134))
+ (portRef CLK (instanceRef blk00000135))
+ (portRef CLK (instanceRef blk00000136))
+ (portRef CLK (instanceRef blk00000137))
+ (portRef CLK (instanceRef blk00000138))
+ (portRef CLK (instanceRef blk00000139))
+ (portRef CLK (instanceRef blk0000013a))
+ (portRef CLK (instanceRef blk0000013b))
+ (portRef CLK (instanceRef blk0000013c))
+ (portRef CLK (instanceRef blk0000013d))
+ (portRef CLK (instanceRef blk0000013e))
+ (portRef CLK (instanceRef blk0000013f))
+ (portRef CLK (instanceRef blk00000140))
+ (portRef CLK (instanceRef blk00000141))
+ (portRef CLK (instanceRef blk00000142))
+ (portRef CLK (instanceRef blk00000143))
+ (portRef CLK (instanceRef blk00000144))
+ (portRef CLK (instanceRef blk00000145))
+ (portRef CLK (instanceRef blk00000146))
+ (portRef CLK (instanceRef blk00000147))
+ (portRef CLK (instanceRef blk00000148))
+ )
+ )
+ (net sig0000081e
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000130))
+ )
+ )
+ (net sig0000081f
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk0000012f))
+ )
+ )
+ (net sig00000820
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000012e))
+ )
+ )
+ (net sig00000821
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000012d))
+ )
+ )
+ (net sig00000822
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000012c))
+ )
+ )
+ (net sig00000823
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000012b))
+ )
+ )
+ (net sig00000824
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000012a))
+ )
+ )
+ (net sig00000825
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000129))
+ )
+ )
+ (net sig00000826
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000128))
+ )
+ )
+ (net sig00000827
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000127))
+ )
+ )
+ (net sig00000828
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000126))
+ )
+ )
+ (net sig00000829
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000125))
+ )
+ )
+ (net sig0000082a
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000124))
+ )
+ )
+ (net sig0000082b
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000123))
+ )
+ )
+ (net sig0000082c
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000122))
+ )
+ )
+ (net sig0000082d
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000121))
+ )
+ )
+ (net sig0000082e
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000120))
+ )
+ )
+ (net sig0000082f
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk0000011f))
+ )
+ )
+ (net sig00000830
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000011e))
+ )
+ )
+ (net sig00000831
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000011d))
+ )
+ )
+ (net sig00000832
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000011c))
+ )
+ )
+ (net sig00000833
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000011b))
+ )
+ )
+ (net sig00000834
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000011a))
+ )
+ )
+ (net sig00000835
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000119))
+ )
+ )
+ (net sig00000836
+ (joined
+ (portRef G (instanceRef blk00000118))
+ (portRef A2 (instanceRef blk00000131))
+ (portRef A3 (instanceRef blk00000131))
+ (portRef A2 (instanceRef blk00000132))
+ (portRef A3 (instanceRef blk00000132))
+ (portRef A2 (instanceRef blk00000133))
+ (portRef A3 (instanceRef blk00000133))
+ (portRef A2 (instanceRef blk00000134))
+ (portRef A3 (instanceRef blk00000134))
+ (portRef A2 (instanceRef blk00000135))
+ (portRef A3 (instanceRef blk00000135))
+ (portRef A2 (instanceRef blk00000136))
+ (portRef A3 (instanceRef blk00000136))
+ (portRef A2 (instanceRef blk00000137))
+ (portRef A3 (instanceRef blk00000137))
+ (portRef A2 (instanceRef blk00000138))
+ (portRef A3 (instanceRef blk00000138))
+ (portRef A2 (instanceRef blk00000139))
+ (portRef A3 (instanceRef blk00000139))
+ (portRef A2 (instanceRef blk0000013a))
+ (portRef A3 (instanceRef blk0000013a))
+ (portRef A2 (instanceRef blk0000013b))
+ (portRef A3 (instanceRef blk0000013b))
+ (portRef A2 (instanceRef blk0000013c))
+ (portRef A3 (instanceRef blk0000013c))
+ (portRef A2 (instanceRef blk0000013d))
+ (portRef A3 (instanceRef blk0000013d))
+ (portRef A2 (instanceRef blk0000013e))
+ (portRef A3 (instanceRef blk0000013e))
+ (portRef A2 (instanceRef blk0000013f))
+ (portRef A3 (instanceRef blk0000013f))
+ (portRef A2 (instanceRef blk00000140))
+ (portRef A3 (instanceRef blk00000140))
+ (portRef A2 (instanceRef blk00000141))
+ (portRef A3 (instanceRef blk00000141))
+ (portRef A2 (instanceRef blk00000142))
+ (portRef A3 (instanceRef blk00000142))
+ (portRef A2 (instanceRef blk00000143))
+ (portRef A3 (instanceRef blk00000143))
+ (portRef A2 (instanceRef blk00000144))
+ (portRef A3 (instanceRef blk00000144))
+ (portRef A2 (instanceRef blk00000145))
+ (portRef A3 (instanceRef blk00000145))
+ (portRef A2 (instanceRef blk00000146))
+ (portRef A3 (instanceRef blk00000146))
+ (portRef A2 (instanceRef blk00000147))
+ (portRef A3 (instanceRef blk00000147))
+ (portRef A2 (instanceRef blk00000148))
+ (portRef A3 (instanceRef blk00000148))
+ )
+ )
+ (net sig00000837
+ (joined
+ (portRef D (instanceRef blk00000119))
+ (portRef Q (instanceRef blk00000132))
+ )
+ )
+ (net sig00000838
+ (joined
+ (portRef D (instanceRef blk0000011a))
+ (portRef Q (instanceRef blk00000133))
+ )
+ )
+ (net sig00000839
+ (joined
+ (portRef D (instanceRef blk0000011b))
+ (portRef Q (instanceRef blk00000131))
+ )
+ )
+ (net sig0000083a
+ (joined
+ (portRef D (instanceRef blk0000011c))
+ (portRef Q (instanceRef blk00000135))
+ )
+ )
+ (net sig0000083b
+ (joined
+ (portRef D (instanceRef blk0000011d))
+ (portRef Q (instanceRef blk00000136))
+ )
+ )
+ (net sig0000083c
+ (joined
+ (portRef D (instanceRef blk0000011e))
+ (portRef Q (instanceRef blk00000134))
+ )
+ )
+ (net sig0000083d
+ (joined
+ (portRef D (instanceRef blk0000011f))
+ (portRef Q (instanceRef blk00000138))
+ )
+ )
+ (net sig0000083e
+ (joined
+ (portRef D (instanceRef blk00000120))
+ (portRef Q (instanceRef blk00000139))
+ )
+ )
+ (net sig0000083f
+ (joined
+ (portRef D (instanceRef blk00000121))
+ (portRef Q (instanceRef blk00000137))
+ )
+ )
+ (net sig00000840
+ (joined
+ (portRef D (instanceRef blk00000122))
+ (portRef Q (instanceRef blk0000013b))
+ )
+ )
+ (net sig00000841
+ (joined
+ (portRef D (instanceRef blk00000123))
+ (portRef Q (instanceRef blk0000013c))
+ )
+ )
+ (net sig00000842
+ (joined
+ (portRef D (instanceRef blk00000124))
+ (portRef Q (instanceRef blk0000013a))
+ )
+ )
+ (net sig00000843
+ (joined
+ (portRef D (instanceRef blk00000125))
+ (portRef Q (instanceRef blk0000013e))
+ )
+ )
+ (net sig00000844
+ (joined
+ (portRef D (instanceRef blk00000126))
+ (portRef Q (instanceRef blk0000013f))
+ )
+ )
+ (net sig00000845
+ (joined
+ (portRef D (instanceRef blk00000127))
+ (portRef Q (instanceRef blk0000013d))
+ )
+ )
+ (net sig00000846
+ (joined
+ (portRef D (instanceRef blk00000128))
+ (portRef Q (instanceRef blk00000141))
+ )
+ )
+ (net sig00000847
+ (joined
+ (portRef D (instanceRef blk00000129))
+ (portRef Q (instanceRef blk00000142))
+ )
+ )
+ (net sig00000848
+ (joined
+ (portRef D (instanceRef blk0000012a))
+ (portRef Q (instanceRef blk00000140))
+ )
+ )
+ (net sig00000849
+ (joined
+ (portRef D (instanceRef blk0000012b))
+ (portRef Q (instanceRef blk00000144))
+ )
+ )
+ (net sig0000084a
+ (joined
+ (portRef D (instanceRef blk0000012c))
+ (portRef Q (instanceRef blk00000145))
+ )
+ )
+ (net sig0000084b
+ (joined
+ (portRef D (instanceRef blk0000012d))
+ (portRef Q (instanceRef blk00000143))
+ )
+ )
+ (net sig0000084c
+ (joined
+ (portRef D (instanceRef blk0000012e))
+ (portRef Q (instanceRef blk00000147))
+ )
+ )
+ (net sig0000084d
+ (joined
+ (portRef D (instanceRef blk0000012f))
+ (portRef Q (instanceRef blk00000148))
+ )
+ )
+ (net sig0000084e
+ (joined
+ (portRef D (instanceRef blk00000130))
+ (portRef Q (instanceRef blk00000146))
+ )
+ )
+ (net sig0000084f
+ (joined
+ (portRef CE (instanceRef blk00000131))
+ (portRef CE (instanceRef blk00000132))
+ (portRef CE (instanceRef blk00000133))
+ (portRef CE (instanceRef blk00000134))
+ (portRef CE (instanceRef blk00000135))
+ (portRef CE (instanceRef blk00000136))
+ (portRef CE (instanceRef blk00000137))
+ (portRef CE (instanceRef blk00000138))
+ (portRef CE (instanceRef blk00000139))
+ (portRef CE (instanceRef blk0000013a))
+ (portRef CE (instanceRef blk0000013b))
+ (portRef CE (instanceRef blk0000013c))
+ (portRef CE (instanceRef blk0000013d))
+ (portRef CE (instanceRef blk0000013e))
+ (portRef CE (instanceRef blk0000013f))
+ (portRef CE (instanceRef blk00000140))
+ (portRef CE (instanceRef blk00000141))
+ (portRef CE (instanceRef blk00000142))
+ (portRef CE (instanceRef blk00000143))
+ (portRef CE (instanceRef blk00000144))
+ (portRef CE (instanceRef blk00000145))
+ (portRef CE (instanceRef blk00000146))
+ (portRef CE (instanceRef blk00000147))
+ (portRef CE (instanceRef blk00000148))
+ (portRef O (instanceRef blk00000149))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_1_blk0000002b "dpr_ram_1")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<47:0>") 48)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<47:0>") 48)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000002c
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000002d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000030
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000031
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000032
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000033
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000034
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000035
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000036
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000037
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000038
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000039
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000040
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000041
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000042
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000043
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000044
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000045
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000046
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000047
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000048
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000049
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000050
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000051
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000052
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000053
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000054
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000055
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000056
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000057
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000058
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000059
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000005e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000005f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000060
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000061
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000062
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000063
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000064
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000065
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000066
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000067
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000068
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000069
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000070
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000071
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000072
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000073
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000074
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000075
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000076
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000077
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000078
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000079
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000080
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000081
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000082
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000083
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000084
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000085
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000086
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000087
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000088
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000089
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000768
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk0000005d))
+ (portRef A1 (instanceRef blk0000005e))
+ (portRef A1 (instanceRef blk0000005f))
+ (portRef A1 (instanceRef blk00000060))
+ (portRef A1 (instanceRef blk00000061))
+ (portRef A1 (instanceRef blk00000062))
+ (portRef A1 (instanceRef blk00000063))
+ (portRef A1 (instanceRef blk00000064))
+ (portRef A1 (instanceRef blk00000065))
+ (portRef A1 (instanceRef blk00000066))
+ (portRef A1 (instanceRef blk00000067))
+ (portRef A1 (instanceRef blk00000068))
+ (portRef A1 (instanceRef blk00000069))
+ (portRef A1 (instanceRef blk0000006a))
+ (portRef A1 (instanceRef blk0000006b))
+ (portRef A1 (instanceRef blk0000006c))
+ (portRef A1 (instanceRef blk0000006d))
+ (portRef A1 (instanceRef blk0000006e))
+ (portRef A1 (instanceRef blk0000006f))
+ (portRef A1 (instanceRef blk00000070))
+ (portRef A1 (instanceRef blk00000071))
+ (portRef A1 (instanceRef blk00000072))
+ (portRef A1 (instanceRef blk00000073))
+ (portRef A1 (instanceRef blk00000074))
+ (portRef A1 (instanceRef blk00000075))
+ (portRef A1 (instanceRef blk00000076))
+ (portRef A1 (instanceRef blk00000077))
+ (portRef A1 (instanceRef blk00000078))
+ (portRef A1 (instanceRef blk00000079))
+ (portRef A1 (instanceRef blk0000007a))
+ (portRef A1 (instanceRef blk0000007b))
+ (portRef A1 (instanceRef blk0000007c))
+ (portRef A1 (instanceRef blk0000007d))
+ (portRef A1 (instanceRef blk0000007e))
+ (portRef A1 (instanceRef blk0000007f))
+ (portRef A1 (instanceRef blk00000080))
+ (portRef A1 (instanceRef blk00000081))
+ (portRef A1 (instanceRef blk00000082))
+ (portRef A1 (instanceRef blk00000083))
+ (portRef A1 (instanceRef blk00000084))
+ (portRef A1 (instanceRef blk00000085))
+ (portRef A1 (instanceRef blk00000086))
+ (portRef A1 (instanceRef blk00000087))
+ (portRef A1 (instanceRef blk00000088))
+ (portRef A1 (instanceRef blk00000089))
+ (portRef A1 (instanceRef blk0000008a))
+ (portRef A1 (instanceRef blk0000008b))
+ (portRef A1 (instanceRef blk0000008c))
+ )
+ )
+ (net sig00000769
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk0000005d))
+ (portRef A0 (instanceRef blk0000005e))
+ (portRef A0 (instanceRef blk0000005f))
+ (portRef A0 (instanceRef blk00000060))
+ (portRef A0 (instanceRef blk00000061))
+ (portRef A0 (instanceRef blk00000062))
+ (portRef A0 (instanceRef blk00000063))
+ (portRef A0 (instanceRef blk00000064))
+ (portRef A0 (instanceRef blk00000065))
+ (portRef A0 (instanceRef blk00000066))
+ (portRef A0 (instanceRef blk00000067))
+ (portRef A0 (instanceRef blk00000068))
+ (portRef A0 (instanceRef blk00000069))
+ (portRef A0 (instanceRef blk0000006a))
+ (portRef A0 (instanceRef blk0000006b))
+ (portRef A0 (instanceRef blk0000006c))
+ (portRef A0 (instanceRef blk0000006d))
+ (portRef A0 (instanceRef blk0000006e))
+ (portRef A0 (instanceRef blk0000006f))
+ (portRef A0 (instanceRef blk00000070))
+ (portRef A0 (instanceRef blk00000071))
+ (portRef A0 (instanceRef blk00000072))
+ (portRef A0 (instanceRef blk00000073))
+ (portRef A0 (instanceRef blk00000074))
+ (portRef A0 (instanceRef blk00000075))
+ (portRef A0 (instanceRef blk00000076))
+ (portRef A0 (instanceRef blk00000077))
+ (portRef A0 (instanceRef blk00000078))
+ (portRef A0 (instanceRef blk00000079))
+ (portRef A0 (instanceRef blk0000007a))
+ (portRef A0 (instanceRef blk0000007b))
+ (portRef A0 (instanceRef blk0000007c))
+ (portRef A0 (instanceRef blk0000007d))
+ (portRef A0 (instanceRef blk0000007e))
+ (portRef A0 (instanceRef blk0000007f))
+ (portRef A0 (instanceRef blk00000080))
+ (portRef A0 (instanceRef blk00000081))
+ (portRef A0 (instanceRef blk00000082))
+ (portRef A0 (instanceRef blk00000083))
+ (portRef A0 (instanceRef blk00000084))
+ (portRef A0 (instanceRef blk00000085))
+ (portRef A0 (instanceRef blk00000086))
+ (portRef A0 (instanceRef blk00000087))
+ (portRef A0 (instanceRef blk00000088))
+ (portRef A0 (instanceRef blk00000089))
+ (portRef A0 (instanceRef blk0000008a))
+ (portRef A0 (instanceRef blk0000008b))
+ (portRef A0 (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000076a
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk0000008a))
+ )
+ )
+ (net sig0000076b
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000076c
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000008b))
+ )
+ )
+ (net sig0000076d
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000087))
+ )
+ )
+ (net sig0000076e
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk00000089))
+ )
+ )
+ (net sig0000076f
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk00000088))
+ )
+ )
+ (net sig00000770
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000084))
+ )
+ )
+ (net sig00000771
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000086))
+ )
+ )
+ (net sig00000772
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000085))
+ )
+ )
+ (net sig00000773
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000081))
+ )
+ )
+ (net sig00000774
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000083))
+ )
+ )
+ (net sig00000775
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000082))
+ )
+ )
+ (net sig00000776
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk0000007e))
+ )
+ )
+ (net sig00000777
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000080))
+ )
+ )
+ (net sig00000778
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk0000007f))
+ )
+ )
+ (net sig00000779
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk0000007b))
+ )
+ )
+ (net sig0000077a
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk0000007d))
+ )
+ )
+ (net sig0000077b
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk0000007c))
+ )
+ )
+ (net sig0000077c
+ (joined
+ (portRef (member DA_IN 18))
+ (portRef D (instanceRef blk00000078))
+ )
+ )
+ (net sig0000077d
+ (joined
+ (portRef (member DA_IN 19))
+ (portRef D (instanceRef blk0000007a))
+ )
+ )
+ (net sig0000077e
+ (joined
+ (portRef (member DA_IN 20))
+ (portRef D (instanceRef blk00000079))
+ )
+ )
+ (net sig0000077f
+ (joined
+ (portRef (member DA_IN 21))
+ (portRef D (instanceRef blk00000075))
+ )
+ )
+ (net sig00000780
+ (joined
+ (portRef (member DA_IN 22))
+ (portRef D (instanceRef blk00000077))
+ )
+ )
+ (net sig00000781
+ (joined
+ (portRef (member DA_IN 23))
+ (portRef D (instanceRef blk00000076))
+ )
+ )
+ (net sig00000782
+ (joined
+ (portRef (member DA_IN 24))
+ (portRef D (instanceRef blk00000072))
+ )
+ )
+ (net sig00000783
+ (joined
+ (portRef (member DA_IN 25))
+ (portRef D (instanceRef blk00000074))
+ )
+ )
+ (net sig00000784
+ (joined
+ (portRef (member DA_IN 26))
+ (portRef D (instanceRef blk00000073))
+ )
+ )
+ (net sig00000785
+ (joined
+ (portRef (member DA_IN 27))
+ (portRef D (instanceRef blk0000006f))
+ )
+ )
+ (net sig00000786
+ (joined
+ (portRef (member DA_IN 28))
+ (portRef D (instanceRef blk00000071))
+ )
+ )
+ (net sig00000787
+ (joined
+ (portRef (member DA_IN 29))
+ (portRef D (instanceRef blk00000070))
+ )
+ )
+ (net sig00000788
+ (joined
+ (portRef (member DA_IN 30))
+ (portRef D (instanceRef blk0000006c))
+ )
+ )
+ (net sig00000789
+ (joined
+ (portRef (member DA_IN 31))
+ (portRef D (instanceRef blk0000006e))
+ )
+ )
+ (net sig0000078a
+ (joined
+ (portRef (member DA_IN 32))
+ (portRef D (instanceRef blk0000006d))
+ )
+ )
+ (net sig0000078b
+ (joined
+ (portRef (member DA_IN 33))
+ (portRef D (instanceRef blk00000069))
+ )
+ )
+ (net sig0000078c
+ (joined
+ (portRef (member DA_IN 34))
+ (portRef D (instanceRef blk0000006b))
+ )
+ )
+ (net sig0000078d
+ (joined
+ (portRef (member DA_IN 35))
+ (portRef D (instanceRef blk0000006a))
+ )
+ )
+ (net sig0000078e
+ (joined
+ (portRef (member DA_IN 36))
+ (portRef D (instanceRef blk00000066))
+ )
+ )
+ (net sig0000078f
+ (joined
+ (portRef (member DA_IN 37))
+ (portRef D (instanceRef blk00000068))
+ )
+ )
+ (net sig00000790
+ (joined
+ (portRef (member DA_IN 38))
+ (portRef D (instanceRef blk00000067))
+ )
+ )
+ (net sig00000791
+ (joined
+ (portRef (member DA_IN 39))
+ (portRef D (instanceRef blk00000063))
+ )
+ )
+ (net sig00000792
+ (joined
+ (portRef (member DA_IN 40))
+ (portRef D (instanceRef blk00000065))
+ )
+ )
+ (net sig00000793
+ (joined
+ (portRef (member DA_IN 41))
+ (portRef D (instanceRef blk00000064))
+ )
+ )
+ (net sig00000794
+ (joined
+ (portRef (member DA_IN 42))
+ (portRef D (instanceRef blk00000060))
+ )
+ )
+ (net sig00000795
+ (joined
+ (portRef (member DA_IN 43))
+ (portRef D (instanceRef blk00000062))
+ )
+ )
+ (net sig00000796
+ (joined
+ (portRef (member DA_IN 44))
+ (portRef D (instanceRef blk00000061))
+ )
+ )
+ (net sig00000797
+ (joined
+ (portRef (member DA_IN 45))
+ (portRef D (instanceRef blk0000005d))
+ )
+ )
+ (net sig00000798
+ (joined
+ (portRef (member DA_IN 46))
+ (portRef D (instanceRef blk0000005f))
+ )
+ )
+ (net sig00000799
+ (joined
+ (portRef (member DA_IN 47))
+ (portRef D (instanceRef blk0000005e))
+ )
+ )
+ (net sig0000079a
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk0000005d))
+ (portRef DPRA1 (instanceRef blk0000005e))
+ (portRef DPRA1 (instanceRef blk0000005f))
+ (portRef DPRA1 (instanceRef blk00000060))
+ (portRef DPRA1 (instanceRef blk00000061))
+ (portRef DPRA1 (instanceRef blk00000062))
+ (portRef DPRA1 (instanceRef blk00000063))
+ (portRef DPRA1 (instanceRef blk00000064))
+ (portRef DPRA1 (instanceRef blk00000065))
+ (portRef DPRA1 (instanceRef blk00000066))
+ (portRef DPRA1 (instanceRef blk00000067))
+ (portRef DPRA1 (instanceRef blk00000068))
+ (portRef DPRA1 (instanceRef blk00000069))
+ (portRef DPRA1 (instanceRef blk0000006a))
+ (portRef DPRA1 (instanceRef blk0000006b))
+ (portRef DPRA1 (instanceRef blk0000006c))
+ (portRef DPRA1 (instanceRef blk0000006d))
+ (portRef DPRA1 (instanceRef blk0000006e))
+ (portRef DPRA1 (instanceRef blk0000006f))
+ (portRef DPRA1 (instanceRef blk00000070))
+ (portRef DPRA1 (instanceRef blk00000071))
+ (portRef DPRA1 (instanceRef blk00000072))
+ (portRef DPRA1 (instanceRef blk00000073))
+ (portRef DPRA1 (instanceRef blk00000074))
+ (portRef DPRA1 (instanceRef blk00000075))
+ (portRef DPRA1 (instanceRef blk00000076))
+ (portRef DPRA1 (instanceRef blk00000077))
+ (portRef DPRA1 (instanceRef blk00000078))
+ (portRef DPRA1 (instanceRef blk00000079))
+ (portRef DPRA1 (instanceRef blk0000007a))
+ (portRef DPRA1 (instanceRef blk0000007b))
+ (portRef DPRA1 (instanceRef blk0000007c))
+ (portRef DPRA1 (instanceRef blk0000007d))
+ (portRef DPRA1 (instanceRef blk0000007e))
+ (portRef DPRA1 (instanceRef blk0000007f))
+ (portRef DPRA1 (instanceRef blk00000080))
+ (portRef DPRA1 (instanceRef blk00000081))
+ (portRef DPRA1 (instanceRef blk00000082))
+ (portRef DPRA1 (instanceRef blk00000083))
+ (portRef DPRA1 (instanceRef blk00000084))
+ (portRef DPRA1 (instanceRef blk00000085))
+ (portRef DPRA1 (instanceRef blk00000086))
+ (portRef DPRA1 (instanceRef blk00000087))
+ (portRef DPRA1 (instanceRef blk00000088))
+ (portRef DPRA1 (instanceRef blk00000089))
+ (portRef DPRA1 (instanceRef blk0000008a))
+ (portRef DPRA1 (instanceRef blk0000008b))
+ (portRef DPRA1 (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000079b
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk0000005d))
+ (portRef DPRA0 (instanceRef blk0000005e))
+ (portRef DPRA0 (instanceRef blk0000005f))
+ (portRef DPRA0 (instanceRef blk00000060))
+ (portRef DPRA0 (instanceRef blk00000061))
+ (portRef DPRA0 (instanceRef blk00000062))
+ (portRef DPRA0 (instanceRef blk00000063))
+ (portRef DPRA0 (instanceRef blk00000064))
+ (portRef DPRA0 (instanceRef blk00000065))
+ (portRef DPRA0 (instanceRef blk00000066))
+ (portRef DPRA0 (instanceRef blk00000067))
+ (portRef DPRA0 (instanceRef blk00000068))
+ (portRef DPRA0 (instanceRef blk00000069))
+ (portRef DPRA0 (instanceRef blk0000006a))
+ (portRef DPRA0 (instanceRef blk0000006b))
+ (portRef DPRA0 (instanceRef blk0000006c))
+ (portRef DPRA0 (instanceRef blk0000006d))
+ (portRef DPRA0 (instanceRef blk0000006e))
+ (portRef DPRA0 (instanceRef blk0000006f))
+ (portRef DPRA0 (instanceRef blk00000070))
+ (portRef DPRA0 (instanceRef blk00000071))
+ (portRef DPRA0 (instanceRef blk00000072))
+ (portRef DPRA0 (instanceRef blk00000073))
+ (portRef DPRA0 (instanceRef blk00000074))
+ (portRef DPRA0 (instanceRef blk00000075))
+ (portRef DPRA0 (instanceRef blk00000076))
+ (portRef DPRA0 (instanceRef blk00000077))
+ (portRef DPRA0 (instanceRef blk00000078))
+ (portRef DPRA0 (instanceRef blk00000079))
+ (portRef DPRA0 (instanceRef blk0000007a))
+ (portRef DPRA0 (instanceRef blk0000007b))
+ (portRef DPRA0 (instanceRef blk0000007c))
+ (portRef DPRA0 (instanceRef blk0000007d))
+ (portRef DPRA0 (instanceRef blk0000007e))
+ (portRef DPRA0 (instanceRef blk0000007f))
+ (portRef DPRA0 (instanceRef blk00000080))
+ (portRef DPRA0 (instanceRef blk00000081))
+ (portRef DPRA0 (instanceRef blk00000082))
+ (portRef DPRA0 (instanceRef blk00000083))
+ (portRef DPRA0 (instanceRef blk00000084))
+ (portRef DPRA0 (instanceRef blk00000085))
+ (portRef DPRA0 (instanceRef blk00000086))
+ (portRef DPRA0 (instanceRef blk00000087))
+ (portRef DPRA0 (instanceRef blk00000088))
+ (portRef DPRA0 (instanceRef blk00000089))
+ (portRef DPRA0 (instanceRef blk0000008a))
+ (portRef DPRA0 (instanceRef blk0000008b))
+ (portRef DPRA0 (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000079c
+ (joined
+ (portRef WEA)
+ (portRef I0 (instanceRef blk0000008d))
+ )
+ )
+ (net sig0000079d
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000002d))
+ (portRef CE (instanceRef blk0000002e))
+ (portRef CE (instanceRef blk0000002f))
+ (portRef CE (instanceRef blk00000030))
+ (portRef CE (instanceRef blk00000031))
+ (portRef CE (instanceRef blk00000032))
+ (portRef CE (instanceRef blk00000033))
+ (portRef CE (instanceRef blk00000034))
+ (portRef CE (instanceRef blk00000035))
+ (portRef CE (instanceRef blk00000036))
+ (portRef CE (instanceRef blk00000037))
+ (portRef CE (instanceRef blk00000038))
+ (portRef CE (instanceRef blk00000039))
+ (portRef CE (instanceRef blk0000003a))
+ (portRef CE (instanceRef blk0000003b))
+ (portRef CE (instanceRef blk0000003c))
+ (portRef CE (instanceRef blk0000003d))
+ (portRef CE (instanceRef blk0000003e))
+ (portRef CE (instanceRef blk0000003f))
+ (portRef CE (instanceRef blk00000040))
+ (portRef CE (instanceRef blk00000041))
+ (portRef CE (instanceRef blk00000042))
+ (portRef CE (instanceRef blk00000043))
+ (portRef CE (instanceRef blk00000044))
+ (portRef CE (instanceRef blk00000045))
+ (portRef CE (instanceRef blk00000046))
+ (portRef CE (instanceRef blk00000047))
+ (portRef CE (instanceRef blk00000048))
+ (portRef CE (instanceRef blk00000049))
+ (portRef CE (instanceRef blk0000004a))
+ (portRef CE (instanceRef blk0000004b))
+ (portRef CE (instanceRef blk0000004c))
+ (portRef CE (instanceRef blk0000004d))
+ (portRef CE (instanceRef blk0000004e))
+ (portRef CE (instanceRef blk0000004f))
+ (portRef CE (instanceRef blk00000050))
+ (portRef CE (instanceRef blk00000051))
+ (portRef CE (instanceRef blk00000052))
+ (portRef CE (instanceRef blk00000053))
+ (portRef CE (instanceRef blk00000054))
+ (portRef CE (instanceRef blk00000055))
+ (portRef CE (instanceRef blk00000056))
+ (portRef CE (instanceRef blk00000057))
+ (portRef CE (instanceRef blk00000058))
+ (portRef CE (instanceRef blk00000059))
+ (portRef CE (instanceRef blk0000005a))
+ (portRef CE (instanceRef blk0000005b))
+ (portRef CE (instanceRef blk0000005c))
+ (portRef I1 (instanceRef blk0000008d))
+ )
+ )
+ (net sig0000079e
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000002d))
+ (portRef C (instanceRef blk0000002e))
+ (portRef C (instanceRef blk0000002f))
+ (portRef C (instanceRef blk00000030))
+ (portRef C (instanceRef blk00000031))
+ (portRef C (instanceRef blk00000032))
+ (portRef C (instanceRef blk00000033))
+ (portRef C (instanceRef blk00000034))
+ (portRef C (instanceRef blk00000035))
+ (portRef C (instanceRef blk00000036))
+ (portRef C (instanceRef blk00000037))
+ (portRef C (instanceRef blk00000038))
+ (portRef C (instanceRef blk00000039))
+ (portRef C (instanceRef blk0000003a))
+ (portRef C (instanceRef blk0000003b))
+ (portRef C (instanceRef blk0000003c))
+ (portRef C (instanceRef blk0000003d))
+ (portRef C (instanceRef blk0000003e))
+ (portRef C (instanceRef blk0000003f))
+ (portRef C (instanceRef blk00000040))
+ (portRef C (instanceRef blk00000041))
+ (portRef C (instanceRef blk00000042))
+ (portRef C (instanceRef blk00000043))
+ (portRef C (instanceRef blk00000044))
+ (portRef C (instanceRef blk00000045))
+ (portRef C (instanceRef blk00000046))
+ (portRef C (instanceRef blk00000047))
+ (portRef C (instanceRef blk00000048))
+ (portRef C (instanceRef blk00000049))
+ (portRef C (instanceRef blk0000004a))
+ (portRef C (instanceRef blk0000004b))
+ (portRef C (instanceRef blk0000004c))
+ (portRef C (instanceRef blk0000004d))
+ (portRef C (instanceRef blk0000004e))
+ (portRef C (instanceRef blk0000004f))
+ (portRef C (instanceRef blk00000050))
+ (portRef C (instanceRef blk00000051))
+ (portRef C (instanceRef blk00000052))
+ (portRef C (instanceRef blk00000053))
+ (portRef C (instanceRef blk00000054))
+ (portRef C (instanceRef blk00000055))
+ (portRef C (instanceRef blk00000056))
+ (portRef C (instanceRef blk00000057))
+ (portRef C (instanceRef blk00000058))
+ (portRef C (instanceRef blk00000059))
+ (portRef C (instanceRef blk0000005a))
+ (portRef C (instanceRef blk0000005b))
+ (portRef C (instanceRef blk0000005c))
+ (portRef WCLK (instanceRef blk0000005d))
+ (portRef WCLK (instanceRef blk0000005e))
+ (portRef WCLK (instanceRef blk0000005f))
+ (portRef WCLK (instanceRef blk00000060))
+ (portRef WCLK (instanceRef blk00000061))
+ (portRef WCLK (instanceRef blk00000062))
+ (portRef WCLK (instanceRef blk00000063))
+ (portRef WCLK (instanceRef blk00000064))
+ (portRef WCLK (instanceRef blk00000065))
+ (portRef WCLK (instanceRef blk00000066))
+ (portRef WCLK (instanceRef blk00000067))
+ (portRef WCLK (instanceRef blk00000068))
+ (portRef WCLK (instanceRef blk00000069))
+ (portRef WCLK (instanceRef blk0000006a))
+ (portRef WCLK (instanceRef blk0000006b))
+ (portRef WCLK (instanceRef blk0000006c))
+ (portRef WCLK (instanceRef blk0000006d))
+ (portRef WCLK (instanceRef blk0000006e))
+ (portRef WCLK (instanceRef blk0000006f))
+ (portRef WCLK (instanceRef blk00000070))
+ (portRef WCLK (instanceRef blk00000071))
+ (portRef WCLK (instanceRef blk00000072))
+ (portRef WCLK (instanceRef blk00000073))
+ (portRef WCLK (instanceRef blk00000074))
+ (portRef WCLK (instanceRef blk00000075))
+ (portRef WCLK (instanceRef blk00000076))
+ (portRef WCLK (instanceRef blk00000077))
+ (portRef WCLK (instanceRef blk00000078))
+ (portRef WCLK (instanceRef blk00000079))
+ (portRef WCLK (instanceRef blk0000007a))
+ (portRef WCLK (instanceRef blk0000007b))
+ (portRef WCLK (instanceRef blk0000007c))
+ (portRef WCLK (instanceRef blk0000007d))
+ (portRef WCLK (instanceRef blk0000007e))
+ (portRef WCLK (instanceRef blk0000007f))
+ (portRef WCLK (instanceRef blk00000080))
+ (portRef WCLK (instanceRef blk00000081))
+ (portRef WCLK (instanceRef blk00000082))
+ (portRef WCLK (instanceRef blk00000083))
+ (portRef WCLK (instanceRef blk00000084))
+ (portRef WCLK (instanceRef blk00000085))
+ (portRef WCLK (instanceRef blk00000086))
+ (portRef WCLK (instanceRef blk00000087))
+ (portRef WCLK (instanceRef blk00000088))
+ (portRef WCLK (instanceRef blk00000089))
+ (portRef WCLK (instanceRef blk0000008a))
+ (portRef WCLK (instanceRef blk0000008b))
+ (portRef WCLK (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000079f
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk0000005c))
+ )
+ )
+ (net sig000007a0
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000005b))
+ )
+ )
+ (net sig000007a1
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk0000005a))
+ )
+ )
+ (net sig000007a2
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk00000059))
+ )
+ )
+ (net sig000007a3
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000058))
+ )
+ )
+ (net sig000007a4
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk00000057))
+ )
+ )
+ (net sig000007a5
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000056))
+ )
+ )
+ (net sig000007a6
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000055))
+ )
+ )
+ (net sig000007a7
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000054))
+ )
+ )
+ (net sig000007a8
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000053))
+ )
+ )
+ (net sig000007a9
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000052))
+ )
+ )
+ (net sig000007aa
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000051))
+ )
+ )
+ (net sig000007ab
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000050))
+ )
+ )
+ (net sig000007ac
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk0000004f))
+ )
+ )
+ (net sig000007ad
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk0000004e))
+ )
+ )
+ (net sig000007ae
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk0000004d))
+ )
+ )
+ (net sig000007af
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk0000004c))
+ )
+ )
+ (net sig000007b0
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000004b))
+ )
+ )
+ (net sig000007b1
+ (joined
+ (portRef (member DB_OUT 18))
+ (portRef Q (instanceRef blk0000004a))
+ )
+ )
+ (net sig000007b2
+ (joined
+ (portRef (member DB_OUT 19))
+ (portRef Q (instanceRef blk00000049))
+ )
+ )
+ (net sig000007b3
+ (joined
+ (portRef (member DB_OUT 20))
+ (portRef Q (instanceRef blk00000048))
+ )
+ )
+ (net sig000007b4
+ (joined
+ (portRef (member DB_OUT 21))
+ (portRef Q (instanceRef blk00000047))
+ )
+ )
+ (net sig000007b5
+ (joined
+ (portRef (member DB_OUT 22))
+ (portRef Q (instanceRef blk00000046))
+ )
+ )
+ (net sig000007b6
+ (joined
+ (portRef (member DB_OUT 23))
+ (portRef Q (instanceRef blk00000045))
+ )
+ )
+ (net sig000007b7
+ (joined
+ (portRef (member DB_OUT 24))
+ (portRef Q (instanceRef blk00000044))
+ )
+ )
+ (net sig000007b8
+ (joined
+ (portRef (member DB_OUT 25))
+ (portRef Q (instanceRef blk00000043))
+ )
+ )
+ (net sig000007b9
+ (joined
+ (portRef (member DB_OUT 26))
+ (portRef Q (instanceRef blk00000042))
+ )
+ )
+ (net sig000007ba
+ (joined
+ (portRef (member DB_OUT 27))
+ (portRef Q (instanceRef blk00000041))
+ )
+ )
+ (net sig000007bb
+ (joined
+ (portRef (member DB_OUT 28))
+ (portRef Q (instanceRef blk00000040))
+ )
+ )
+ (net sig000007bc
+ (joined
+ (portRef (member DB_OUT 29))
+ (portRef Q (instanceRef blk0000003f))
+ )
+ )
+ (net sig000007bd
+ (joined
+ (portRef (member DB_OUT 30))
+ (portRef Q (instanceRef blk0000003e))
+ )
+ )
+ (net sig000007be
+ (joined
+ (portRef (member DB_OUT 31))
+ (portRef Q (instanceRef blk0000003d))
+ )
+ )
+ (net sig000007bf
+ (joined
+ (portRef (member DB_OUT 32))
+ (portRef Q (instanceRef blk0000003c))
+ )
+ )
+ (net sig000007c0
+ (joined
+ (portRef (member DB_OUT 33))
+ (portRef Q (instanceRef blk0000003b))
+ )
+ )
+ (net sig000007c1
+ (joined
+ (portRef (member DB_OUT 34))
+ (portRef Q (instanceRef blk0000003a))
+ )
+ )
+ (net sig000007c2
+ (joined
+ (portRef (member DB_OUT 35))
+ (portRef Q (instanceRef blk00000039))
+ )
+ )
+ (net sig000007c3
+ (joined
+ (portRef (member DB_OUT 36))
+ (portRef Q (instanceRef blk00000038))
+ )
+ )
+ (net sig000007c4
+ (joined
+ (portRef (member DB_OUT 37))
+ (portRef Q (instanceRef blk00000037))
+ )
+ )
+ (net sig000007c5
+ (joined
+ (portRef (member DB_OUT 38))
+ (portRef Q (instanceRef blk00000036))
+ )
+ )
+ (net sig000007c6
+ (joined
+ (portRef (member DB_OUT 39))
+ (portRef Q (instanceRef blk00000035))
+ )
+ )
+ (net sig000007c7
+ (joined
+ (portRef (member DB_OUT 40))
+ (portRef Q (instanceRef blk00000034))
+ )
+ )
+ (net sig000007c8
+ (joined
+ (portRef (member DB_OUT 41))
+ (portRef Q (instanceRef blk00000033))
+ )
+ )
+ (net sig000007c9
+ (joined
+ (portRef (member DB_OUT 42))
+ (portRef Q (instanceRef blk00000032))
+ )
+ )
+ (net sig000007ca
+ (joined
+ (portRef (member DB_OUT 43))
+ (portRef Q (instanceRef blk00000031))
+ )
+ )
+ (net sig000007cb
+ (joined
+ (portRef (member DB_OUT 44))
+ (portRef Q (instanceRef blk00000030))
+ )
+ )
+ (net sig000007cc
+ (joined
+ (portRef (member DB_OUT 45))
+ (portRef Q (instanceRef blk0000002f))
+ )
+ )
+ (net sig000007cd
+ (joined
+ (portRef (member DB_OUT 46))
+ (portRef Q (instanceRef blk0000002e))
+ )
+ )
+ (net sig000007ce
+ (joined
+ (portRef (member DB_OUT 47))
+ (portRef Q (instanceRef blk0000002d))
+ )
+ )
+ (net sig000007cf
+ (joined
+ (portRef G (instanceRef blk0000002c))
+ (portRef A2 (instanceRef blk0000005d))
+ (portRef A3 (instanceRef blk0000005d))
+ (portRef A4 (instanceRef blk0000005d))
+ (portRef DPRA2 (instanceRef blk0000005d))
+ (portRef DPRA3 (instanceRef blk0000005d))
+ (portRef DPRA4 (instanceRef blk0000005d))
+ (portRef A2 (instanceRef blk0000005e))
+ (portRef A3 (instanceRef blk0000005e))
+ (portRef A4 (instanceRef blk0000005e))
+ (portRef DPRA2 (instanceRef blk0000005e))
+ (portRef DPRA3 (instanceRef blk0000005e))
+ (portRef DPRA4 (instanceRef blk0000005e))
+ (portRef A2 (instanceRef blk0000005f))
+ (portRef A3 (instanceRef blk0000005f))
+ (portRef A4 (instanceRef blk0000005f))
+ (portRef DPRA2 (instanceRef blk0000005f))
+ (portRef DPRA3 (instanceRef blk0000005f))
+ (portRef DPRA4 (instanceRef blk0000005f))
+ (portRef A2 (instanceRef blk00000060))
+ (portRef A3 (instanceRef blk00000060))
+ (portRef A4 (instanceRef blk00000060))
+ (portRef DPRA2 (instanceRef blk00000060))
+ (portRef DPRA3 (instanceRef blk00000060))
+ (portRef DPRA4 (instanceRef blk00000060))
+ (portRef A2 (instanceRef blk00000061))
+ (portRef A3 (instanceRef blk00000061))
+ (portRef A4 (instanceRef blk00000061))
+ (portRef DPRA2 (instanceRef blk00000061))
+ (portRef DPRA3 (instanceRef blk00000061))
+ (portRef DPRA4 (instanceRef blk00000061))
+ (portRef A2 (instanceRef blk00000062))
+ (portRef A3 (instanceRef blk00000062))
+ (portRef A4 (instanceRef blk00000062))
+ (portRef DPRA2 (instanceRef blk00000062))
+ (portRef DPRA3 (instanceRef blk00000062))
+ (portRef DPRA4 (instanceRef blk00000062))
+ (portRef A2 (instanceRef blk00000063))
+ (portRef A3 (instanceRef blk00000063))
+ (portRef A4 (instanceRef blk00000063))
+ (portRef DPRA2 (instanceRef blk00000063))
+ (portRef DPRA3 (instanceRef blk00000063))
+ (portRef DPRA4 (instanceRef blk00000063))
+ (portRef A2 (instanceRef blk00000064))
+ (portRef A3 (instanceRef blk00000064))
+ (portRef A4 (instanceRef blk00000064))
+ (portRef DPRA2 (instanceRef blk00000064))
+ (portRef DPRA3 (instanceRef blk00000064))
+ (portRef DPRA4 (instanceRef blk00000064))
+ (portRef A2 (instanceRef blk00000065))
+ (portRef A3 (instanceRef blk00000065))
+ (portRef A4 (instanceRef blk00000065))
+ (portRef DPRA2 (instanceRef blk00000065))
+ (portRef DPRA3 (instanceRef blk00000065))
+ (portRef DPRA4 (instanceRef blk00000065))
+ (portRef A2 (instanceRef blk00000066))
+ (portRef A3 (instanceRef blk00000066))
+ (portRef A4 (instanceRef blk00000066))
+ (portRef DPRA2 (instanceRef blk00000066))
+ (portRef DPRA3 (instanceRef blk00000066))
+ (portRef DPRA4 (instanceRef blk00000066))
+ (portRef A2 (instanceRef blk00000067))
+ (portRef A3 (instanceRef blk00000067))
+ (portRef A4 (instanceRef blk00000067))
+ (portRef DPRA2 (instanceRef blk00000067))
+ (portRef DPRA3 (instanceRef blk00000067))
+ (portRef DPRA4 (instanceRef blk00000067))
+ (portRef A2 (instanceRef blk00000068))
+ (portRef A3 (instanceRef blk00000068))
+ (portRef A4 (instanceRef blk00000068))
+ (portRef DPRA2 (instanceRef blk00000068))
+ (portRef DPRA3 (instanceRef blk00000068))
+ (portRef DPRA4 (instanceRef blk00000068))
+ (portRef A2 (instanceRef blk00000069))
+ (portRef A3 (instanceRef blk00000069))
+ (portRef A4 (instanceRef blk00000069))
+ (portRef DPRA2 (instanceRef blk00000069))
+ (portRef DPRA3 (instanceRef blk00000069))
+ (portRef DPRA4 (instanceRef blk00000069))
+ (portRef A2 (instanceRef blk0000006a))
+ (portRef A3 (instanceRef blk0000006a))
+ (portRef A4 (instanceRef blk0000006a))
+ (portRef DPRA2 (instanceRef blk0000006a))
+ (portRef DPRA3 (instanceRef blk0000006a))
+ (portRef DPRA4 (instanceRef blk0000006a))
+ (portRef A2 (instanceRef blk0000006b))
+ (portRef A3 (instanceRef blk0000006b))
+ (portRef A4 (instanceRef blk0000006b))
+ (portRef DPRA2 (instanceRef blk0000006b))
+ (portRef DPRA3 (instanceRef blk0000006b))
+ (portRef DPRA4 (instanceRef blk0000006b))
+ (portRef A2 (instanceRef blk0000006c))
+ (portRef A3 (instanceRef blk0000006c))
+ (portRef A4 (instanceRef blk0000006c))
+ (portRef DPRA2 (instanceRef blk0000006c))
+ (portRef DPRA3 (instanceRef blk0000006c))
+ (portRef DPRA4 (instanceRef blk0000006c))
+ (portRef A2 (instanceRef blk0000006d))
+ (portRef A3 (instanceRef blk0000006d))
+ (portRef A4 (instanceRef blk0000006d))
+ (portRef DPRA2 (instanceRef blk0000006d))
+ (portRef DPRA3 (instanceRef blk0000006d))
+ (portRef DPRA4 (instanceRef blk0000006d))
+ (portRef A2 (instanceRef blk0000006e))
+ (portRef A3 (instanceRef blk0000006e))
+ (portRef A4 (instanceRef blk0000006e))
+ (portRef DPRA2 (instanceRef blk0000006e))
+ (portRef DPRA3 (instanceRef blk0000006e))
+ (portRef DPRA4 (instanceRef blk0000006e))
+ (portRef A2 (instanceRef blk0000006f))
+ (portRef A3 (instanceRef blk0000006f))
+ (portRef A4 (instanceRef blk0000006f))
+ (portRef DPRA2 (instanceRef blk0000006f))
+ (portRef DPRA3 (instanceRef blk0000006f))
+ (portRef DPRA4 (instanceRef blk0000006f))
+ (portRef A2 (instanceRef blk00000070))
+ (portRef A3 (instanceRef blk00000070))
+ (portRef A4 (instanceRef blk00000070))
+ (portRef DPRA2 (instanceRef blk00000070))
+ (portRef DPRA3 (instanceRef blk00000070))
+ (portRef DPRA4 (instanceRef blk00000070))
+ (portRef A2 (instanceRef blk00000071))
+ (portRef A3 (instanceRef blk00000071))
+ (portRef A4 (instanceRef blk00000071))
+ (portRef DPRA2 (instanceRef blk00000071))
+ (portRef DPRA3 (instanceRef blk00000071))
+ (portRef DPRA4 (instanceRef blk00000071))
+ (portRef A2 (instanceRef blk00000072))
+ (portRef A3 (instanceRef blk00000072))
+ (portRef A4 (instanceRef blk00000072))
+ (portRef DPRA2 (instanceRef blk00000072))
+ (portRef DPRA3 (instanceRef blk00000072))
+ (portRef DPRA4 (instanceRef blk00000072))
+ (portRef A2 (instanceRef blk00000073))
+ (portRef A3 (instanceRef blk00000073))
+ (portRef A4 (instanceRef blk00000073))
+ (portRef DPRA2 (instanceRef blk00000073))
+ (portRef DPRA3 (instanceRef blk00000073))
+ (portRef DPRA4 (instanceRef blk00000073))
+ (portRef A2 (instanceRef blk00000074))
+ (portRef A3 (instanceRef blk00000074))
+ (portRef A4 (instanceRef blk00000074))
+ (portRef DPRA2 (instanceRef blk00000074))
+ (portRef DPRA3 (instanceRef blk00000074))
+ (portRef DPRA4 (instanceRef blk00000074))
+ (portRef A2 (instanceRef blk00000075))
+ (portRef A3 (instanceRef blk00000075))
+ (portRef A4 (instanceRef blk00000075))
+ (portRef DPRA2 (instanceRef blk00000075))
+ (portRef DPRA3 (instanceRef blk00000075))
+ (portRef DPRA4 (instanceRef blk00000075))
+ (portRef A2 (instanceRef blk00000076))
+ (portRef A3 (instanceRef blk00000076))
+ (portRef A4 (instanceRef blk00000076))
+ (portRef DPRA2 (instanceRef blk00000076))
+ (portRef DPRA3 (instanceRef blk00000076))
+ (portRef DPRA4 (instanceRef blk00000076))
+ (portRef A2 (instanceRef blk00000077))
+ (portRef A3 (instanceRef blk00000077))
+ (portRef A4 (instanceRef blk00000077))
+ (portRef DPRA2 (instanceRef blk00000077))
+ (portRef DPRA3 (instanceRef blk00000077))
+ (portRef DPRA4 (instanceRef blk00000077))
+ (portRef A2 (instanceRef blk00000078))
+ (portRef A3 (instanceRef blk00000078))
+ (portRef A4 (instanceRef blk00000078))
+ (portRef DPRA2 (instanceRef blk00000078))
+ (portRef DPRA3 (instanceRef blk00000078))
+ (portRef DPRA4 (instanceRef blk00000078))
+ (portRef A2 (instanceRef blk00000079))
+ (portRef A3 (instanceRef blk00000079))
+ (portRef A4 (instanceRef blk00000079))
+ (portRef DPRA2 (instanceRef blk00000079))
+ (portRef DPRA3 (instanceRef blk00000079))
+ (portRef DPRA4 (instanceRef blk00000079))
+ (portRef A2 (instanceRef blk0000007a))
+ (portRef A3 (instanceRef blk0000007a))
+ (portRef A4 (instanceRef blk0000007a))
+ (portRef DPRA2 (instanceRef blk0000007a))
+ (portRef DPRA3 (instanceRef blk0000007a))
+ (portRef DPRA4 (instanceRef blk0000007a))
+ (portRef A2 (instanceRef blk0000007b))
+ (portRef A3 (instanceRef blk0000007b))
+ (portRef A4 (instanceRef blk0000007b))
+ (portRef DPRA2 (instanceRef blk0000007b))
+ (portRef DPRA3 (instanceRef blk0000007b))
+ (portRef DPRA4 (instanceRef blk0000007b))
+ (portRef A2 (instanceRef blk0000007c))
+ (portRef A3 (instanceRef blk0000007c))
+ (portRef A4 (instanceRef blk0000007c))
+ (portRef DPRA2 (instanceRef blk0000007c))
+ (portRef DPRA3 (instanceRef blk0000007c))
+ (portRef DPRA4 (instanceRef blk0000007c))
+ (portRef A2 (instanceRef blk0000007d))
+ (portRef A3 (instanceRef blk0000007d))
+ (portRef A4 (instanceRef blk0000007d))
+ (portRef DPRA2 (instanceRef blk0000007d))
+ (portRef DPRA3 (instanceRef blk0000007d))
+ (portRef DPRA4 (instanceRef blk0000007d))
+ (portRef A2 (instanceRef blk0000007e))
+ (portRef A3 (instanceRef blk0000007e))
+ (portRef A4 (instanceRef blk0000007e))
+ (portRef DPRA2 (instanceRef blk0000007e))
+ (portRef DPRA3 (instanceRef blk0000007e))
+ (portRef DPRA4 (instanceRef blk0000007e))
+ (portRef A2 (instanceRef blk0000007f))
+ (portRef A3 (instanceRef blk0000007f))
+ (portRef A4 (instanceRef blk0000007f))
+ (portRef DPRA2 (instanceRef blk0000007f))
+ (portRef DPRA3 (instanceRef blk0000007f))
+ (portRef DPRA4 (instanceRef blk0000007f))
+ (portRef A2 (instanceRef blk00000080))
+ (portRef A3 (instanceRef blk00000080))
+ (portRef A4 (instanceRef blk00000080))
+ (portRef DPRA2 (instanceRef blk00000080))
+ (portRef DPRA3 (instanceRef blk00000080))
+ (portRef DPRA4 (instanceRef blk00000080))
+ (portRef A2 (instanceRef blk00000081))
+ (portRef A3 (instanceRef blk00000081))
+ (portRef A4 (instanceRef blk00000081))
+ (portRef DPRA2 (instanceRef blk00000081))
+ (portRef DPRA3 (instanceRef blk00000081))
+ (portRef DPRA4 (instanceRef blk00000081))
+ (portRef A2 (instanceRef blk00000082))
+ (portRef A3 (instanceRef blk00000082))
+ (portRef A4 (instanceRef blk00000082))
+ (portRef DPRA2 (instanceRef blk00000082))
+ (portRef DPRA3 (instanceRef blk00000082))
+ (portRef DPRA4 (instanceRef blk00000082))
+ (portRef A2 (instanceRef blk00000083))
+ (portRef A3 (instanceRef blk00000083))
+ (portRef A4 (instanceRef blk00000083))
+ (portRef DPRA2 (instanceRef blk00000083))
+ (portRef DPRA3 (instanceRef blk00000083))
+ (portRef DPRA4 (instanceRef blk00000083))
+ (portRef A2 (instanceRef blk00000084))
+ (portRef A3 (instanceRef blk00000084))
+ (portRef A4 (instanceRef blk00000084))
+ (portRef DPRA2 (instanceRef blk00000084))
+ (portRef DPRA3 (instanceRef blk00000084))
+ (portRef DPRA4 (instanceRef blk00000084))
+ (portRef A2 (instanceRef blk00000085))
+ (portRef A3 (instanceRef blk00000085))
+ (portRef A4 (instanceRef blk00000085))
+ (portRef DPRA2 (instanceRef blk00000085))
+ (portRef DPRA3 (instanceRef blk00000085))
+ (portRef DPRA4 (instanceRef blk00000085))
+ (portRef A2 (instanceRef blk00000086))
+ (portRef A3 (instanceRef blk00000086))
+ (portRef A4 (instanceRef blk00000086))
+ (portRef DPRA2 (instanceRef blk00000086))
+ (portRef DPRA3 (instanceRef blk00000086))
+ (portRef DPRA4 (instanceRef blk00000086))
+ (portRef A2 (instanceRef blk00000087))
+ (portRef A3 (instanceRef blk00000087))
+ (portRef A4 (instanceRef blk00000087))
+ (portRef DPRA2 (instanceRef blk00000087))
+ (portRef DPRA3 (instanceRef blk00000087))
+ (portRef DPRA4 (instanceRef blk00000087))
+ (portRef A2 (instanceRef blk00000088))
+ (portRef A3 (instanceRef blk00000088))
+ (portRef A4 (instanceRef blk00000088))
+ (portRef DPRA2 (instanceRef blk00000088))
+ (portRef DPRA3 (instanceRef blk00000088))
+ (portRef DPRA4 (instanceRef blk00000088))
+ (portRef A2 (instanceRef blk00000089))
+ (portRef A3 (instanceRef blk00000089))
+ (portRef A4 (instanceRef blk00000089))
+ (portRef DPRA2 (instanceRef blk00000089))
+ (portRef DPRA3 (instanceRef blk00000089))
+ (portRef DPRA4 (instanceRef blk00000089))
+ (portRef A2 (instanceRef blk0000008a))
+ (portRef A3 (instanceRef blk0000008a))
+ (portRef A4 (instanceRef blk0000008a))
+ (portRef DPRA2 (instanceRef blk0000008a))
+ (portRef DPRA3 (instanceRef blk0000008a))
+ (portRef DPRA4 (instanceRef blk0000008a))
+ (portRef A2 (instanceRef blk0000008b))
+ (portRef A3 (instanceRef blk0000008b))
+ (portRef A4 (instanceRef blk0000008b))
+ (portRef DPRA2 (instanceRef blk0000008b))
+ (portRef DPRA3 (instanceRef blk0000008b))
+ (portRef DPRA4 (instanceRef blk0000008b))
+ (portRef A2 (instanceRef blk0000008c))
+ (portRef A3 (instanceRef blk0000008c))
+ (portRef A4 (instanceRef blk0000008c))
+ (portRef DPRA2 (instanceRef blk0000008c))
+ (portRef DPRA3 (instanceRef blk0000008c))
+ (portRef DPRA4 (instanceRef blk0000008c))
+ )
+ )
+ (net sig000007d0
+ (joined
+ (portRef D (instanceRef blk0000002d))
+ (portRef DPO (instanceRef blk0000005e))
+ )
+ )
+ (net sig000007d1
+ (joined
+ (portRef D (instanceRef blk0000002e))
+ (portRef DPO (instanceRef blk0000005f))
+ )
+ )
+ (net sig000007d2
+ (joined
+ (portRef D (instanceRef blk0000002f))
+ (portRef DPO (instanceRef blk0000005d))
+ )
+ )
+ (net sig000007d3
+ (joined
+ (portRef D (instanceRef blk00000030))
+ (portRef DPO (instanceRef blk00000061))
+ )
+ )
+ (net sig000007d4
+ (joined
+ (portRef D (instanceRef blk00000031))
+ (portRef DPO (instanceRef blk00000062))
+ )
+ )
+ (net sig000007d5
+ (joined
+ (portRef D (instanceRef blk00000032))
+ (portRef DPO (instanceRef blk00000060))
+ )
+ )
+ (net sig000007d6
+ (joined
+ (portRef D (instanceRef blk00000033))
+ (portRef DPO (instanceRef blk00000064))
+ )
+ )
+ (net sig000007d7
+ (joined
+ (portRef D (instanceRef blk00000034))
+ (portRef DPO (instanceRef blk00000065))
+ )
+ )
+ (net sig000007d8
+ (joined
+ (portRef D (instanceRef blk00000035))
+ (portRef DPO (instanceRef blk00000063))
+ )
+ )
+ (net sig000007d9
+ (joined
+ (portRef D (instanceRef blk00000036))
+ (portRef DPO (instanceRef blk00000067))
+ )
+ )
+ (net sig000007da
+ (joined
+ (portRef D (instanceRef blk00000037))
+ (portRef DPO (instanceRef blk00000068))
+ )
+ )
+ (net sig000007db
+ (joined
+ (portRef D (instanceRef blk00000038))
+ (portRef DPO (instanceRef blk00000066))
+ )
+ )
+ (net sig000007dc
+ (joined
+ (portRef D (instanceRef blk00000039))
+ (portRef DPO (instanceRef blk0000006a))
+ )
+ )
+ (net sig000007dd
+ (joined
+ (portRef D (instanceRef blk0000003a))
+ (portRef DPO (instanceRef blk0000006b))
+ )
+ )
+ (net sig000007de
+ (joined
+ (portRef D (instanceRef blk0000003b))
+ (portRef DPO (instanceRef blk00000069))
+ )
+ )
+ (net sig000007df
+ (joined
+ (portRef D (instanceRef blk0000003c))
+ (portRef DPO (instanceRef blk0000006d))
+ )
+ )
+ (net sig000007e0
+ (joined
+ (portRef D (instanceRef blk0000003d))
+ (portRef DPO (instanceRef blk0000006e))
+ )
+ )
+ (net sig000007e1
+ (joined
+ (portRef D (instanceRef blk0000003e))
+ (portRef DPO (instanceRef blk0000006c))
+ )
+ )
+ (net sig000007e2
+ (joined
+ (portRef D (instanceRef blk0000003f))
+ (portRef DPO (instanceRef blk00000070))
+ )
+ )
+ (net sig000007e3
+ (joined
+ (portRef D (instanceRef blk00000040))
+ (portRef DPO (instanceRef blk00000071))
+ )
+ )
+ (net sig000007e4
+ (joined
+ (portRef D (instanceRef blk00000041))
+ (portRef DPO (instanceRef blk0000006f))
+ )
+ )
+ (net sig000007e5
+ (joined
+ (portRef D (instanceRef blk00000042))
+ (portRef DPO (instanceRef blk00000073))
+ )
+ )
+ (net sig000007e6
+ (joined
+ (portRef D (instanceRef blk00000043))
+ (portRef DPO (instanceRef blk00000074))
+ )
+ )
+ (net sig000007e7
+ (joined
+ (portRef D (instanceRef blk00000044))
+ (portRef DPO (instanceRef blk00000072))
+ )
+ )
+ (net sig000007e8
+ (joined
+ (portRef D (instanceRef blk00000045))
+ (portRef DPO (instanceRef blk00000076))
+ )
+ )
+ (net sig000007e9
+ (joined
+ (portRef D (instanceRef blk00000046))
+ (portRef DPO (instanceRef blk00000077))
+ )
+ )
+ (net sig000007ea
+ (joined
+ (portRef D (instanceRef blk00000047))
+ (portRef DPO (instanceRef blk00000075))
+ )
+ )
+ (net sig000007eb
+ (joined
+ (portRef D (instanceRef blk00000048))
+ (portRef DPO (instanceRef blk00000079))
+ )
+ )
+ (net sig000007ec
+ (joined
+ (portRef D (instanceRef blk00000049))
+ (portRef DPO (instanceRef blk0000007a))
+ )
+ )
+ (net sig000007ed
+ (joined
+ (portRef D (instanceRef blk0000004a))
+ (portRef DPO (instanceRef blk00000078))
+ )
+ )
+ (net sig000007ee
+ (joined
+ (portRef D (instanceRef blk0000004b))
+ (portRef DPO (instanceRef blk0000007c))
+ )
+ )
+ (net sig000007ef
+ (joined
+ (portRef D (instanceRef blk0000004c))
+ (portRef DPO (instanceRef blk0000007d))
+ )
+ )
+ (net sig000007f0
+ (joined
+ (portRef D (instanceRef blk0000004d))
+ (portRef DPO (instanceRef blk0000007b))
+ )
+ )
+ (net sig000007f1
+ (joined
+ (portRef D (instanceRef blk0000004e))
+ (portRef DPO (instanceRef blk0000007f))
+ )
+ )
+ (net sig000007f2
+ (joined
+ (portRef D (instanceRef blk0000004f))
+ (portRef DPO (instanceRef blk00000080))
+ )
+ )
+ (net sig000007f3
+ (joined
+ (portRef D (instanceRef blk00000050))
+ (portRef DPO (instanceRef blk0000007e))
+ )
+ )
+ (net sig000007f4
+ (joined
+ (portRef D (instanceRef blk00000051))
+ (portRef DPO (instanceRef blk00000082))
+ )
+ )
+ (net sig000007f5
+ (joined
+ (portRef D (instanceRef blk00000052))
+ (portRef DPO (instanceRef blk00000083))
+ )
+ )
+ (net sig000007f6
+ (joined
+ (portRef D (instanceRef blk00000053))
+ (portRef DPO (instanceRef blk00000081))
+ )
+ )
+ (net sig000007f7
+ (joined
+ (portRef D (instanceRef blk00000054))
+ (portRef DPO (instanceRef blk00000085))
+ )
+ )
+ (net sig000007f8
+ (joined
+ (portRef D (instanceRef blk00000055))
+ (portRef DPO (instanceRef blk00000086))
+ )
+ )
+ (net sig000007f9
+ (joined
+ (portRef D (instanceRef blk00000056))
+ (portRef DPO (instanceRef blk00000084))
+ )
+ )
+ (net sig000007fa
+ (joined
+ (portRef D (instanceRef blk00000057))
+ (portRef DPO (instanceRef blk00000088))
+ )
+ )
+ (net sig000007fb
+ (joined
+ (portRef D (instanceRef blk00000058))
+ (portRef DPO (instanceRef blk00000089))
+ )
+ )
+ (net sig000007fc
+ (joined
+ (portRef D (instanceRef blk00000059))
+ (portRef DPO (instanceRef blk00000087))
+ )
+ )
+ (net sig000007fd
+ (joined
+ (portRef D (instanceRef blk0000005a))
+ (portRef DPO (instanceRef blk0000008b))
+ )
+ )
+ (net sig000007fe
+ (joined
+ (portRef D (instanceRef blk0000005b))
+ (portRef DPO (instanceRef blk0000008c))
+ )
+ )
+ (net sig000007ff
+ (joined
+ (portRef D (instanceRef blk0000005c))
+ (portRef DPO (instanceRef blk0000008a))
+ )
+ )
+ (net sig00000800
+ (joined
+ (portRef WE (instanceRef blk0000005d))
+ (portRef WE (instanceRef blk0000005e))
+ (portRef WE (instanceRef blk0000005f))
+ (portRef WE (instanceRef blk00000060))
+ (portRef WE (instanceRef blk00000061))
+ (portRef WE (instanceRef blk00000062))
+ (portRef WE (instanceRef blk00000063))
+ (portRef WE (instanceRef blk00000064))
+ (portRef WE (instanceRef blk00000065))
+ (portRef WE (instanceRef blk00000066))
+ (portRef WE (instanceRef blk00000067))
+ (portRef WE (instanceRef blk00000068))
+ (portRef WE (instanceRef blk00000069))
+ (portRef WE (instanceRef blk0000006a))
+ (portRef WE (instanceRef blk0000006b))
+ (portRef WE (instanceRef blk0000006c))
+ (portRef WE (instanceRef blk0000006d))
+ (portRef WE (instanceRef blk0000006e))
+ (portRef WE (instanceRef blk0000006f))
+ (portRef WE (instanceRef blk00000070))
+ (portRef WE (instanceRef blk00000071))
+ (portRef WE (instanceRef blk00000072))
+ (portRef WE (instanceRef blk00000073))
+ (portRef WE (instanceRef blk00000074))
+ (portRef WE (instanceRef blk00000075))
+ (portRef WE (instanceRef blk00000076))
+ (portRef WE (instanceRef blk00000077))
+ (portRef WE (instanceRef blk00000078))
+ (portRef WE (instanceRef blk00000079))
+ (portRef WE (instanceRef blk0000007a))
+ (portRef WE (instanceRef blk0000007b))
+ (portRef WE (instanceRef blk0000007c))
+ (portRef WE (instanceRef blk0000007d))
+ (portRef WE (instanceRef blk0000007e))
+ (portRef WE (instanceRef blk0000007f))
+ (portRef WE (instanceRef blk00000080))
+ (portRef WE (instanceRef blk00000081))
+ (portRef WE (instanceRef blk00000082))
+ (portRef WE (instanceRef blk00000083))
+ (portRef WE (instanceRef blk00000084))
+ (portRef WE (instanceRef blk00000085))
+ (portRef WE (instanceRef blk00000086))
+ (portRef WE (instanceRef blk00000087))
+ (portRef WE (instanceRef blk00000088))
+ (portRef WE (instanceRef blk00000089))
+ (portRef WE (instanceRef blk0000008a))
+ (portRef WE (instanceRef blk0000008b))
+ (portRef WE (instanceRef blk0000008c))
+ (portRef O (instanceRef blk0000008d))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename hbdec2_fir_compiler_v5_0_xst_1_blk00000003 "hbdec2_fir_compiler_v5_0_xst_1")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port sclr
+ (direction INPUT)
+ )
+ (port ce
+ (direction INPUT)
+ )
+ (port rfd
+ (direction OUTPUT)
+ )
+ (port rdy
+ (direction OUTPUT)
+ )
+ (port data_valid
+ (direction OUTPUT)
+ )
+ (port coef_we
+ (direction INPUT)
+ )
+ (port nd
+ (direction INPUT)
+ )
+ (port clk
+ (direction INPUT)
+ )
+ (port coef_ld
+ (direction INPUT)
+ )
+ (port (array (rename dout_10 "dout_10<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_11 "dout_11<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_12 "dout_12<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_13 "dout_13<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_14 "dout_14<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_15 "dout_15<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_16 "dout_16<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_10 "dout_i_10<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_11 "dout_i_11<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_12 "dout_i_12<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_13 "dout_i_13<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_14 "dout_i_14<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_15 "dout_i_15<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_16 "dout_i_16<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename din_10 "din_10<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_11 "din_11<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_12 "din_12<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_13 "din_13<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_14 "din_14<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_15 "din_15<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_16 "din_16<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename coef_filter_sel "coef_filter_sel<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename dout_1 "dout_1<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_2 "dout_2<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_3 "dout_3<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_4 "dout_4<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_1 "din_1<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_2 "din_2<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_5 "dout_5<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_3 "din_3<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_6 "dout_6<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_4 "din_4<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_7 "dout_7<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_5 "din_5<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_8 "dout_8<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_6 "din_6<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_9 "dout_9<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_7 "din_7<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_8 "din_8<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_9 "din_9<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_q_10 "dout_q_10<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_11 "dout_q_11<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_12 "dout_q_12<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_13 "dout_q_13<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_14 "dout_q_14<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_15 "dout_q_15<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_16 "dout_q_16<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename coef_din "coef_din<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename dout_i "dout_i<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q "dout_q<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_1 "dout_i_1<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_2 "dout_i_2<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_3 "dout_i_3<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_4 "dout_i_4<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_5 "dout_i_5<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_6 "dout_i_6<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_1 "dout_q_1<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_7 "dout_i_7<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_2 "dout_q_2<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_8 "dout_i_8<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_3 "dout_q_3<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_i_9 "dout_i_9<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_4 "dout_q_4<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_5 "dout_q_5<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_6 "dout_q_6<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_7 "dout_q_7<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_8 "dout_q_8<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_q_9 "dout_q_9<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout "dout<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din "din<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename chan_in "chan_in<0:0>") 1)
+ (direction OUTPUT))
+ (port (array (rename chan_out "chan_out<0:0>") 1)
+ (direction OUTPUT))
+ (port (array (rename filter_sel "filter_sel<0:0>") 1)
+ (direction INPUT))
+ )
+ (contents
+ (instance blk00000004
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000005
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000006
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000007
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000008
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000009
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000000a
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000000b
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000c
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000d
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000e
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000f
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000010
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 2) (owner "Xilinx"))
+ (property ADREG (integer 0) (owner "Xilinx"))
+ (property ALUMODEREG (integer 1) (owner "Xilinx"))
+ (property AREG (integer 2) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 2) (owner "Xilinx"))
+ (property BREG (integer 2) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 0) (owner "Xilinx"))
+ (property INMODEREG (integer 0) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 1) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "FALSE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000011
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 2) (owner "Xilinx"))
+ (property ADREG (integer 0) (owner "Xilinx"))
+ (property ALUMODEREG (integer 1) (owner "Xilinx"))
+ (property AREG (integer 2) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 2) (owner "Xilinx"))
+ (property BREG (integer 2) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 0) (owner "Xilinx"))
+ (property INMODEREG (integer 0) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 1) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "FALSE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000012
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000013
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000014
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000015
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000016
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000017
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000018
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000019
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000020
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000021
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000022
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000023
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000024
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000025
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000026
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000027
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000028
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000029
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk0000002a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002b
+ (viewRef view_1 (cellRef dpr_ram_1_blk0000002b (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:DA_IN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:DA_OUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:DB_OUT<47:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_1_blk0000002b") (owner "Xilinx"))
+ )
+ (instance blk0000008e
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk0000008f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000090
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000091
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000092
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000093
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000094
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000095
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000096
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000097
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000098
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000099
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000aa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ac
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ae
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b1
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b4
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b5
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b6
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b7
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b8
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b9
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ba
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bb
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bc
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bd
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000be
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bf
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c0
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c1
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000c3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000000c5
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c6
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c7
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c8
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c9
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ca
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cb
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cc
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ce
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000000cf
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d0
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d1
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d3
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d4
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d5
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000d7
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000000d8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000d9
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000da
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000db
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000dc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000dd
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000de
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000df
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e0
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e1
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e2
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e4
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e5
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000000e7
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e8
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e9
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ea
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000eb
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ec
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ed
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ee
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ef
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f0
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f1
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000f7
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000f8
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000f9
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000fa
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000fb
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000fc
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk000000fd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000fe
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ff
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000100
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000101
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000102
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000103
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000104
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000105
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000106
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000107
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000108
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000109
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000110
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000111
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000112
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000113
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000114
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000115
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000116
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000117
+ (viewRef view_1 (cellRef sp_ram_blk00000117 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_blk00000117") (owner "Xilinx"))
+ )
+ (instance blk0000014a
+ (viewRef view_1 (cellRef sp_ram_NO1_blk0000014a (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO1_blk0000014a") (owner "Xilinx"))
+ )
+ (instance blk0000017d
+ (viewRef view_1 (cellRef sp_ram_NO2_blk0000017d (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 5) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO2_blk0000017d") (owner "Xilinx"))
+ )
+ (instance blk000001b0
+ (viewRef view_1 (cellRef sp_ram_NO3_blk000001b0 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 6) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO3_blk000001b0") (owner "Xilinx"))
+ )
+ (instance blk000001e3
+ (viewRef view_1 (cellRef sp_ram_NO4_blk000001e3 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 7) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO4_blk000001e3") (owner "Xilinx"))
+ )
+ (instance blk00000216
+ (viewRef view_1 (cellRef sp_ram_NO5_blk00000216 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 5) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 8) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO5_blk00000216") (owner "Xilinx"))
+ )
+ (instance blk00000249
+ (viewRef view_1 (cellRef sp_ram_NO6_blk00000249 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 6) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 9) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO6_blk00000249") (owner "Xilinx"))
+ )
+ (instance blk0000027c
+ (viewRef view_1 (cellRef sp_ram_NO7_blk0000027c (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 7) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 10) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO7_blk0000027c") (owner "Xilinx"))
+ )
+ (instance blk000002af
+ (viewRef view_1 (cellRef sp_ram_NO8_blk000002af (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 8) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 11) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO8_blk000002af") (owner "Xilinx"))
+ )
+ (instance blk000002e2
+ (viewRef view_1 (cellRef sp_ram_NO9_blk000002e2 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 9) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 12) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO9_blk000002e2") (owner "Xilinx"))
+ )
+ (instance blk00000315
+ (viewRef view_1 (cellRef sp_ram_NO10_blk00000315 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 10) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 13) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO10_blk00000315") (owner "Xilinx"))
+ )
+ (instance blk00000348
+ (viewRef view_1 (cellRef sp_ram_NO11_blk00000348 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDR<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 11) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 14) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO11_blk00000348") (owner "Xilinx"))
+ )
+ (instance blk0000037b
+ (viewRef view_1 (cellRef dpr_ram_2_blk0000037b (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDRA<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:ADDRB<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 15) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_2_blk0000037b") (owner "Xilinx"))
+ )
+ (instance blk000003b4
+ (viewRef view_1 (cellRef dpr_ram_3_blk000003b4 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDRA<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:ADDRB<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 16) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_3_blk000003b4") (owner "Xilinx"))
+ )
+ (instance blk000003ed
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ee
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ef
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fe
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ff
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000400
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000401
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000402
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000403
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000404
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000405
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000406
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000407
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000408
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000409
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000040a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000040b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000040c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000040d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000040e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000040f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000410
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000411
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000412
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000413
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000414
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000415
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000416
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000417
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000418
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000419
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000041a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000041b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000041c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000041d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000041e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000041f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000420
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000421
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000422
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000423
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000424
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000425
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000426
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000427
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000428
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000429
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000042f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000430
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000431
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000432
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000433
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000434
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000435
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000436
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000437
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000438
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000439
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000043a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000043b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000043c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000043d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000043e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000043f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000440
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000441
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000442
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000443
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000444
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000445
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000446
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000447
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000448
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000449
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000044a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000044b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000044c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000044d
+ (viewRef view_1 (cellRef dpr_ram_4_blk0000044d (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDRA<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:ADDRB<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 17) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_4_blk0000044d") (owner "Xilinx"))
+ )
+ (instance blk00000474
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000475
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000476
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000477
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000478
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000479
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000047a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000047b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000047c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000047d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000047e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000047f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000480
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000481
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000482
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000483
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000484
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000485
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000486
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000487
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000488
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000489
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000048f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000490
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000491
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000492
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000493
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000494
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000495
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000496
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000497
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000498
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000499
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000049f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004a4
+ (viewRef view_1 (cellRef dpr_ram_5_blk000004a4 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDRA<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:ADDRB<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 18) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_5_blk000004a4") (owner "Xilinx"))
+ )
+ (instance blk000004cb
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004cc
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004cd
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004ce
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004cf
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004d0
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004d1
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004d2
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004d3
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004d4
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000004d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004da
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004db
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004dc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004dd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004de
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004df
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004e9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ea
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004eb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ec
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ed
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ee
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ef
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004fe
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ff
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000500
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000501
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000502
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000503
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000504
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000505
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000506
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000507
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000508
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000509
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000510
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000511
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000512
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000513
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000514
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000515
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000516
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000517
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000518
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000519
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000520
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000521
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000522
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000523
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000524
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000525
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000526
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000527
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000528
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000529
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000530
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000531
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000532
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000533
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000534
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000535
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000536
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000537
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000538
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000539
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000053a
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000053b
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000053c
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000053d
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000053e
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000053f
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000540
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___6___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/opcode_cntrl_dly<1>_eqn1") (owner "Xilinx"))
+ (property INIT (string "FDA8") (owner "Xilinx"))
+ )
+ (instance blk00000541
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "9A") (owner "Xilinx"))
+ )
+ (instance blk00000542
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___1___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_stop_earily/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "5540") (owner "Xilinx"))
+ )
+ (instance blk00000543
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___3___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_blank_reg/gen_blank_regs[0].blank_reg_x/reg_input1") (owner "Xilinx"))
+ (property INIT (string "8808") (owner "Xilinx"))
+ )
+ (instance blk00000544
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___1___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_stop_earily/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "CEEE8AAA") (owner "Xilinx"))
+ )
+ (instance blk00000545
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000546
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___4___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_rfd/gen_struct.gen_norm.cntrl/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "8F88") (owner "Xilinx"))
+ )
+ (instance blk00000547
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "72") (owner "Xilinx"))
+ )
+ (instance blk00000548
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___6___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/opcode_cntrl_dly<1>_eqn1") (owner "Xilinx"))
+ (property INIT (string "D8") (owner "Xilinx"))
+ )
+ (instance blk00000549
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000054a
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___3___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_blank_reg/gen_blank_regs[0].blank_reg_x/reg_input1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk0000054b
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00002000") (owner "Xilinx"))
+ )
+ (instance blk0000054c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000054d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "D") (owner "Xilinx"))
+ )
+ (instance blk0000054e
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___5___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/Reset_OR_DriverANDClockEnable1") (owner "Xilinx"))
+ (property INIT (string "EA") (owner "Xilinx"))
+ )
+ (instance blk0000054f
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "10") (owner "Xilinx"))
+ )
+ (instance blk00000550
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000551
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___4___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_rfd/gen_struct.gen_norm.cntrl/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "80") (owner "Xilinx"))
+ )
+ (instance blk00000552
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "FF8A") (owner "Xilinx"))
+ )
+ (instance blk00000553
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "FFFF2AAA") (owner "Xilinx"))
+ )
+ (instance blk00000554
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk00000555
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk00000556
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000557
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000558
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000559
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "40") (owner "Xilinx"))
+ )
+ (instance blk0000055a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000055b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___7___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/COEF_LD_coef_ld_dly_AND_138_o1") (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000055c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000055d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000055e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E6CC") (owner "Xilinx"))
+ )
+ (instance blk0000055f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EAAA") (owner "Xilinx"))
+ )
+ (instance blk00000560
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000561
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___7___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/COEF_LD_coef_ld_dly_AND_138_o1") (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000562
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000563
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000564
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk00000565
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000566
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000567
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000568
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000569
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk0000056a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk0000056b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk0000056c
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "09") (owner "Xilinx"))
+ )
+ (instance blk0000056d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000056e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFA0") (owner "Xilinx"))
+ )
+ (instance blk0000056f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EAAA") (owner "Xilinx"))
+ )
+ (instance blk00000570
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000571
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000572
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000573
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "BC") (owner "Xilinx"))
+ )
+ (instance blk00000574
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EA") (owner "Xilinx"))
+ )
+ (instance blk00000575
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000576
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000577
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000578
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___10___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[3].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_167_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000579
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___9___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[2].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_167_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000057a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___11___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/sym_delay/CE_WE_AND_194_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000057b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___8___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_centre_tap_data_latched/CE_WE_AND_196_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000057c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000057d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000057e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000057f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000580
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000581
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000582
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000583
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000584
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000585
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000586
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000587
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000588
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000589
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000058a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000058b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000058c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000058d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000058e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000058f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000590
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000591
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000592
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000593
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000594
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000595
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000596
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000597
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000598
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000599
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000059a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000059b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000059c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000059d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000059e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000059f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a0
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a1
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a3
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a4
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a6
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a7
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a8
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005a9
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005aa
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ab
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ac
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ad
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ae
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005af
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b0
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b1
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b3
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b4
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b6
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b7
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b8
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005b9
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ba
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005bb
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005bc
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005bd
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005be
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005bf
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c0
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c1
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c3
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c4
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c6
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c7
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c8
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005c9
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ca
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005cb
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005cc
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005cd
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005ce
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005cf
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d0
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d1
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d3
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d4
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d6
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d7
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d8
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005d9
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005da
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000005db
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "04") (owner "Xilinx"))
+ )
+ (instance blk000005dc
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DE") (owner "Xilinx"))
+ )
+ (instance blk000005dd
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000005de
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000005df
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000005e0
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000005e1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ea
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000005eb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ec
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ed
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ee
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000005ef
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000005f0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f2
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000005f3
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000005f4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f6
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000005f7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f9
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000005fa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fe
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ff
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000600
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000601
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000602
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000603
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000604
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000605
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000606
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000607
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000608
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000609
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000060a
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000060b
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000060c
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000060d
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000060e
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060f
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000610
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000611
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000612
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6AAAAAAA") (owner "Xilinx"))
+ )
+ (instance blk00000613
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___10___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[3].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_167_o1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk00000614
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___5___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/Reset_OR_DriverANDClockEnable1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk00000615
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___11___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/sym_delay/CE_WE_AND_194_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk00000616
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___9___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[2].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_167_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk00000617
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___8___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_centre_tap_data_latched/CE_WE_AND_196_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk00000618
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___0___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_enable_reload_hb_enable_OR_9_o1") (owner "Xilinx"))
+ (property INIT (string "20AA2020") (owner "Xilinx"))
+ )
+ (instance blk00000619
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___0___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_enable_reload_hb_enable_OR_9_o1") (owner "Xilinx"))
+ (property INIT (string "1000") (owner "Xilinx"))
+ )
+ (instance blk0000061a
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___2___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_complete_COEF_LD_OR_10_o1") (owner "Xilinx"))
+ (property INIT (string "4F444444") (owner "Xilinx"))
+ )
+ (instance blk0000061b
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___2___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_complete_COEF_LD_OR_10_o1") (owner "Xilinx"))
+ (property INIT (string "40") (owner "Xilinx"))
+ )
+ (instance blk0000061c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000061d
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000061e
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000061f
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000620
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000621
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000622
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000623
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000624
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000625
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000626
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000627
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000628
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000629
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000062b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000062d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000062f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000630
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000631
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000632
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000633
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000634
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000635
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000636
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000637
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000638
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000639
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000063b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000063d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000063f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000640
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000641
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000642
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000643
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000644
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000645
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000646
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000647
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000648
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000649
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000064a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000064b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000064c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000064d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000064e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000064f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000650
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000651
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000652
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000653
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000654
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000655
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000656
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000657
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000658
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000659
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000065b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000065d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000065f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000660
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000661
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000662
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000663
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000664
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000665
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000666
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000667
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000668
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000669
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000066b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000066d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000066e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000066f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000670
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000671
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000672
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000673
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000674
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000675
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000676
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000677
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000678
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000679
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000067b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000067d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000067e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000067f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000680
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000681
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000682
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000683
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000684
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000685
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000686
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000687
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000688
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000689
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000068a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000068b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000068c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000068d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000068e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000068f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000690
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000691
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000692
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000693
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000694
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000695
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000696
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000697
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000698
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000699
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000069b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000069d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000069e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000069f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006a1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006a3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006b8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ba
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006bc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006be
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006c0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006c2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006c4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006c6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006c8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006cc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006d3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006d7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006d9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006db
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006dd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006df
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006e1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006e3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ec
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006ee
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006f8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006fa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006fc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000006fe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000006ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000700
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000701
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000702
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000703
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000704
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000705
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000706
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000707
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000708
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000709
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000070b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000070d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000070e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000070f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000710
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000711
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000712
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000713
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000714
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000715
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000716
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000717
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000718
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000719
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000071a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000071b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000071c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000071d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000071e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000071f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000720
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000721
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000722
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000723
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000724
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000725
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000726
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000727
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000728
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000729
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000072a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000072b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000072c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000072d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000072e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000072f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000730
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000731
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000732
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000733
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000734
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000735
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000736
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000737
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000738
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000739
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000073b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000073d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000073e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000073f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000740
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000741
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000742
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000743
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000744
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000745
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000746
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000747
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000748
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000749
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000074b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000074d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000074e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000074f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000750
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000751
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000752
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000753
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000754
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000755
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000756
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000757
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000758
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000759
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000075b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000075d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000075e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000075f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000760
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000761
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000762
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000763
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000764
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000765
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000766
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000767
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000768
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000769
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000076b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000076d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000076e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000076f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000770
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000771
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000772
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000773
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000774
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000775
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000776
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000777
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000778
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000779
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000077a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000077b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000077c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000077d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000077e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000077f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000780
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000781
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000782
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000783
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (net sig00000001
+ (joined
+ (portRef (member coef_din 0))
+ (portRef D (instanceRef blk00000630))
+ )
+ )
+ (net sig00000002
+ (joined
+ (portRef (member coef_din 1))
+ (portRef D (instanceRef blk0000062e))
+ )
+ )
+ (net sig00000003
+ (joined
+ (portRef (member coef_din 2))
+ (portRef D (instanceRef blk00000634))
+ )
+ )
+ (net sig00000004
+ (joined
+ (portRef (member coef_din 3))
+ (portRef D (instanceRef blk00000636))
+ )
+ )
+ (net sig00000005
+ (joined
+ (portRef (member coef_din 4))
+ (portRef D (instanceRef blk00000632))
+ )
+ )
+ (net sig00000006
+ (joined
+ (portRef (member coef_din 5))
+ (portRef D (instanceRef blk00000638))
+ )
+ )
+ (net sig00000007
+ (joined
+ (portRef (member coef_din 6))
+ (portRef D (instanceRef blk0000063a))
+ )
+ )
+ (net sig00000008
+ (joined
+ (portRef (member coef_din 7))
+ (portRef D (instanceRef blk0000063e))
+ )
+ )
+ (net sig00000009
+ (joined
+ (portRef (member coef_din 8))
+ (portRef D (instanceRef blk00000640))
+ )
+ )
+ (net sig0000000a
+ (joined
+ (portRef (member coef_din 9))
+ (portRef D (instanceRef blk0000063c))
+ )
+ )
+ (net sig0000000b
+ (joined
+ (portRef (member coef_din 10))
+ (portRef D (instanceRef blk00000644))
+ )
+ )
+ (net sig0000000c
+ (joined
+ (portRef (member coef_din 11))
+ (portRef D (instanceRef blk00000646))
+ )
+ )
+ (net sig0000000d
+ (joined
+ (portRef (member coef_din 12))
+ (portRef D (instanceRef blk00000642))
+ )
+ )
+ (net sig0000000e
+ (joined
+ (portRef (member coef_din 13))
+ (portRef D (instanceRef blk0000064a))
+ )
+ )
+ (net sig0000000f
+ (joined
+ (portRef (member coef_din 14))
+ (portRef D (instanceRef blk0000064c))
+ )
+ )
+ (net sig00000010
+ (joined
+ (portRef (member coef_din 15))
+ (portRef D (instanceRef blk00000648))
+ )
+ )
+ (net sig00000011
+ (joined
+ (portRef (member coef_din 16))
+ (portRef D (instanceRef blk0000064e))
+ )
+ )
+ (net sig00000012
+ (joined
+ (portRef (member coef_din 17))
+ (portRef D (instanceRef blk00000650))
+ )
+ )
+ (net sig00000013
+ (joined
+ (portRef (member din_1 0))
+ (portRef (member DA_IN 24) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000014
+ (joined
+ (portRef (member din_1 1))
+ (portRef (member DA_IN 25) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000015
+ (joined
+ (portRef (member din_1 2))
+ (portRef (member DA_IN 26) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000016
+ (joined
+ (portRef (member din_1 3))
+ (portRef (member DA_IN 27) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000017
+ (joined
+ (portRef (member din_1 4))
+ (portRef (member DA_IN 28) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000018
+ (joined
+ (portRef (member din_1 5))
+ (portRef (member DA_IN 29) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000019
+ (joined
+ (portRef (member din_1 6))
+ (portRef (member DA_IN 30) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000001a
+ (joined
+ (portRef (member din_1 7))
+ (portRef (member DA_IN 31) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000001b
+ (joined
+ (portRef (member din_1 8))
+ (portRef (member DA_IN 32) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000001c
+ (joined
+ (portRef (member din_1 9))
+ (portRef (member DA_IN 33) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000001d
+ (joined
+ (portRef (member din_1 10))
+ (portRef (member DA_IN 34) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000001e
+ (joined
+ (portRef (member din_1 11))
+ (portRef (member DA_IN 35) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000001f
+ (joined
+ (portRef (member din_1 12))
+ (portRef (member DA_IN 36) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000020
+ (joined
+ (portRef (member din_1 13))
+ (portRef (member DA_IN 37) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000021
+ (joined
+ (portRef (member din_1 14))
+ (portRef (member DA_IN 38) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000022
+ (joined
+ (portRef (member din_1 15))
+ (portRef (member DA_IN 39) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000023
+ (joined
+ (portRef (member din_1 16))
+ (portRef (member DA_IN 40) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000024
+ (joined
+ (portRef (member din_1 17))
+ (portRef (member DA_IN 41) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000025
+ (joined
+ (portRef (member din_1 18))
+ (portRef (member DA_IN 42) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000026
+ (joined
+ (portRef (member din_1 19))
+ (portRef (member DA_IN 43) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000027
+ (joined
+ (portRef (member din_1 20))
+ (portRef (member DA_IN 44) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000028
+ (joined
+ (portRef (member din_1 21))
+ (portRef (member DA_IN 45) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000029
+ (joined
+ (portRef (member din_1 22))
+ (portRef (member DA_IN 46) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000002a
+ (joined
+ (portRef (member din_1 23))
+ (portRef (member DA_IN 47) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000002b
+ (joined
+ (portRef (member din_2 0))
+ (portRef (member DA_IN 0) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000002c
+ (joined
+ (portRef (member din_2 1))
+ (portRef (member DA_IN 1) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000002d
+ (joined
+ (portRef (member din_2 2))
+ (portRef (member DA_IN 2) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000002e
+ (joined
+ (portRef (member din_2 3))
+ (portRef (member DA_IN 3) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000002f
+ (joined
+ (portRef (member din_2 4))
+ (portRef (member DA_IN 4) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000030
+ (joined
+ (portRef (member din_2 5))
+ (portRef (member DA_IN 5) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000031
+ (joined
+ (portRef (member din_2 6))
+ (portRef (member DA_IN 6) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000032
+ (joined
+ (portRef (member din_2 7))
+ (portRef (member DA_IN 7) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000033
+ (joined
+ (portRef (member din_2 8))
+ (portRef (member DA_IN 8) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000034
+ (joined
+ (portRef (member din_2 9))
+ (portRef (member DA_IN 9) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000035
+ (joined
+ (portRef (member din_2 10))
+ (portRef (member DA_IN 10) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000036
+ (joined
+ (portRef (member din_2 11))
+ (portRef (member DA_IN 11) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000037
+ (joined
+ (portRef (member din_2 12))
+ (portRef (member DA_IN 12) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000038
+ (joined
+ (portRef (member din_2 13))
+ (portRef (member DA_IN 13) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000039
+ (joined
+ (portRef (member din_2 14))
+ (portRef (member DA_IN 14) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000003a
+ (joined
+ (portRef (member din_2 15))
+ (portRef (member DA_IN 15) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000003b
+ (joined
+ (portRef (member din_2 16))
+ (portRef (member DA_IN 16) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000003c
+ (joined
+ (portRef (member din_2 17))
+ (portRef (member DA_IN 17) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000003d
+ (joined
+ (portRef (member din_2 18))
+ (portRef (member DA_IN 18) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000003e
+ (joined
+ (portRef (member din_2 19))
+ (portRef (member DA_IN 19) (instanceRef blk0000002b))
+ )
+ )
+ (net sig0000003f
+ (joined
+ (portRef (member din_2 20))
+ (portRef (member DA_IN 20) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000040
+ (joined
+ (portRef (member din_2 21))
+ (portRef (member DA_IN 21) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000041
+ (joined
+ (portRef (member din_2 22))
+ (portRef (member DA_IN 22) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000042
+ (joined
+ (portRef (member din_2 23))
+ (portRef (member DA_IN 23) (instanceRef blk0000002b))
+ )
+ )
+ (net sig00000043
+ (joined
+ (portRef sclr)
+ (portRef S (instanceRef blk00000015))
+ (portRef R (instanceRef blk00000016))
+ (portRef R (instanceRef blk00000017))
+ (portRef R (instanceRef blk00000019))
+ (portRef R (instanceRef blk0000001a))
+ (portRef R (instanceRef blk0000001b))
+ (portRef R (instanceRef blk0000001c))
+ (portRef R (instanceRef blk0000001d))
+ (portRef R (instanceRef blk0000001e))
+ (portRef R (instanceRef blk0000001f))
+ (portRef R (instanceRef blk00000020))
+ (portRef S (instanceRef blk00000021))
+ (portRef R (instanceRef blk00000022))
+ (portRef S (instanceRef blk00000023))
+ (portRef R (instanceRef blk00000025))
+ (portRef R (instanceRef blk00000026))
+ (portRef R (instanceRef blk00000028))
+ (portRef R (instanceRef blk00000029))
+ (portRef R (instanceRef blk0000002a))
+ (portRef SCLR (instanceRef blk0000002b))
+ (portRef R (instanceRef blk000000d8))
+ (portRef R (instanceRef blk000000dc))
+ (portRef R (instanceRef blk00000105))
+ (portRef R (instanceRef blk00000106))
+ (portRef R (instanceRef blk00000107))
+ (portRef R (instanceRef blk00000108))
+ (portRef R (instanceRef blk00000109))
+ (portRef R (instanceRef blk0000010a))
+ (portRef R (instanceRef blk0000010b))
+ (portRef R (instanceRef blk0000010c))
+ (portRef R (instanceRef blk0000010d))
+ (portRef R (instanceRef blk0000010e))
+ (portRef R (instanceRef blk0000010f))
+ (portRef R (instanceRef blk00000110))
+ (portRef R (instanceRef blk00000111))
+ (portRef R (instanceRef blk00000112))
+ (portRef R (instanceRef blk00000113))
+ (portRef R (instanceRef blk00000114))
+ (portRef R (instanceRef blk00000115))
+ (portRef R (instanceRef blk00000116))
+ (portRef SCLR (instanceRef blk00000117))
+ (portRef SCLR (instanceRef blk0000014a))
+ (portRef SCLR (instanceRef blk0000017d))
+ (portRef SCLR (instanceRef blk000001b0))
+ (portRef SCLR (instanceRef blk000001e3))
+ (portRef SCLR (instanceRef blk00000216))
+ (portRef SCLR (instanceRef blk00000249))
+ (portRef SCLR (instanceRef blk0000027c))
+ (portRef SCLR (instanceRef blk000002af))
+ (portRef SCLR (instanceRef blk000002e2))
+ (portRef SCLR (instanceRef blk00000315))
+ (portRef SCLR (instanceRef blk00000348))
+ (portRef SCLR (instanceRef blk0000037b))
+ (portRef SCLR (instanceRef blk000003b4))
+ (portRef R (instanceRef blk000003ed))
+ (portRef R (instanceRef blk000003ee))
+ (portRef R (instanceRef blk000003ef))
+ (portRef R (instanceRef blk000003f0))
+ (portRef R (instanceRef blk000003f1))
+ (portRef R (instanceRef blk000003f2))
+ (portRef R (instanceRef blk000003f3))
+ (portRef R (instanceRef blk000003f4))
+ (portRef R (instanceRef blk000003f5))
+ (portRef R (instanceRef blk000003f6))
+ (portRef R (instanceRef blk000003f7))
+ (portRef R (instanceRef blk000003f8))
+ (portRef R (instanceRef blk000003f9))
+ (portRef R (instanceRef blk000003fa))
+ (portRef R (instanceRef blk000003fb))
+ (portRef R (instanceRef blk000003fc))
+ (portRef R (instanceRef blk000003fd))
+ (portRef R (instanceRef blk000003fe))
+ (portRef R (instanceRef blk000003ff))
+ (portRef R (instanceRef blk00000400))
+ (portRef R (instanceRef blk00000401))
+ (portRef R (instanceRef blk00000402))
+ (portRef R (instanceRef blk00000403))
+ (portRef R (instanceRef blk00000404))
+ (portRef R (instanceRef blk00000405))
+ (portRef R (instanceRef blk00000406))
+ (portRef R (instanceRef blk00000407))
+ (portRef R (instanceRef blk00000408))
+ (portRef R (instanceRef blk00000409))
+ (portRef R (instanceRef blk0000040a))
+ (portRef R (instanceRef blk0000040b))
+ (portRef R (instanceRef blk0000040c))
+ (portRef R (instanceRef blk0000040d))
+ (portRef R (instanceRef blk0000040e))
+ (portRef R (instanceRef blk0000040f))
+ (portRef R (instanceRef blk00000410))
+ (portRef R (instanceRef blk00000411))
+ (portRef R (instanceRef blk00000412))
+ (portRef R (instanceRef blk00000413))
+ (portRef R (instanceRef blk00000414))
+ (portRef R (instanceRef blk00000415))
+ (portRef R (instanceRef blk00000416))
+ (portRef R (instanceRef blk00000417))
+ (portRef R (instanceRef blk00000418))
+ (portRef R (instanceRef blk00000419))
+ (portRef R (instanceRef blk0000041a))
+ (portRef R (instanceRef blk0000041b))
+ (portRef R (instanceRef blk0000041c))
+ (portRef R (instanceRef blk0000041d))
+ (portRef R (instanceRef blk0000041e))
+ (portRef R (instanceRef blk0000041f))
+ (portRef R (instanceRef blk00000420))
+ (portRef R (instanceRef blk00000421))
+ (portRef R (instanceRef blk00000422))
+ (portRef R (instanceRef blk00000423))
+ (portRef R (instanceRef blk00000424))
+ (portRef R (instanceRef blk00000425))
+ (portRef R (instanceRef blk00000426))
+ (portRef R (instanceRef blk00000427))
+ (portRef R (instanceRef blk00000428))
+ (portRef R (instanceRef blk00000429))
+ (portRef R (instanceRef blk0000042a))
+ (portRef R (instanceRef blk0000042b))
+ (portRef R (instanceRef blk0000042c))
+ (portRef R (instanceRef blk0000042d))
+ (portRef R (instanceRef blk0000042e))
+ (portRef R (instanceRef blk0000042f))
+ (portRef R (instanceRef blk00000430))
+ (portRef R (instanceRef blk00000431))
+ (portRef R (instanceRef blk00000432))
+ (portRef R (instanceRef blk00000433))
+ (portRef R (instanceRef blk00000434))
+ (portRef R (instanceRef blk00000435))
+ (portRef R (instanceRef blk00000436))
+ (portRef R (instanceRef blk00000437))
+ (portRef R (instanceRef blk00000438))
+ (portRef R (instanceRef blk00000439))
+ (portRef R (instanceRef blk0000043a))
+ (portRef R (instanceRef blk0000043b))
+ (portRef R (instanceRef blk0000043c))
+ (portRef R (instanceRef blk0000043d))
+ (portRef R (instanceRef blk0000043e))
+ (portRef R (instanceRef blk0000043f))
+ (portRef R (instanceRef blk00000440))
+ (portRef R (instanceRef blk00000441))
+ (portRef R (instanceRef blk00000442))
+ (portRef R (instanceRef blk00000443))
+ (portRef R (instanceRef blk00000444))
+ (portRef R (instanceRef blk00000445))
+ (portRef R (instanceRef blk00000446))
+ (portRef R (instanceRef blk00000447))
+ (portRef R (instanceRef blk00000448))
+ (portRef R (instanceRef blk00000449))
+ (portRef R (instanceRef blk0000044a))
+ (portRef R (instanceRef blk0000044b))
+ (portRef R (instanceRef blk0000044c))
+ (portRef SCLR (instanceRef blk0000044d))
+ (portRef R (instanceRef blk00000474))
+ (portRef R (instanceRef blk00000475))
+ (portRef R (instanceRef blk00000476))
+ (portRef R (instanceRef blk00000477))
+ (portRef R (instanceRef blk00000478))
+ (portRef R (instanceRef blk00000479))
+ (portRef R (instanceRef blk0000047a))
+ (portRef R (instanceRef blk0000047b))
+ (portRef R (instanceRef blk0000047c))
+ (portRef R (instanceRef blk0000047d))
+ (portRef R (instanceRef blk0000047e))
+ (portRef R (instanceRef blk0000047f))
+ (portRef R (instanceRef blk00000480))
+ (portRef R (instanceRef blk00000481))
+ (portRef R (instanceRef blk00000482))
+ (portRef R (instanceRef blk00000483))
+ (portRef R (instanceRef blk00000484))
+ (portRef R (instanceRef blk00000485))
+ (portRef R (instanceRef blk00000486))
+ (portRef R (instanceRef blk00000487))
+ (portRef R (instanceRef blk00000488))
+ (portRef R (instanceRef blk00000489))
+ (portRef R (instanceRef blk0000048a))
+ (portRef R (instanceRef blk0000048b))
+ (portRef R (instanceRef blk0000048c))
+ (portRef R (instanceRef blk0000048d))
+ (portRef R (instanceRef blk0000048e))
+ (portRef R (instanceRef blk0000048f))
+ (portRef R (instanceRef blk00000490))
+ (portRef R (instanceRef blk00000491))
+ (portRef R (instanceRef blk00000492))
+ (portRef R (instanceRef blk00000493))
+ (portRef R (instanceRef blk00000494))
+ (portRef R (instanceRef blk00000495))
+ (portRef R (instanceRef blk00000496))
+ (portRef R (instanceRef blk00000497))
+ (portRef R (instanceRef blk00000498))
+ (portRef R (instanceRef blk00000499))
+ (portRef R (instanceRef blk0000049a))
+ (portRef R (instanceRef blk0000049b))
+ (portRef R (instanceRef blk0000049c))
+ (portRef R (instanceRef blk0000049d))
+ (portRef R (instanceRef blk0000049e))
+ (portRef R (instanceRef blk0000049f))
+ (portRef R (instanceRef blk000004a0))
+ (portRef R (instanceRef blk000004a1))
+ (portRef R (instanceRef blk000004a2))
+ (portRef R (instanceRef blk000004a3))
+ (portRef SCLR (instanceRef blk000004a4))
+ (portRef R (instanceRef blk000004d6))
+ (portRef R (instanceRef blk000004d7))
+ (portRef R (instanceRef blk000004d8))
+ (portRef R (instanceRef blk000004d9))
+ (portRef R (instanceRef blk000004da))
+ (portRef R (instanceRef blk000004db))
+ (portRef R (instanceRef blk000004dc))
+ (portRef R (instanceRef blk000004dd))
+ (portRef R (instanceRef blk000004de))
+ (portRef R (instanceRef blk000004df))
+ (portRef R (instanceRef blk000004e0))
+ (portRef R (instanceRef blk000004e1))
+ (portRef R (instanceRef blk000004e2))
+ (portRef R (instanceRef blk000004e3))
+ (portRef R (instanceRef blk000004e4))
+ (portRef R (instanceRef blk000004e5))
+ (portRef R (instanceRef blk000004e6))
+ (portRef R (instanceRef blk000004e7))
+ (portRef R (instanceRef blk000004e8))
+ (portRef R (instanceRef blk000004e9))
+ (portRef R (instanceRef blk000004ea))
+ (portRef R (instanceRef blk000004eb))
+ (portRef R (instanceRef blk000004ec))
+ (portRef R (instanceRef blk000004ed))
+ (portRef R (instanceRef blk000004ee))
+ (portRef R (instanceRef blk000004ef))
+ (portRef R (instanceRef blk000004f0))
+ (portRef R (instanceRef blk000004f1))
+ (portRef R (instanceRef blk000004f2))
+ (portRef R (instanceRef blk000004f3))
+ (portRef R (instanceRef blk000004f4))
+ (portRef R (instanceRef blk000004f5))
+ (portRef R (instanceRef blk000004f6))
+ (portRef R (instanceRef blk000004f7))
+ (portRef R (instanceRef blk000004f8))
+ (portRef R (instanceRef blk000004f9))
+ (portRef R (instanceRef blk000004fa))
+ (portRef R (instanceRef blk000004fb))
+ (portRef R (instanceRef blk000004fc))
+ (portRef R (instanceRef blk000004fd))
+ (portRef R (instanceRef blk000004fe))
+ (portRef R (instanceRef blk000004ff))
+ (portRef R (instanceRef blk00000500))
+ (portRef R (instanceRef blk00000501))
+ (portRef R (instanceRef blk00000502))
+ (portRef R (instanceRef blk00000503))
+ (portRef R (instanceRef blk00000504))
+ (portRef R (instanceRef blk00000505))
+ (portRef R (instanceRef blk00000506))
+ (portRef R (instanceRef blk00000507))
+ (portRef R (instanceRef blk00000508))
+ (portRef R (instanceRef blk00000509))
+ (portRef R (instanceRef blk0000050a))
+ (portRef R (instanceRef blk0000050b))
+ (portRef R (instanceRef blk0000050c))
+ (portRef R (instanceRef blk0000050d))
+ (portRef R (instanceRef blk0000050e))
+ (portRef R (instanceRef blk0000050f))
+ (portRef R (instanceRef blk00000510))
+ (portRef R (instanceRef blk00000511))
+ (portRef R (instanceRef blk00000512))
+ (portRef R (instanceRef blk00000513))
+ (portRef R (instanceRef blk00000514))
+ (portRef R (instanceRef blk00000515))
+ (portRef R (instanceRef blk00000516))
+ (portRef R (instanceRef blk00000517))
+ (portRef R (instanceRef blk00000518))
+ (portRef R (instanceRef blk00000519))
+ (portRef R (instanceRef blk0000051a))
+ (portRef R (instanceRef blk0000051b))
+ (portRef R (instanceRef blk0000051c))
+ (portRef R (instanceRef blk0000051d))
+ (portRef R (instanceRef blk0000051e))
+ (portRef R (instanceRef blk0000051f))
+ (portRef R (instanceRef blk00000520))
+ (portRef R (instanceRef blk00000521))
+ (portRef R (instanceRef blk00000522))
+ (portRef R (instanceRef blk00000523))
+ (portRef R (instanceRef blk00000524))
+ (portRef R (instanceRef blk00000525))
+ (portRef R (instanceRef blk00000526))
+ (portRef R (instanceRef blk00000527))
+ (portRef R (instanceRef blk00000528))
+ (portRef R (instanceRef blk00000529))
+ (portRef R (instanceRef blk0000052a))
+ (portRef R (instanceRef blk0000052b))
+ (portRef R (instanceRef blk0000052c))
+ (portRef R (instanceRef blk0000052d))
+ (portRef R (instanceRef blk0000052e))
+ (portRef R (instanceRef blk0000052f))
+ (portRef R (instanceRef blk00000530))
+ (portRef R (instanceRef blk00000531))
+ (portRef R (instanceRef blk00000532))
+ (portRef R (instanceRef blk00000533))
+ (portRef R (instanceRef blk00000534))
+ (portRef I0 (instanceRef blk0000054e))
+ (portRef I0 (instanceRef blk00000572))
+ (portRef R (instanceRef blk000005e1))
+ (portRef R (instanceRef blk000005e4))
+ (portRef R (instanceRef blk000005e5))
+ (portRef R (instanceRef blk000005eb))
+ (portRef R (instanceRef blk000005ec))
+ (portRef R (instanceRef blk000005ed))
+ (portRef S (instanceRef blk000005ee))
+ (portRef S (instanceRef blk000005f6))
+ (portRef R (instanceRef blk000005f7))
+ (portRef R (instanceRef blk000005f8))
+ (portRef S (instanceRef blk000005f9))
+ (portRef R (instanceRef blk000005fa))
+ (portRef R (instanceRef blk000005fb))
+ (portRef R (instanceRef blk000005fc))
+ (portRef R (instanceRef blk000005fd))
+ (portRef R (instanceRef blk000005fe))
+ (portRef R (instanceRef blk000005ff))
+ (portRef R (instanceRef blk0000060e))
+ (portRef R (instanceRef blk0000060f))
+ (portRef I1 (instanceRef blk00000614))
+ )
+ )
+ (net sig00000044
+ (joined
+ (portRef clk)
+ (portRef C (instanceRef blk00000008))
+ (portRef C (instanceRef blk00000009))
+ (portRef C (instanceRef blk0000000a))
+ (portRef CLK (instanceRef blk00000010))
+ (portRef CLK (instanceRef blk00000011))
+ (portRef C (instanceRef blk00000015))
+ (portRef C (instanceRef blk00000016))
+ (portRef C (instanceRef blk00000017))
+ (portRef C (instanceRef blk00000018))
+ (portRef C (instanceRef blk00000019))
+ (portRef C (instanceRef blk0000001a))
+ (portRef C (instanceRef blk0000001b))
+ (portRef C (instanceRef blk0000001c))
+ (portRef C (instanceRef blk0000001d))
+ (portRef C (instanceRef blk0000001e))
+ (portRef C (instanceRef blk0000001f))
+ (portRef C (instanceRef blk00000020))
+ (portRef C (instanceRef blk00000021))
+ (portRef C (instanceRef blk00000022))
+ (portRef C (instanceRef blk00000023))
+ (portRef C (instanceRef blk00000024))
+ (portRef C (instanceRef blk00000025))
+ (portRef C (instanceRef blk00000026))
+ (portRef C (instanceRef blk00000027))
+ (portRef C (instanceRef blk00000028))
+ (portRef C (instanceRef blk00000029))
+ (portRef C (instanceRef blk0000002a))
+ (portRef CLK (instanceRef blk0000002b))
+ (portRef C (instanceRef blk0000008e))
+ (portRef C (instanceRef blk0000008f))
+ (portRef C (instanceRef blk00000099))
+ (portRef C (instanceRef blk0000009a))
+ (portRef C (instanceRef blk0000009b))
+ (portRef C (instanceRef blk0000009c))
+ (portRef C (instanceRef blk0000009d))
+ (portRef C (instanceRef blk0000009e))
+ (portRef C (instanceRef blk0000009f))
+ (portRef C (instanceRef blk000000a0))
+ (portRef C (instanceRef blk000000a1))
+ (portRef C (instanceRef blk000000a2))
+ (portRef C (instanceRef blk000000a3))
+ (portRef C (instanceRef blk000000a4))
+ (portRef C (instanceRef blk000000a5))
+ (portRef C (instanceRef blk000000a6))
+ (portRef C (instanceRef blk000000a7))
+ (portRef C (instanceRef blk000000a8))
+ (portRef C (instanceRef blk000000a9))
+ (portRef C (instanceRef blk000000aa))
+ (portRef C (instanceRef blk000000ab))
+ (portRef C (instanceRef blk000000ac))
+ (portRef C (instanceRef blk000000ad))
+ (portRef C (instanceRef blk000000ae))
+ (portRef C (instanceRef blk000000af))
+ (portRef C (instanceRef blk000000b0))
+ (portRef C (instanceRef blk000000c2))
+ (portRef C (instanceRef blk000000c3))
+ (portRef C (instanceRef blk000000c4))
+ (portRef C (instanceRef blk000000cd))
+ (portRef C (instanceRef blk000000ce))
+ (portRef C (instanceRef blk000000d6))
+ (portRef C (instanceRef blk000000d7))
+ (portRef C (instanceRef blk000000d8))
+ (portRef C (instanceRef blk000000dc))
+ (portRef C (instanceRef blk000000e6))
+ (portRef C (instanceRef blk000000f4))
+ (portRef C (instanceRef blk000000f5))
+ (portRef C (instanceRef blk000000f6))
+ (portRef CLK (instanceRef blk000000f7))
+ (portRef CLK (instanceRef blk000000f8))
+ (portRef CLK (instanceRef blk000000f9))
+ (portRef CLK (instanceRef blk000000fa))
+ (portRef CLK (instanceRef blk000000fb))
+ (portRef CLK (instanceRef blk000000fc))
+ (portRef C (instanceRef blk000000fd))
+ (portRef C (instanceRef blk000000fe))
+ (portRef C (instanceRef blk000000ff))
+ (portRef C (instanceRef blk00000100))
+ (portRef C (instanceRef blk00000101))
+ (portRef C (instanceRef blk00000102))
+ (portRef C (instanceRef blk00000103))
+ (portRef C (instanceRef blk00000104))
+ (portRef C (instanceRef blk00000105))
+ (portRef C (instanceRef blk00000106))
+ (portRef C (instanceRef blk00000107))
+ (portRef C (instanceRef blk00000108))
+ (portRef C (instanceRef blk00000109))
+ (portRef C (instanceRef blk0000010a))
+ (portRef C (instanceRef blk0000010b))
+ (portRef C (instanceRef blk0000010c))
+ (portRef C (instanceRef blk0000010d))
+ (portRef C (instanceRef blk0000010e))
+ (portRef C (instanceRef blk0000010f))
+ (portRef C (instanceRef blk00000110))
+ (portRef C (instanceRef blk00000111))
+ (portRef C (instanceRef blk00000112))
+ (portRef C (instanceRef blk00000113))
+ (portRef C (instanceRef blk00000114))
+ (portRef C (instanceRef blk00000115))
+ (portRef C (instanceRef blk00000116))
+ (portRef CLK (instanceRef blk00000117))
+ (portRef CLK (instanceRef blk0000014a))
+ (portRef CLK (instanceRef blk0000017d))
+ (portRef CLK (instanceRef blk000001b0))
+ (portRef CLK (instanceRef blk000001e3))
+ (portRef CLK (instanceRef blk00000216))
+ (portRef CLK (instanceRef blk00000249))
+ (portRef CLK (instanceRef blk0000027c))
+ (portRef CLK (instanceRef blk000002af))
+ (portRef CLK (instanceRef blk000002e2))
+ (portRef CLK (instanceRef blk00000315))
+ (portRef CLK (instanceRef blk00000348))
+ (portRef CLK (instanceRef blk0000037b))
+ (portRef CLK (instanceRef blk000003b4))
+ (portRef C (instanceRef blk000003ed))
+ (portRef C (instanceRef blk000003ee))
+ (portRef C (instanceRef blk000003ef))
+ (portRef C (instanceRef blk000003f0))
+ (portRef C (instanceRef blk000003f1))
+ (portRef C (instanceRef blk000003f2))
+ (portRef C (instanceRef blk000003f3))
+ (portRef C (instanceRef blk000003f4))
+ (portRef C (instanceRef blk000003f5))
+ (portRef C (instanceRef blk000003f6))
+ (portRef C (instanceRef blk000003f7))
+ (portRef C (instanceRef blk000003f8))
+ (portRef C (instanceRef blk000003f9))
+ (portRef C (instanceRef blk000003fa))
+ (portRef C (instanceRef blk000003fb))
+ (portRef C (instanceRef blk000003fc))
+ (portRef C (instanceRef blk000003fd))
+ (portRef C (instanceRef blk000003fe))
+ (portRef C (instanceRef blk000003ff))
+ (portRef C (instanceRef blk00000400))
+ (portRef C (instanceRef blk00000401))
+ (portRef C (instanceRef blk00000402))
+ (portRef C (instanceRef blk00000403))
+ (portRef C (instanceRef blk00000404))
+ (portRef C (instanceRef blk00000405))
+ (portRef C (instanceRef blk00000406))
+ (portRef C (instanceRef blk00000407))
+ (portRef C (instanceRef blk00000408))
+ (portRef C (instanceRef blk00000409))
+ (portRef C (instanceRef blk0000040a))
+ (portRef C (instanceRef blk0000040b))
+ (portRef C (instanceRef blk0000040c))
+ (portRef C (instanceRef blk0000040d))
+ (portRef C (instanceRef blk0000040e))
+ (portRef C (instanceRef blk0000040f))
+ (portRef C (instanceRef blk00000410))
+ (portRef C (instanceRef blk00000411))
+ (portRef C (instanceRef blk00000412))
+ (portRef C (instanceRef blk00000413))
+ (portRef C (instanceRef blk00000414))
+ (portRef C (instanceRef blk00000415))
+ (portRef C (instanceRef blk00000416))
+ (portRef C (instanceRef blk00000417))
+ (portRef C (instanceRef blk00000418))
+ (portRef C (instanceRef blk00000419))
+ (portRef C (instanceRef blk0000041a))
+ (portRef C (instanceRef blk0000041b))
+ (portRef C (instanceRef blk0000041c))
+ (portRef C (instanceRef blk0000041d))
+ (portRef C (instanceRef blk0000041e))
+ (portRef C (instanceRef blk0000041f))
+ (portRef C (instanceRef blk00000420))
+ (portRef C (instanceRef blk00000421))
+ (portRef C (instanceRef blk00000422))
+ (portRef C (instanceRef blk00000423))
+ (portRef C (instanceRef blk00000424))
+ (portRef C (instanceRef blk00000425))
+ (portRef C (instanceRef blk00000426))
+ (portRef C (instanceRef blk00000427))
+ (portRef C (instanceRef blk00000428))
+ (portRef C (instanceRef blk00000429))
+ (portRef C (instanceRef blk0000042a))
+ (portRef C (instanceRef blk0000042b))
+ (portRef C (instanceRef blk0000042c))
+ (portRef C (instanceRef blk0000042d))
+ (portRef C (instanceRef blk0000042e))
+ (portRef C (instanceRef blk0000042f))
+ (portRef C (instanceRef blk00000430))
+ (portRef C (instanceRef blk00000431))
+ (portRef C (instanceRef blk00000432))
+ (portRef C (instanceRef blk00000433))
+ (portRef C (instanceRef blk00000434))
+ (portRef C (instanceRef blk00000435))
+ (portRef C (instanceRef blk00000436))
+ (portRef C (instanceRef blk00000437))
+ (portRef C (instanceRef blk00000438))
+ (portRef C (instanceRef blk00000439))
+ (portRef C (instanceRef blk0000043a))
+ (portRef C (instanceRef blk0000043b))
+ (portRef C (instanceRef blk0000043c))
+ (portRef C (instanceRef blk0000043d))
+ (portRef C (instanceRef blk0000043e))
+ (portRef C (instanceRef blk0000043f))
+ (portRef C (instanceRef blk00000440))
+ (portRef C (instanceRef blk00000441))
+ (portRef C (instanceRef blk00000442))
+ (portRef C (instanceRef blk00000443))
+ (portRef C (instanceRef blk00000444))
+ (portRef C (instanceRef blk00000445))
+ (portRef C (instanceRef blk00000446))
+ (portRef C (instanceRef blk00000447))
+ (portRef C (instanceRef blk00000448))
+ (portRef C (instanceRef blk00000449))
+ (portRef C (instanceRef blk0000044a))
+ (portRef C (instanceRef blk0000044b))
+ (portRef C (instanceRef blk0000044c))
+ (portRef CLK (instanceRef blk0000044d))
+ (portRef C (instanceRef blk00000474))
+ (portRef C (instanceRef blk00000475))
+ (portRef C (instanceRef blk00000476))
+ (portRef C (instanceRef blk00000477))
+ (portRef C (instanceRef blk00000478))
+ (portRef C (instanceRef blk00000479))
+ (portRef C (instanceRef blk0000047a))
+ (portRef C (instanceRef blk0000047b))
+ (portRef C (instanceRef blk0000047c))
+ (portRef C (instanceRef blk0000047d))
+ (portRef C (instanceRef blk0000047e))
+ (portRef C (instanceRef blk0000047f))
+ (portRef C (instanceRef blk00000480))
+ (portRef C (instanceRef blk00000481))
+ (portRef C (instanceRef blk00000482))
+ (portRef C (instanceRef blk00000483))
+ (portRef C (instanceRef blk00000484))
+ (portRef C (instanceRef blk00000485))
+ (portRef C (instanceRef blk00000486))
+ (portRef C (instanceRef blk00000487))
+ (portRef C (instanceRef blk00000488))
+ (portRef C (instanceRef blk00000489))
+ (portRef C (instanceRef blk0000048a))
+ (portRef C (instanceRef blk0000048b))
+ (portRef C (instanceRef blk0000048c))
+ (portRef C (instanceRef blk0000048d))
+ (portRef C (instanceRef blk0000048e))
+ (portRef C (instanceRef blk0000048f))
+ (portRef C (instanceRef blk00000490))
+ (portRef C (instanceRef blk00000491))
+ (portRef C (instanceRef blk00000492))
+ (portRef C (instanceRef blk00000493))
+ (portRef C (instanceRef blk00000494))
+ (portRef C (instanceRef blk00000495))
+ (portRef C (instanceRef blk00000496))
+ (portRef C (instanceRef blk00000497))
+ (portRef C (instanceRef blk00000498))
+ (portRef C (instanceRef blk00000499))
+ (portRef C (instanceRef blk0000049a))
+ (portRef C (instanceRef blk0000049b))
+ (portRef C (instanceRef blk0000049c))
+ (portRef C (instanceRef blk0000049d))
+ (portRef C (instanceRef blk0000049e))
+ (portRef C (instanceRef blk0000049f))
+ (portRef C (instanceRef blk000004a0))
+ (portRef C (instanceRef blk000004a1))
+ (portRef C (instanceRef blk000004a2))
+ (portRef C (instanceRef blk000004a3))
+ (portRef CLK (instanceRef blk000004a4))
+ (portRef C (instanceRef blk000004d5))
+ (portRef C (instanceRef blk000004d6))
+ (portRef C (instanceRef blk000004d7))
+ (portRef C (instanceRef blk000004d8))
+ (portRef C (instanceRef blk000004d9))
+ (portRef C (instanceRef blk000004da))
+ (portRef C (instanceRef blk000004db))
+ (portRef C (instanceRef blk000004dc))
+ (portRef C (instanceRef blk000004dd))
+ (portRef C (instanceRef blk000004de))
+ (portRef C (instanceRef blk000004df))
+ (portRef C (instanceRef blk000004e0))
+ (portRef C (instanceRef blk000004e1))
+ (portRef C (instanceRef blk000004e2))
+ (portRef C (instanceRef blk000004e3))
+ (portRef C (instanceRef blk000004e4))
+ (portRef C (instanceRef blk000004e5))
+ (portRef C (instanceRef blk000004e6))
+ (portRef C (instanceRef blk000004e7))
+ (portRef C (instanceRef blk000004e8))
+ (portRef C (instanceRef blk000004e9))
+ (portRef C (instanceRef blk000004ea))
+ (portRef C (instanceRef blk000004eb))
+ (portRef C (instanceRef blk000004ec))
+ (portRef C (instanceRef blk000004ed))
+ (portRef C (instanceRef blk000004ee))
+ (portRef C (instanceRef blk000004ef))
+ (portRef C (instanceRef blk000004f0))
+ (portRef C (instanceRef blk000004f1))
+ (portRef C (instanceRef blk000004f2))
+ (portRef C (instanceRef blk000004f3))
+ (portRef C (instanceRef blk000004f4))
+ (portRef C (instanceRef blk000004f5))
+ (portRef C (instanceRef blk000004f6))
+ (portRef C (instanceRef blk000004f7))
+ (portRef C (instanceRef blk000004f8))
+ (portRef C (instanceRef blk000004f9))
+ (portRef C (instanceRef blk000004fa))
+ (portRef C (instanceRef blk000004fb))
+ (portRef C (instanceRef blk000004fc))
+ (portRef C (instanceRef blk000004fd))
+ (portRef C (instanceRef blk000004fe))
+ (portRef C (instanceRef blk000004ff))
+ (portRef C (instanceRef blk00000500))
+ (portRef C (instanceRef blk00000501))
+ (portRef C (instanceRef blk00000502))
+ (portRef C (instanceRef blk00000503))
+ (portRef C (instanceRef blk00000504))
+ (portRef C (instanceRef blk00000505))
+ (portRef C (instanceRef blk00000506))
+ (portRef C (instanceRef blk00000507))
+ (portRef C (instanceRef blk00000508))
+ (portRef C (instanceRef blk00000509))
+ (portRef C (instanceRef blk0000050a))
+ (portRef C (instanceRef blk0000050b))
+ (portRef C (instanceRef blk0000050c))
+ (portRef C (instanceRef blk0000050d))
+ (portRef C (instanceRef blk0000050e))
+ (portRef C (instanceRef blk0000050f))
+ (portRef C (instanceRef blk00000510))
+ (portRef C (instanceRef blk00000511))
+ (portRef C (instanceRef blk00000512))
+ (portRef C (instanceRef blk00000513))
+ (portRef C (instanceRef blk00000514))
+ (portRef C (instanceRef blk00000515))
+ (portRef C (instanceRef blk00000516))
+ (portRef C (instanceRef blk00000517))
+ (portRef C (instanceRef blk00000518))
+ (portRef C (instanceRef blk00000519))
+ (portRef C (instanceRef blk0000051a))
+ (portRef C (instanceRef blk0000051b))
+ (portRef C (instanceRef blk0000051c))
+ (portRef C (instanceRef blk0000051d))
+ (portRef C (instanceRef blk0000051e))
+ (portRef C (instanceRef blk0000051f))
+ (portRef C (instanceRef blk00000520))
+ (portRef C (instanceRef blk00000521))
+ (portRef C (instanceRef blk00000522))
+ (portRef C (instanceRef blk00000523))
+ (portRef C (instanceRef blk00000524))
+ (portRef C (instanceRef blk00000525))
+ (portRef C (instanceRef blk00000526))
+ (portRef C (instanceRef blk00000527))
+ (portRef C (instanceRef blk00000528))
+ (portRef C (instanceRef blk00000529))
+ (portRef C (instanceRef blk0000052a))
+ (portRef C (instanceRef blk0000052b))
+ (portRef C (instanceRef blk0000052c))
+ (portRef C (instanceRef blk0000052d))
+ (portRef C (instanceRef blk0000052e))
+ (portRef C (instanceRef blk0000052f))
+ (portRef C (instanceRef blk00000530))
+ (portRef C (instanceRef blk00000531))
+ (portRef C (instanceRef blk00000532))
+ (portRef C (instanceRef blk00000533))
+ (portRef C (instanceRef blk00000534))
+ (portRef C (instanceRef blk000005e1))
+ (portRef C (instanceRef blk000005e2))
+ (portRef C (instanceRef blk000005e3))
+ (portRef C (instanceRef blk000005e4))
+ (portRef C (instanceRef blk000005e5))
+ (portRef C (instanceRef blk000005e6))
+ (portRef C (instanceRef blk000005e7))
+ (portRef C (instanceRef blk000005e8))
+ (portRef C (instanceRef blk000005e9))
+ (portRef C (instanceRef blk000005ea))
+ (portRef C (instanceRef blk000005eb))
+ (portRef C (instanceRef blk000005ec))
+ (portRef C (instanceRef blk000005ed))
+ (portRef C (instanceRef blk000005ee))
+ (portRef C (instanceRef blk000005ef))
+ (portRef C (instanceRef blk000005f0))
+ (portRef C (instanceRef blk000005f1))
+ (portRef C (instanceRef blk000005f2))
+ (portRef C (instanceRef blk000005f3))
+ (portRef C (instanceRef blk000005f4))
+ (portRef C (instanceRef blk000005f5))
+ (portRef C (instanceRef blk000005f6))
+ (portRef C (instanceRef blk000005f7))
+ (portRef C (instanceRef blk000005f8))
+ (portRef C (instanceRef blk000005f9))
+ (portRef C (instanceRef blk000005fa))
+ (portRef C (instanceRef blk000005fb))
+ (portRef C (instanceRef blk000005fc))
+ (portRef C (instanceRef blk000005fd))
+ (portRef C (instanceRef blk000005fe))
+ (portRef C (instanceRef blk000005ff))
+ (portRef C (instanceRef blk0000060e))
+ (portRef C (instanceRef blk0000060f))
+ (portRef C (instanceRef blk00000610))
+ (portRef C (instanceRef blk00000611))
+ (portRef C (instanceRef blk0000061c))
+ (portRef CLK (instanceRef blk00000628))
+ (portRef C (instanceRef blk00000629))
+ (portRef CLK (instanceRef blk0000062a))
+ (portRef C (instanceRef blk0000062b))
+ (portRef CLK (instanceRef blk0000062c))
+ (portRef C (instanceRef blk0000062d))
+ (portRef CLK (instanceRef blk0000062e))
+ (portRef C (instanceRef blk0000062f))
+ (portRef CLK (instanceRef blk00000630))
+ (portRef C (instanceRef blk00000631))
+ (portRef CLK (instanceRef blk00000632))
+ (portRef C (instanceRef blk00000633))
+ (portRef CLK (instanceRef blk00000634))
+ (portRef C (instanceRef blk00000635))
+ (portRef CLK (instanceRef blk00000636))
+ (portRef C (instanceRef blk00000637))
+ (portRef CLK (instanceRef blk00000638))
+ (portRef C (instanceRef blk00000639))
+ (portRef CLK (instanceRef blk0000063a))
+ (portRef C (instanceRef blk0000063b))
+ (portRef CLK (instanceRef blk0000063c))
+ (portRef C (instanceRef blk0000063d))
+ (portRef CLK (instanceRef blk0000063e))
+ (portRef C (instanceRef blk0000063f))
+ (portRef CLK (instanceRef blk00000640))
+ (portRef C (instanceRef blk00000641))
+ (portRef CLK (instanceRef blk00000642))
+ (portRef C (instanceRef blk00000643))
+ (portRef CLK (instanceRef blk00000644))
+ (portRef C (instanceRef blk00000645))
+ (portRef CLK (instanceRef blk00000646))
+ (portRef C (instanceRef blk00000647))
+ (portRef CLK (instanceRef blk00000648))
+ (portRef C (instanceRef blk00000649))
+ (portRef CLK (instanceRef blk0000064a))
+ (portRef C (instanceRef blk0000064b))
+ (portRef CLK (instanceRef blk0000064c))
+ (portRef C (instanceRef blk0000064d))
+ (portRef CLK (instanceRef blk0000064e))
+ (portRef C (instanceRef blk0000064f))
+ (portRef CLK (instanceRef blk00000650))
+ (portRef C (instanceRef blk00000651))
+ (portRef CLK (instanceRef blk00000652))
+ (portRef C (instanceRef blk00000653))
+ (portRef CLK (instanceRef blk00000654))
+ (portRef C (instanceRef blk00000655))
+ (portRef CLK (instanceRef blk00000656))
+ (portRef C (instanceRef blk00000657))
+ (portRef CLK (instanceRef blk00000658))
+ (portRef C (instanceRef blk00000659))
+ (portRef CLK (instanceRef blk0000065a))
+ (portRef C (instanceRef blk0000065b))
+ (portRef CLK (instanceRef blk0000065c))
+ (portRef C (instanceRef blk0000065d))
+ (portRef CLK (instanceRef blk0000065e))
+ (portRef C (instanceRef blk0000065f))
+ (portRef CLK (instanceRef blk00000660))
+ (portRef C (instanceRef blk00000661))
+ (portRef CLK (instanceRef blk00000662))
+ (portRef C (instanceRef blk00000663))
+ (portRef CLK (instanceRef blk00000664))
+ (portRef C (instanceRef blk00000665))
+ (portRef CLK (instanceRef blk00000666))
+ (portRef C (instanceRef blk00000667))
+ (portRef CLK (instanceRef blk00000668))
+ (portRef C (instanceRef blk00000669))
+ (portRef CLK (instanceRef blk0000066a))
+ (portRef C (instanceRef blk0000066b))
+ (portRef CLK (instanceRef blk0000066c))
+ (portRef C (instanceRef blk0000066d))
+ (portRef CLK (instanceRef blk0000066e))
+ (portRef C (instanceRef blk0000066f))
+ (portRef CLK (instanceRef blk00000670))
+ (portRef C (instanceRef blk00000671))
+ (portRef CLK (instanceRef blk00000672))
+ (portRef C (instanceRef blk00000673))
+ (portRef CLK (instanceRef blk00000674))
+ (portRef C (instanceRef blk00000675))
+ (portRef CLK (instanceRef blk00000676))
+ (portRef C (instanceRef blk00000677))
+ (portRef CLK (instanceRef blk00000678))
+ (portRef C (instanceRef blk00000679))
+ (portRef CLK (instanceRef blk0000067a))
+ (portRef C (instanceRef blk0000067b))
+ (portRef CLK (instanceRef blk0000067c))
+ (portRef C (instanceRef blk0000067d))
+ (portRef CLK (instanceRef blk0000067e))
+ (portRef C (instanceRef blk0000067f))
+ (portRef CLK (instanceRef blk00000680))
+ (portRef C (instanceRef blk00000681))
+ (portRef CLK (instanceRef blk00000682))
+ (portRef C (instanceRef blk00000683))
+ (portRef CLK (instanceRef blk00000684))
+ (portRef C (instanceRef blk00000685))
+ (portRef CLK (instanceRef blk00000686))
+ (portRef C (instanceRef blk00000687))
+ (portRef CLK (instanceRef blk00000688))
+ (portRef C (instanceRef blk00000689))
+ (portRef CLK (instanceRef blk0000068a))
+ (portRef C (instanceRef blk0000068b))
+ (portRef CLK (instanceRef blk0000068c))
+ (portRef C (instanceRef blk0000068d))
+ (portRef CLK (instanceRef blk0000068e))
+ (portRef C (instanceRef blk0000068f))
+ (portRef CLK (instanceRef blk00000690))
+ (portRef C (instanceRef blk00000691))
+ (portRef CLK (instanceRef blk00000692))
+ (portRef C (instanceRef blk00000693))
+ (portRef CLK (instanceRef blk00000694))
+ (portRef C (instanceRef blk00000695))
+ (portRef CLK (instanceRef blk00000696))
+ (portRef C (instanceRef blk00000697))
+ (portRef CLK (instanceRef blk00000698))
+ (portRef C (instanceRef blk00000699))
+ (portRef CLK (instanceRef blk0000069a))
+ (portRef C (instanceRef blk0000069b))
+ (portRef CLK (instanceRef blk0000069c))
+ (portRef C (instanceRef blk0000069d))
+ (portRef CLK (instanceRef blk0000069e))
+ (portRef C (instanceRef blk0000069f))
+ (portRef CLK (instanceRef blk000006a0))
+ (portRef C (instanceRef blk000006a1))
+ (portRef CLK (instanceRef blk000006a2))
+ (portRef C (instanceRef blk000006a3))
+ (portRef CLK (instanceRef blk000006a4))
+ (portRef C (instanceRef blk000006a5))
+ (portRef CLK (instanceRef blk000006a6))
+ (portRef C (instanceRef blk000006a7))
+ (portRef CLK (instanceRef blk000006a8))
+ (portRef C (instanceRef blk000006a9))
+ (portRef CLK (instanceRef blk000006aa))
+ (portRef C (instanceRef blk000006ab))
+ (portRef CLK (instanceRef blk000006ac))
+ (portRef C (instanceRef blk000006ad))
+ (portRef CLK (instanceRef blk000006ae))
+ (portRef C (instanceRef blk000006af))
+ (portRef CLK (instanceRef blk000006b0))
+ (portRef C (instanceRef blk000006b1))
+ (portRef CLK (instanceRef blk000006b2))
+ (portRef C (instanceRef blk000006b3))
+ (portRef CLK (instanceRef blk000006b4))
+ (portRef C (instanceRef blk000006b5))
+ (portRef CLK (instanceRef blk000006b6))
+ (portRef C (instanceRef blk000006b7))
+ (portRef CLK (instanceRef blk000006b8))
+ (portRef C (instanceRef blk000006b9))
+ (portRef CLK (instanceRef blk000006ba))
+ (portRef C (instanceRef blk000006bb))
+ (portRef CLK (instanceRef blk000006bc))
+ (portRef C (instanceRef blk000006bd))
+ (portRef CLK (instanceRef blk000006be))
+ (portRef C (instanceRef blk000006bf))
+ (portRef CLK (instanceRef blk000006c0))
+ (portRef C (instanceRef blk000006c1))
+ (portRef CLK (instanceRef blk000006c2))
+ (portRef C (instanceRef blk000006c3))
+ (portRef CLK (instanceRef blk000006c4))
+ (portRef C (instanceRef blk000006c5))
+ (portRef CLK (instanceRef blk000006c6))
+ (portRef C (instanceRef blk000006c7))
+ (portRef CLK (instanceRef blk000006c8))
+ (portRef C (instanceRef blk000006c9))
+ (portRef CLK (instanceRef blk000006ca))
+ (portRef C (instanceRef blk000006cb))
+ (portRef CLK (instanceRef blk000006cc))
+ (portRef C (instanceRef blk000006cd))
+ (portRef CLK (instanceRef blk000006ce))
+ (portRef C (instanceRef blk000006cf))
+ (portRef CLK (instanceRef blk000006d0))
+ (portRef C (instanceRef blk000006d1))
+ (portRef CLK (instanceRef blk000006d2))
+ (portRef C (instanceRef blk000006d3))
+ (portRef CLK (instanceRef blk000006d4))
+ (portRef C (instanceRef blk000006d5))
+ (portRef CLK (instanceRef blk000006d6))
+ (portRef C (instanceRef blk000006d7))
+ (portRef CLK (instanceRef blk000006d8))
+ (portRef C (instanceRef blk000006d9))
+ (portRef CLK (instanceRef blk000006da))
+ (portRef C (instanceRef blk000006db))
+ (portRef CLK (instanceRef blk000006dc))
+ (portRef C (instanceRef blk000006dd))
+ (portRef CLK (instanceRef blk000006de))
+ (portRef C (instanceRef blk000006df))
+ (portRef CLK (instanceRef blk000006e0))
+ (portRef C (instanceRef blk000006e1))
+ (portRef CLK (instanceRef blk000006e2))
+ (portRef C (instanceRef blk000006e3))
+ (portRef CLK (instanceRef blk000006e4))
+ (portRef C (instanceRef blk000006e5))
+ (portRef CLK (instanceRef blk000006e6))
+ (portRef C (instanceRef blk000006e7))
+ (portRef CLK (instanceRef blk000006e8))
+ (portRef C (instanceRef blk000006e9))
+ (portRef CLK (instanceRef blk000006ea))
+ (portRef C (instanceRef blk000006eb))
+ (portRef CLK (instanceRef blk000006ec))
+ (portRef C (instanceRef blk000006ed))
+ (portRef CLK (instanceRef blk000006ee))
+ (portRef C (instanceRef blk000006ef))
+ (portRef CLK (instanceRef blk000006f0))
+ (portRef C (instanceRef blk000006f1))
+ (portRef CLK (instanceRef blk000006f2))
+ (portRef C (instanceRef blk000006f3))
+ (portRef CLK (instanceRef blk000006f4))
+ (portRef C (instanceRef blk000006f5))
+ (portRef CLK (instanceRef blk000006f6))
+ (portRef C (instanceRef blk000006f7))
+ (portRef CLK (instanceRef blk000006f8))
+ (portRef C (instanceRef blk000006f9))
+ (portRef CLK (instanceRef blk000006fa))
+ (portRef C (instanceRef blk000006fb))
+ (portRef CLK (instanceRef blk000006fc))
+ (portRef C (instanceRef blk000006fd))
+ (portRef CLK (instanceRef blk000006fe))
+ (portRef C (instanceRef blk000006ff))
+ (portRef CLK (instanceRef blk00000700))
+ (portRef C (instanceRef blk00000701))
+ (portRef CLK (instanceRef blk00000702))
+ (portRef C (instanceRef blk00000703))
+ (portRef CLK (instanceRef blk00000704))
+ (portRef C (instanceRef blk00000705))
+ (portRef CLK (instanceRef blk00000706))
+ (portRef C (instanceRef blk00000707))
+ (portRef CLK (instanceRef blk00000708))
+ (portRef C (instanceRef blk00000709))
+ (portRef CLK (instanceRef blk0000070a))
+ (portRef C (instanceRef blk0000070b))
+ (portRef CLK (instanceRef blk0000070c))
+ (portRef C (instanceRef blk0000070d))
+ (portRef CLK (instanceRef blk0000070e))
+ (portRef C (instanceRef blk0000070f))
+ (portRef CLK (instanceRef blk00000710))
+ (portRef C (instanceRef blk00000711))
+ (portRef CLK (instanceRef blk00000712))
+ (portRef C (instanceRef blk00000713))
+ (portRef CLK (instanceRef blk00000714))
+ (portRef C (instanceRef blk00000715))
+ (portRef CLK (instanceRef blk00000716))
+ (portRef C (instanceRef blk00000717))
+ (portRef CLK (instanceRef blk00000718))
+ (portRef C (instanceRef blk00000719))
+ (portRef CLK (instanceRef blk0000071a))
+ (portRef C (instanceRef blk0000071b))
+ (portRef CLK (instanceRef blk0000071c))
+ (portRef C (instanceRef blk0000071d))
+ (portRef CLK (instanceRef blk0000071e))
+ (portRef C (instanceRef blk0000071f))
+ (portRef CLK (instanceRef blk00000720))
+ (portRef C (instanceRef blk00000721))
+ (portRef CLK (instanceRef blk00000722))
+ (portRef C (instanceRef blk00000723))
+ (portRef CLK (instanceRef blk00000724))
+ (portRef C (instanceRef blk00000725))
+ (portRef CLK (instanceRef blk00000726))
+ (portRef C (instanceRef blk00000727))
+ (portRef CLK (instanceRef blk00000728))
+ (portRef C (instanceRef blk00000729))
+ (portRef CLK (instanceRef blk0000072a))
+ (portRef C (instanceRef blk0000072b))
+ (portRef CLK (instanceRef blk0000072c))
+ (portRef C (instanceRef blk0000072d))
+ (portRef CLK (instanceRef blk0000072e))
+ (portRef C (instanceRef blk0000072f))
+ (portRef CLK (instanceRef blk00000730))
+ (portRef C (instanceRef blk00000731))
+ (portRef CLK (instanceRef blk00000732))
+ (portRef C (instanceRef blk00000733))
+ (portRef CLK (instanceRef blk00000734))
+ (portRef C (instanceRef blk00000735))
+ (portRef CLK (instanceRef blk00000736))
+ (portRef C (instanceRef blk00000737))
+ (portRef CLK (instanceRef blk00000738))
+ (portRef C (instanceRef blk00000739))
+ (portRef CLK (instanceRef blk0000073a))
+ (portRef C (instanceRef blk0000073b))
+ (portRef CLK (instanceRef blk0000073c))
+ (portRef C (instanceRef blk0000073d))
+ (portRef CLK (instanceRef blk0000073e))
+ (portRef C (instanceRef blk0000073f))
+ (portRef CLK (instanceRef blk00000740))
+ (portRef C (instanceRef blk00000741))
+ (portRef CLK (instanceRef blk00000742))
+ (portRef C (instanceRef blk00000743))
+ (portRef CLK (instanceRef blk00000744))
+ (portRef C (instanceRef blk00000745))
+ (portRef CLK (instanceRef blk00000746))
+ (portRef C (instanceRef blk00000747))
+ (portRef CLK (instanceRef blk00000748))
+ (portRef C (instanceRef blk00000749))
+ (portRef CLK (instanceRef blk0000074a))
+ (portRef C (instanceRef blk0000074b))
+ (portRef CLK (instanceRef blk0000074c))
+ (portRef C (instanceRef blk0000074d))
+ (portRef CLK (instanceRef blk0000074e))
+ (portRef C (instanceRef blk0000074f))
+ (portRef CLK (instanceRef blk00000750))
+ (portRef C (instanceRef blk00000751))
+ (portRef CLK (instanceRef blk00000752))
+ (portRef C (instanceRef blk00000753))
+ (portRef CLK (instanceRef blk00000754))
+ (portRef C (instanceRef blk00000755))
+ (portRef CLK (instanceRef blk00000756))
+ (portRef C (instanceRef blk00000757))
+ (portRef CLK (instanceRef blk00000758))
+ (portRef C (instanceRef blk00000759))
+ (portRef CLK (instanceRef blk0000075a))
+ (portRef C (instanceRef blk0000075b))
+ (portRef CLK (instanceRef blk0000075c))
+ (portRef C (instanceRef blk0000075d))
+ (portRef CLK (instanceRef blk0000075e))
+ (portRef C (instanceRef blk0000075f))
+ (portRef CLK (instanceRef blk00000760))
+ (portRef C (instanceRef blk00000761))
+ (portRef CLK (instanceRef blk00000762))
+ (portRef C (instanceRef blk00000763))
+ (portRef CLK (instanceRef blk00000764))
+ (portRef C (instanceRef blk00000765))
+ (portRef CLK (instanceRef blk00000766))
+ (portRef C (instanceRef blk00000767))
+ (portRef CLK (instanceRef blk00000768))
+ (portRef C (instanceRef blk00000769))
+ (portRef CLK (instanceRef blk0000076a))
+ (portRef C (instanceRef blk0000076b))
+ (portRef CLK (instanceRef blk0000076c))
+ (portRef C (instanceRef blk0000076d))
+ (portRef CLK (instanceRef blk0000076e))
+ (portRef C (instanceRef blk0000076f))
+ (portRef CLK (instanceRef blk00000770))
+ (portRef C (instanceRef blk00000771))
+ (portRef CLK (instanceRef blk00000772))
+ (portRef C (instanceRef blk00000773))
+ (portRef CLK (instanceRef blk00000774))
+ (portRef C (instanceRef blk00000775))
+ (portRef CLK (instanceRef blk00000776))
+ (portRef C (instanceRef blk00000777))
+ (portRef CLK (instanceRef blk00000778))
+ (portRef C (instanceRef blk00000779))
+ (portRef CLK (instanceRef blk0000077a))
+ (portRef C (instanceRef blk0000077b))
+ (portRef CLK (instanceRef blk0000077c))
+ (portRef C (instanceRef blk0000077d))
+ (portRef CLK (instanceRef blk0000077e))
+ (portRef C (instanceRef blk0000077f))
+ (portRef CLK (instanceRef blk00000780))
+ (portRef C (instanceRef blk00000781))
+ (portRef CLK (instanceRef blk00000782))
+ (portRef C (instanceRef blk00000783))
+ )
+ )
+ (net sig00000045
+ (joined
+ (portRef ce)
+ (portRef CEB1 (instanceRef blk00000010))
+ (portRef CEC (instanceRef blk00000010))
+ (portRef CEB2 (instanceRef blk00000010))
+ (portRef CEP (instanceRef blk00000010))
+ (portRef CECARRYIN (instanceRef blk00000010))
+ (portRef CEALUMODE (instanceRef blk00000010))
+ (portRef CEA2 (instanceRef blk00000010))
+ (portRef CEA1 (instanceRef blk00000010))
+ (portRef CECTRL (instanceRef blk00000010))
+ (portRef CEM (instanceRef blk00000010))
+ (portRef CEINMODE (instanceRef blk00000010))
+ (portRef CEB1 (instanceRef blk00000011))
+ (portRef CEC (instanceRef blk00000011))
+ (portRef CEB2 (instanceRef blk00000011))
+ (portRef CEP (instanceRef blk00000011))
+ (portRef CECARRYIN (instanceRef blk00000011))
+ (portRef CEALUMODE (instanceRef blk00000011))
+ (portRef CEA2 (instanceRef blk00000011))
+ (portRef CEA1 (instanceRef blk00000011))
+ (portRef CECTRL (instanceRef blk00000011))
+ (portRef CEM (instanceRef blk00000011))
+ (portRef CEINMODE (instanceRef blk00000011))
+ (portRef CE (instanceRef blk00000015))
+ (portRef CE (instanceRef blk00000016))
+ (portRef CE (instanceRef blk00000017))
+ (portRef CE (instanceRef blk00000018))
+ (portRef CE (instanceRef blk00000019))
+ (portRef CE (instanceRef blk0000001a))
+ (portRef CE (instanceRef blk0000001b))
+ (portRef CE (instanceRef blk0000001c))
+ (portRef CE (instanceRef blk0000001d))
+ (portRef CE (instanceRef blk0000001e))
+ (portRef CE (instanceRef blk0000001f))
+ (portRef CE (instanceRef blk00000020))
+ (portRef CE (instanceRef blk00000021))
+ (portRef CE (instanceRef blk00000022))
+ (portRef CE (instanceRef blk00000023))
+ (portRef CE (instanceRef blk00000024))
+ (portRef CE (instanceRef blk00000025))
+ (portRef CE (instanceRef blk00000026))
+ (portRef CE (instanceRef blk00000027))
+ (portRef CE (instanceRef blk00000028))
+ (portRef CE (instanceRef blk0000002a))
+ (portRef CE (instanceRef blk0000002b))
+ (portRef CE (instanceRef blk0000008e))
+ (portRef CE (instanceRef blk0000008f))
+ (portRef CE (instanceRef blk00000099))
+ (portRef CE (instanceRef blk0000009a))
+ (portRef CE (instanceRef blk0000009b))
+ (portRef CE (instanceRef blk0000009c))
+ (portRef CE (instanceRef blk0000009d))
+ (portRef CE (instanceRef blk0000009e))
+ (portRef CE (instanceRef blk0000009f))
+ (portRef CE (instanceRef blk000000a0))
+ (portRef CE (instanceRef blk000000a1))
+ (portRef CE (instanceRef blk000000a2))
+ (portRef CE (instanceRef blk000000a3))
+ (portRef CE (instanceRef blk000000a4))
+ (portRef CE (instanceRef blk000000a5))
+ (portRef CE (instanceRef blk000000a6))
+ (portRef CE (instanceRef blk000000a7))
+ (portRef CE (instanceRef blk000000a8))
+ (portRef CE (instanceRef blk000000a9))
+ (portRef CE (instanceRef blk000000aa))
+ (portRef CE (instanceRef blk000000ab))
+ (portRef CE (instanceRef blk000000ac))
+ (portRef CE (instanceRef blk000000ad))
+ (portRef CE (instanceRef blk000000ae))
+ (portRef CE (instanceRef blk000000af))
+ (portRef CE (instanceRef blk000000b0))
+ (portRef CE (instanceRef blk000000c2))
+ (portRef CE (instanceRef blk000000c3))
+ (portRef CE (instanceRef blk000000c4))
+ (portRef CE (instanceRef blk000000cd))
+ (portRef CE (instanceRef blk000000ce))
+ (portRef CE (instanceRef blk000000d6))
+ (portRef CE (instanceRef blk000000d7))
+ (portRef CE (instanceRef blk000000d8))
+ (portRef CE (instanceRef blk000000dc))
+ (portRef CE (instanceRef blk000000e6))
+ (portRef CE (instanceRef blk000000f4))
+ (portRef CE (instanceRef blk000000f5))
+ (portRef CE (instanceRef blk000000f6))
+ (portRef CEAD (instanceRef blk000000f7))
+ (portRef CEC (instanceRef blk000000f7))
+ (portRef CEB2 (instanceRef blk000000f7))
+ (portRef CEP (instanceRef blk000000f7))
+ (portRef CECARRYIN (instanceRef blk000000f7))
+ (portRef CED (instanceRef blk000000f7))
+ (portRef CEALUMODE (instanceRef blk000000f7))
+ (portRef CEA2 (instanceRef blk000000f7))
+ (portRef CECTRL (instanceRef blk000000f7))
+ (portRef CEM (instanceRef blk000000f7))
+ (portRef CEINMODE (instanceRef blk000000f7))
+ (portRef CEAD (instanceRef blk000000f8))
+ (portRef CEC (instanceRef blk000000f8))
+ (portRef CEB2 (instanceRef blk000000f8))
+ (portRef CEP (instanceRef blk000000f8))
+ (portRef CECARRYIN (instanceRef blk000000f8))
+ (portRef CED (instanceRef blk000000f8))
+ (portRef CEALUMODE (instanceRef blk000000f8))
+ (portRef CEA2 (instanceRef blk000000f8))
+ (portRef CECTRL (instanceRef blk000000f8))
+ (portRef CEM (instanceRef blk000000f8))
+ (portRef CEINMODE (instanceRef blk000000f8))
+ (portRef CEAD (instanceRef blk000000f9))
+ (portRef CEC (instanceRef blk000000f9))
+ (portRef CEB2 (instanceRef blk000000f9))
+ (portRef CEP (instanceRef blk000000f9))
+ (portRef CECARRYIN (instanceRef blk000000f9))
+ (portRef CED (instanceRef blk000000f9))
+ (portRef CEALUMODE (instanceRef blk000000f9))
+ (portRef CEA2 (instanceRef blk000000f9))
+ (portRef CECTRL (instanceRef blk000000f9))
+ (portRef CEM (instanceRef blk000000f9))
+ (portRef CEINMODE (instanceRef blk000000f9))
+ (portRef CEAD (instanceRef blk000000fa))
+ (portRef CEC (instanceRef blk000000fa))
+ (portRef CEB2 (instanceRef blk000000fa))
+ (portRef CEP (instanceRef blk000000fa))
+ (portRef CECARRYIN (instanceRef blk000000fa))
+ (portRef CED (instanceRef blk000000fa))
+ (portRef CEALUMODE (instanceRef blk000000fa))
+ (portRef CEA2 (instanceRef blk000000fa))
+ (portRef CECTRL (instanceRef blk000000fa))
+ (portRef CEM (instanceRef blk000000fa))
+ (portRef CEINMODE (instanceRef blk000000fa))
+ (portRef CEAD (instanceRef blk000000fb))
+ (portRef CEC (instanceRef blk000000fb))
+ (portRef CEB2 (instanceRef blk000000fb))
+ (portRef CEP (instanceRef blk000000fb))
+ (portRef CECARRYIN (instanceRef blk000000fb))
+ (portRef CED (instanceRef blk000000fb))
+ (portRef CEALUMODE (instanceRef blk000000fb))
+ (portRef CEA2 (instanceRef blk000000fb))
+ (portRef CECTRL (instanceRef blk000000fb))
+ (portRef CEM (instanceRef blk000000fb))
+ (portRef CEINMODE (instanceRef blk000000fb))
+ (portRef CEAD (instanceRef blk000000fc))
+ (portRef CEC (instanceRef blk000000fc))
+ (portRef CEB2 (instanceRef blk000000fc))
+ (portRef CEP (instanceRef blk000000fc))
+ (portRef CECARRYIN (instanceRef blk000000fc))
+ (portRef CED (instanceRef blk000000fc))
+ (portRef CEALUMODE (instanceRef blk000000fc))
+ (portRef CEA2 (instanceRef blk000000fc))
+ (portRef CECTRL (instanceRef blk000000fc))
+ (portRef CEM (instanceRef blk000000fc))
+ (portRef CEINMODE (instanceRef blk000000fc))
+ (portRef CE (instanceRef blk000000fd))
+ (portRef CE (instanceRef blk000000fe))
+ (portRef CE (instanceRef blk000000ff))
+ (portRef CE (instanceRef blk00000100))
+ (portRef CE (instanceRef blk00000101))
+ (portRef CE (instanceRef blk00000102))
+ (portRef CE (instanceRef blk00000103))
+ (portRef CE (instanceRef blk00000104))
+ (portRef CE (instanceRef blk00000105))
+ (portRef CE (instanceRef blk00000106))
+ (portRef CE (instanceRef blk00000107))
+ (portRef CE (instanceRef blk00000108))
+ (portRef CE (instanceRef blk00000109))
+ (portRef CE (instanceRef blk0000010a))
+ (portRef CE (instanceRef blk0000010b))
+ (portRef CE (instanceRef blk0000010c))
+ (portRef CE (instanceRef blk0000010d))
+ (portRef CE (instanceRef blk0000010e))
+ (portRef CE (instanceRef blk0000010f))
+ (portRef CE (instanceRef blk00000110))
+ (portRef CE (instanceRef blk00000111))
+ (portRef CE (instanceRef blk00000112))
+ (portRef CE (instanceRef blk00000113))
+ (portRef CE (instanceRef blk00000114))
+ (portRef CE (instanceRef blk00000115))
+ (portRef CE (instanceRef blk00000116))
+ (portRef CE (instanceRef blk00000117))
+ (portRef CE (instanceRef blk0000014a))
+ (portRef CE (instanceRef blk0000017d))
+ (portRef CE (instanceRef blk000001b0))
+ (portRef CE (instanceRef blk000001e3))
+ (portRef CE (instanceRef blk00000216))
+ (portRef CE (instanceRef blk00000249))
+ (portRef CE (instanceRef blk0000027c))
+ (portRef CE (instanceRef blk000002af))
+ (portRef CE (instanceRef blk000002e2))
+ (portRef CE (instanceRef blk00000315))
+ (portRef CE (instanceRef blk00000348))
+ (portRef CE (instanceRef blk0000037b))
+ (portRef CE (instanceRef blk000003b4))
+ (portRef CE (instanceRef blk0000044d))
+ (portRef CE (instanceRef blk000004a4))
+ (portRef CE (instanceRef blk000004d5))
+ (portRef CE (instanceRef blk000004d6))
+ (portRef CE (instanceRef blk000004d7))
+ (portRef CE (instanceRef blk000004d8))
+ (portRef CE (instanceRef blk000004d9))
+ (portRef CE (instanceRef blk000004da))
+ (portRef CE (instanceRef blk000004db))
+ (portRef CE (instanceRef blk000004dc))
+ (portRef CE (instanceRef blk000004dd))
+ (portRef CE (instanceRef blk000004de))
+ (portRef CE (instanceRef blk000004df))
+ (portRef CE (instanceRef blk000004e0))
+ (portRef CE (instanceRef blk000004e1))
+ (portRef CE (instanceRef blk000004e2))
+ (portRef CE (instanceRef blk000004e3))
+ (portRef CE (instanceRef blk000004e4))
+ (portRef CE (instanceRef blk000004e5))
+ (portRef CE (instanceRef blk000004e6))
+ (portRef CE (instanceRef blk000004e7))
+ (portRef CE (instanceRef blk000004e8))
+ (portRef CE (instanceRef blk000004e9))
+ (portRef CE (instanceRef blk000004ea))
+ (portRef CE (instanceRef blk000004eb))
+ (portRef CE (instanceRef blk000004ec))
+ (portRef CE (instanceRef blk000004ed))
+ (portRef CE (instanceRef blk000004ee))
+ (portRef CE (instanceRef blk000004ef))
+ (portRef CE (instanceRef blk000004f0))
+ (portRef CE (instanceRef blk000004f1))
+ (portRef CE (instanceRef blk000004f2))
+ (portRef CE (instanceRef blk000004f3))
+ (portRef CE (instanceRef blk000004f4))
+ (portRef CE (instanceRef blk000004f5))
+ (portRef CE (instanceRef blk000004f6))
+ (portRef CE (instanceRef blk000004f7))
+ (portRef CE (instanceRef blk000004f8))
+ (portRef CE (instanceRef blk000004f9))
+ (portRef CE (instanceRef blk000004fa))
+ (portRef CE (instanceRef blk000004fb))
+ (portRef CE (instanceRef blk000004fc))
+ (portRef CE (instanceRef blk000004fd))
+ (portRef CE (instanceRef blk000004fe))
+ (portRef CE (instanceRef blk000004ff))
+ (portRef CE (instanceRef blk00000500))
+ (portRef CE (instanceRef blk00000501))
+ (portRef CE (instanceRef blk00000502))
+ (portRef CE (instanceRef blk00000503))
+ (portRef CE (instanceRef blk00000504))
+ (portRef CE (instanceRef blk00000505))
+ (portRef CE (instanceRef blk00000506))
+ (portRef CE (instanceRef blk00000507))
+ (portRef CE (instanceRef blk00000508))
+ (portRef CE (instanceRef blk00000509))
+ (portRef CE (instanceRef blk0000050a))
+ (portRef CE (instanceRef blk0000050b))
+ (portRef CE (instanceRef blk0000050c))
+ (portRef CE (instanceRef blk0000050d))
+ (portRef CE (instanceRef blk0000050e))
+ (portRef CE (instanceRef blk0000050f))
+ (portRef CE (instanceRef blk00000510))
+ (portRef CE (instanceRef blk00000511))
+ (portRef CE (instanceRef blk00000512))
+ (portRef CE (instanceRef blk00000513))
+ (portRef CE (instanceRef blk00000514))
+ (portRef CE (instanceRef blk00000515))
+ (portRef CE (instanceRef blk00000516))
+ (portRef CE (instanceRef blk00000517))
+ (portRef CE (instanceRef blk00000518))
+ (portRef CE (instanceRef blk00000519))
+ (portRef CE (instanceRef blk0000051a))
+ (portRef CE (instanceRef blk0000051b))
+ (portRef CE (instanceRef blk0000051c))
+ (portRef CE (instanceRef blk0000051d))
+ (portRef CE (instanceRef blk0000051e))
+ (portRef CE (instanceRef blk0000051f))
+ (portRef CE (instanceRef blk00000520))
+ (portRef CE (instanceRef blk00000521))
+ (portRef CE (instanceRef blk00000522))
+ (portRef CE (instanceRef blk00000523))
+ (portRef CE (instanceRef blk00000524))
+ (portRef CE (instanceRef blk00000525))
+ (portRef CE (instanceRef blk00000526))
+ (portRef CE (instanceRef blk00000527))
+ (portRef CE (instanceRef blk00000528))
+ (portRef CE (instanceRef blk00000529))
+ (portRef CE (instanceRef blk0000052a))
+ (portRef CE (instanceRef blk0000052b))
+ (portRef CE (instanceRef blk0000052c))
+ (portRef CE (instanceRef blk0000052d))
+ (portRef CE (instanceRef blk0000052e))
+ (portRef CE (instanceRef blk0000052f))
+ (portRef CE (instanceRef blk00000530))
+ (portRef CE (instanceRef blk00000531))
+ (portRef CE (instanceRef blk00000532))
+ (portRef CE (instanceRef blk00000533))
+ (portRef CE (instanceRef blk00000534))
+ (portRef I0 (instanceRef blk00000540))
+ (portRef I0 (instanceRef blk00000547))
+ (portRef I0 (instanceRef blk00000548))
+ (portRef I1 (instanceRef blk0000054e))
+ (portRef I0 (instanceRef blk00000578))
+ (portRef I0 (instanceRef blk00000579))
+ (portRef I0 (instanceRef blk0000057a))
+ (portRef I0 (instanceRef blk0000057b))
+ (portRef CE (instanceRef blk000005e1))
+ (portRef CE (instanceRef blk000005e2))
+ (portRef CE (instanceRef blk000005e3))
+ (portRef CE (instanceRef blk000005e4))
+ (portRef CE (instanceRef blk000005e5))
+ (portRef CE (instanceRef blk000005e6))
+ (portRef CE (instanceRef blk000005e7))
+ (portRef CE (instanceRef blk000005e8))
+ (portRef CE (instanceRef blk000005e9))
+ (portRef R (instanceRef blk000005ea))
+ (portRef CE (instanceRef blk000005eb))
+ (portRef CE (instanceRef blk000005ec))
+ (portRef CE (instanceRef blk000005ed))
+ (portRef CE (instanceRef blk000005ee))
+ (portRef R (instanceRef blk000005ef))
+ (portRef CE (instanceRef blk000005f0))
+ (portRef CE (instanceRef blk000005f1))
+ (portRef CE (instanceRef blk000005f2))
+ (portRef CE (instanceRef blk000005f3))
+ (portRef CE (instanceRef blk000005f4))
+ (portRef CE (instanceRef blk000005f5))
+ (portRef CE (instanceRef blk000005f6))
+ (portRef CE (instanceRef blk000005f7))
+ (portRef CE (instanceRef blk000005f8))
+ (portRef CE (instanceRef blk000005f9))
+ (portRef CE (instanceRef blk000005fa))
+ (portRef CE (instanceRef blk000005fb))
+ (portRef CE (instanceRef blk000005fc))
+ (portRef CE (instanceRef blk000005fd))
+ (portRef CE (instanceRef blk000005fe))
+ (portRef CE (instanceRef blk000005ff))
+ (portRef I2 (instanceRef blk00000612))
+ (portRef I0 (instanceRef blk00000613))
+ (portRef I0 (instanceRef blk00000614))
+ (portRef I1 (instanceRef blk00000615))
+ (portRef I1 (instanceRef blk00000616))
+ (portRef I1 (instanceRef blk00000617))
+ (portRef CE (instanceRef blk0000061c))
+ (portRef CE (instanceRef blk00000628))
+ (portRef CE (instanceRef blk00000629))
+ (portRef CE (instanceRef blk0000062a))
+ (portRef CE (instanceRef blk0000062b))
+ (portRef CE (instanceRef blk0000062c))
+ (portRef CE (instanceRef blk0000062d))
+ (portRef CE (instanceRef blk0000062e))
+ (portRef CE (instanceRef blk0000062f))
+ (portRef CE (instanceRef blk00000630))
+ (portRef CE (instanceRef blk00000631))
+ (portRef CE (instanceRef blk00000632))
+ (portRef CE (instanceRef blk00000633))
+ (portRef CE (instanceRef blk00000634))
+ (portRef CE (instanceRef blk00000635))
+ (portRef CE (instanceRef blk00000636))
+ (portRef CE (instanceRef blk00000637))
+ (portRef CE (instanceRef blk00000638))
+ (portRef CE (instanceRef blk00000639))
+ (portRef CE (instanceRef blk0000063a))
+ (portRef CE (instanceRef blk0000063b))
+ (portRef CE (instanceRef blk0000063c))
+ (portRef CE (instanceRef blk0000063d))
+ (portRef CE (instanceRef blk0000063e))
+ (portRef CE (instanceRef blk0000063f))
+ (portRef CE (instanceRef blk00000640))
+ (portRef CE (instanceRef blk00000641))
+ (portRef CE (instanceRef blk00000642))
+ (portRef CE (instanceRef blk00000643))
+ (portRef CE (instanceRef blk00000644))
+ (portRef CE (instanceRef blk00000645))
+ (portRef CE (instanceRef blk00000646))
+ (portRef CE (instanceRef blk00000647))
+ (portRef CE (instanceRef blk00000648))
+ (portRef CE (instanceRef blk00000649))
+ (portRef CE (instanceRef blk0000064a))
+ (portRef CE (instanceRef blk0000064b))
+ (portRef CE (instanceRef blk0000064c))
+ (portRef CE (instanceRef blk0000064d))
+ (portRef CE (instanceRef blk0000064e))
+ (portRef CE (instanceRef blk0000064f))
+ (portRef CE (instanceRef blk00000650))
+ (portRef CE (instanceRef blk00000651))
+ (portRef CE (instanceRef blk00000652))
+ (portRef CE (instanceRef blk00000653))
+ (portRef CE (instanceRef blk00000654))
+ (portRef CE (instanceRef blk00000655))
+ (portRef CE (instanceRef blk00000656))
+ (portRef CE (instanceRef blk00000657))
+ (portRef CE (instanceRef blk00000658))
+ (portRef CE (instanceRef blk00000659))
+ (portRef CE (instanceRef blk0000065a))
+ (portRef CE (instanceRef blk0000065b))
+ (portRef CE (instanceRef blk0000065c))
+ (portRef CE (instanceRef blk0000065d))
+ (portRef CE (instanceRef blk0000065e))
+ (portRef CE (instanceRef blk0000065f))
+ (portRef CE (instanceRef blk00000660))
+ (portRef CE (instanceRef blk00000661))
+ (portRef CE (instanceRef blk00000662))
+ (portRef CE (instanceRef blk00000663))
+ (portRef CE (instanceRef blk00000664))
+ (portRef CE (instanceRef blk00000665))
+ (portRef CE (instanceRef blk00000666))
+ (portRef CE (instanceRef blk00000667))
+ (portRef CE (instanceRef blk00000668))
+ (portRef CE (instanceRef blk00000669))
+ (portRef CE (instanceRef blk0000066a))
+ (portRef CE (instanceRef blk0000066b))
+ (portRef CE (instanceRef blk0000066c))
+ (portRef CE (instanceRef blk0000066d))
+ (portRef CE (instanceRef blk0000066e))
+ (portRef CE (instanceRef blk0000066f))
+ (portRef CE (instanceRef blk00000670))
+ (portRef CE (instanceRef blk00000671))
+ (portRef CE (instanceRef blk00000672))
+ (portRef CE (instanceRef blk00000673))
+ (portRef CE (instanceRef blk00000674))
+ (portRef CE (instanceRef blk00000675))
+ (portRef CE (instanceRef blk00000676))
+ (portRef CE (instanceRef blk00000677))
+ (portRef CE (instanceRef blk00000678))
+ (portRef CE (instanceRef blk00000679))
+ (portRef CE (instanceRef blk0000067a))
+ (portRef CE (instanceRef blk0000067b))
+ (portRef CE (instanceRef blk0000067c))
+ (portRef CE (instanceRef blk0000067d))
+ (portRef CE (instanceRef blk0000067e))
+ (portRef CE (instanceRef blk0000067f))
+ (portRef CE (instanceRef blk00000680))
+ (portRef CE (instanceRef blk00000681))
+ (portRef CE (instanceRef blk00000682))
+ (portRef CE (instanceRef blk00000683))
+ (portRef CE (instanceRef blk00000684))
+ (portRef CE (instanceRef blk00000685))
+ (portRef CE (instanceRef blk00000686))
+ (portRef CE (instanceRef blk00000687))
+ (portRef CE (instanceRef blk00000688))
+ (portRef CE (instanceRef blk00000689))
+ (portRef CE (instanceRef blk0000068a))
+ (portRef CE (instanceRef blk0000068b))
+ (portRef CE (instanceRef blk0000068c))
+ (portRef CE (instanceRef blk0000068d))
+ (portRef CE (instanceRef blk0000068e))
+ (portRef CE (instanceRef blk0000068f))
+ (portRef CE (instanceRef blk00000690))
+ (portRef CE (instanceRef blk00000691))
+ (portRef CE (instanceRef blk00000692))
+ (portRef CE (instanceRef blk00000693))
+ (portRef CE (instanceRef blk00000694))
+ (portRef CE (instanceRef blk00000695))
+ (portRef CE (instanceRef blk00000696))
+ (portRef CE (instanceRef blk00000697))
+ (portRef CE (instanceRef blk00000698))
+ (portRef CE (instanceRef blk00000699))
+ (portRef CE (instanceRef blk0000069a))
+ (portRef CE (instanceRef blk0000069b))
+ (portRef CE (instanceRef blk0000069c))
+ (portRef CE (instanceRef blk0000069d))
+ (portRef CE (instanceRef blk0000069e))
+ (portRef CE (instanceRef blk0000069f))
+ (portRef CE (instanceRef blk000006a0))
+ (portRef CE (instanceRef blk000006a1))
+ (portRef CE (instanceRef blk000006a2))
+ (portRef CE (instanceRef blk000006a3))
+ (portRef CE (instanceRef blk000006a4))
+ (portRef CE (instanceRef blk000006a5))
+ (portRef CE (instanceRef blk000006a6))
+ (portRef CE (instanceRef blk000006a7))
+ (portRef CE (instanceRef blk000006a8))
+ (portRef CE (instanceRef blk000006a9))
+ (portRef CE (instanceRef blk000006aa))
+ (portRef CE (instanceRef blk000006ab))
+ (portRef CE (instanceRef blk000006ac))
+ (portRef CE (instanceRef blk000006ad))
+ (portRef CE (instanceRef blk000006ae))
+ (portRef CE (instanceRef blk000006af))
+ (portRef CE (instanceRef blk000006b0))
+ (portRef CE (instanceRef blk000006b1))
+ (portRef CE (instanceRef blk000006b2))
+ (portRef CE (instanceRef blk000006b3))
+ (portRef CE (instanceRef blk000006b4))
+ (portRef CE (instanceRef blk000006b5))
+ (portRef CE (instanceRef blk000006b6))
+ (portRef CE (instanceRef blk000006b7))
+ (portRef CE (instanceRef blk000006b8))
+ (portRef CE (instanceRef blk000006b9))
+ (portRef CE (instanceRef blk000006ba))
+ (portRef CE (instanceRef blk000006bb))
+ (portRef CE (instanceRef blk0000071c))
+ (portRef CE (instanceRef blk0000071d))
+ (portRef CE (instanceRef blk0000071e))
+ (portRef CE (instanceRef blk0000071f))
+ (portRef CE (instanceRef blk00000720))
+ (portRef CE (instanceRef blk00000721))
+ (portRef CE (instanceRef blk00000722))
+ (portRef CE (instanceRef blk00000723))
+ (portRef CE (instanceRef blk00000724))
+ (portRef CE (instanceRef blk00000725))
+ (portRef CE (instanceRef blk00000726))
+ (portRef CE (instanceRef blk00000727))
+ (portRef CE (instanceRef blk00000728))
+ (portRef CE (instanceRef blk00000729))
+ (portRef CE (instanceRef blk0000072a))
+ (portRef CE (instanceRef blk0000072b))
+ (portRef CE (instanceRef blk0000072c))
+ (portRef CE (instanceRef blk0000072d))
+ (portRef CE (instanceRef blk0000072e))
+ (portRef CE (instanceRef blk0000072f))
+ (portRef CE (instanceRef blk00000730))
+ (portRef CE (instanceRef blk00000731))
+ (portRef CE (instanceRef blk00000732))
+ (portRef CE (instanceRef blk00000733))
+ (portRef CE (instanceRef blk00000734))
+ (portRef CE (instanceRef blk00000735))
+ (portRef CE (instanceRef blk00000736))
+ (portRef CE (instanceRef blk00000737))
+ (portRef CE (instanceRef blk00000738))
+ (portRef CE (instanceRef blk00000739))
+ (portRef CE (instanceRef blk0000073a))
+ (portRef CE (instanceRef blk0000073b))
+ (portRef CE (instanceRef blk0000073c))
+ (portRef CE (instanceRef blk0000073d))
+ (portRef CE (instanceRef blk0000073e))
+ (portRef CE (instanceRef blk0000073f))
+ (portRef CE (instanceRef blk00000740))
+ (portRef CE (instanceRef blk00000741))
+ (portRef CE (instanceRef blk00000742))
+ (portRef CE (instanceRef blk00000743))
+ (portRef CE (instanceRef blk00000744))
+ (portRef CE (instanceRef blk00000745))
+ (portRef CE (instanceRef blk00000746))
+ (portRef CE (instanceRef blk00000747))
+ (portRef CE (instanceRef blk00000748))
+ (portRef CE (instanceRef blk00000749))
+ (portRef CE (instanceRef blk0000074a))
+ (portRef CE (instanceRef blk0000074b))
+ (portRef CE (instanceRef blk0000074c))
+ (portRef CE (instanceRef blk0000074d))
+ (portRef CE (instanceRef blk0000074e))
+ (portRef CE (instanceRef blk0000074f))
+ (portRef CE (instanceRef blk00000750))
+ (portRef CE (instanceRef blk00000751))
+ (portRef CE (instanceRef blk00000752))
+ (portRef CE (instanceRef blk00000753))
+ (portRef CE (instanceRef blk00000754))
+ (portRef CE (instanceRef blk00000755))
+ (portRef CE (instanceRef blk00000756))
+ (portRef CE (instanceRef blk00000757))
+ (portRef CE (instanceRef blk00000758))
+ (portRef CE (instanceRef blk00000759))
+ (portRef CE (instanceRef blk0000075a))
+ (portRef CE (instanceRef blk0000075b))
+ (portRef CE (instanceRef blk0000075c))
+ (portRef CE (instanceRef blk0000075d))
+ (portRef CE (instanceRef blk0000075e))
+ (portRef CE (instanceRef blk0000075f))
+ (portRef CE (instanceRef blk00000760))
+ (portRef CE (instanceRef blk00000761))
+ (portRef CE (instanceRef blk00000762))
+ (portRef CE (instanceRef blk00000763))
+ (portRef CE (instanceRef blk00000764))
+ (portRef CE (instanceRef blk00000765))
+ (portRef CE (instanceRef blk00000766))
+ (portRef CE (instanceRef blk00000767))
+ (portRef CE (instanceRef blk00000768))
+ (portRef CE (instanceRef blk00000769))
+ (portRef CE (instanceRef blk0000076a))
+ (portRef CE (instanceRef blk0000076b))
+ (portRef CE (instanceRef blk0000076c))
+ (portRef CE (instanceRef blk0000076d))
+ (portRef CE (instanceRef blk0000076e))
+ (portRef CE (instanceRef blk0000076f))
+ (portRef CE (instanceRef blk00000770))
+ (portRef CE (instanceRef blk00000771))
+ (portRef CE (instanceRef blk00000772))
+ (portRef CE (instanceRef blk00000773))
+ (portRef CE (instanceRef blk00000774))
+ (portRef CE (instanceRef blk00000775))
+ (portRef CE (instanceRef blk00000776))
+ (portRef CE (instanceRef blk00000777))
+ (portRef CE (instanceRef blk00000778))
+ (portRef CE (instanceRef blk00000779))
+ (portRef CE (instanceRef blk0000077a))
+ (portRef CE (instanceRef blk0000077b))
+ (portRef CE (instanceRef blk0000077c))
+ (portRef CE (instanceRef blk0000077d))
+ (portRef CE (instanceRef blk0000077e))
+ (portRef CE (instanceRef blk0000077f))
+ (portRef CE (instanceRef blk00000780))
+ (portRef CE (instanceRef blk00000781))
+ (portRef CE (instanceRef blk00000782))
+ (portRef CE (instanceRef blk00000783))
+ )
+ )
+ (net sig00000046
+ (joined
+ (portRef nd)
+ (portRef WEA (instanceRef blk0000002b))
+ (portRef I1 (instanceRef blk00000546))
+ (portRef I1 (instanceRef blk0000054d))
+ (portRef I0 (instanceRef blk00000551))
+ (portRef I0 (instanceRef blk0000056d))
+ (portRef I0 (instanceRef blk0000056e))
+ (portRef I1 (instanceRef blk0000056f))
+ (portRef I0 (instanceRef blk00000570))
+ (portRef I3 (instanceRef blk00000612))
+ )
+ )
+ (net sig00000047
+ (joined
+ (portRef coef_ld)
+ (portRef R (instanceRef blk0000009e))
+ (portRef R (instanceRef blk0000009f))
+ (portRef R (instanceRef blk000000a0))
+ (portRef R (instanceRef blk000000a1))
+ (portRef D (instanceRef blk000000b0))
+ (portRef I3 (instanceRef blk00000552))
+ (portRef I4 (instanceRef blk00000553))
+ (portRef I0 (instanceRef blk00000555))
+ (portRef I0 (instanceRef blk00000559))
+ (portRef I0 (instanceRef blk0000055b))
+ (portRef R (instanceRef blk000005e2))
+ (portRef R (instanceRef blk000005e3))
+ (portRef R (instanceRef blk000005e6))
+ (portRef R (instanceRef blk000005e7))
+ (portRef I4 (instanceRef blk00000618))
+ (portRef I0 (instanceRef blk00000619))
+ (portRef I3 (instanceRef blk0000061a))
+ (portRef I2 (instanceRef blk0000061b))
+ )
+ )
+ (net sig00000048
+ (joined
+ (portRef coef_we)
+ (portRef S (instanceRef blk00000095))
+ (portRef CI (instanceRef blk00000097))
+ (portRef D (instanceRef blk000000af))
+ (portRef I2 (instanceRef blk00000552))
+ (portRef I1 (instanceRef blk00000553))
+ (portRef I0 (instanceRef blk00000554))
+ (portRef I1 (instanceRef blk00000559))
+ (portRef I0 (instanceRef blk0000055a))
+ (portRef I1 (instanceRef blk0000055c))
+ (portRef I0 (instanceRef blk0000055e))
+ (portRef I1 (instanceRef blk0000055f))
+ (portRef I0 (instanceRef blk00000560))
+ (portRef I2 (instanceRef blk00000618))
+ (portRef I2 (instanceRef blk00000619))
+ )
+ )
+ (net sig00000049
+ (joined
+ (portRef (member chan_in 0))
+ (portRef (member dout_i_1 0))
+ (portRef (member dout_i_1 1))
+ (portRef (member dout_i_1 2))
+ (portRef (member dout_i_1 3))
+ (portRef (member dout_i_1 4))
+ (portRef (member dout_i_1 5))
+ (portRef (member dout_i_1 6))
+ (portRef (member dout_i_1 7))
+ (portRef (member dout_i_1 8))
+ (portRef (member dout_i_1 9))
+ (portRef (member dout_i_1 10))
+ (portRef (member dout_i_1 11))
+ (portRef (member dout_i_1 12))
+ (portRef (member dout_i_1 13))
+ (portRef (member dout_i_1 14))
+ (portRef (member dout_i_1 15))
+ (portRef (member dout_i_1 16))
+ (portRef (member dout_i_1 17))
+ (portRef (member dout_i_1 18))
+ (portRef (member dout_i_1 19))
+ (portRef (member dout_i_1 20))
+ (portRef (member dout_i_1 21))
+ (portRef (member dout_i_1 22))
+ (portRef (member dout_i_1 23))
+ (portRef (member dout_q_1 0))
+ (portRef (member dout_q_1 1))
+ (portRef (member dout_q_1 2))
+ (portRef (member dout_q_1 3))
+ (portRef (member dout_q_1 4))
+ (portRef (member dout_q_1 5))
+ (portRef (member dout_q_1 6))
+ (portRef (member dout_q_1 7))
+ (portRef (member dout_q_1 8))
+ (portRef (member dout_q_1 9))
+ (portRef (member dout_q_1 10))
+ (portRef (member dout_q_1 11))
+ (portRef (member dout_q_1 12))
+ (portRef (member dout_q_1 13))
+ (portRef (member dout_q_1 14))
+ (portRef (member dout_q_1 15))
+ (portRef (member dout_q_1 16))
+ (portRef (member dout_q_1 17))
+ (portRef (member dout_q_1 18))
+ (portRef (member dout_q_1 19))
+ (portRef (member dout_q_1 20))
+ (portRef (member dout_q_1 21))
+ (portRef (member dout_q_1 22))
+ (portRef (member dout_q_1 23))
+ (portRef (member dout_q_1 24))
+ (portRef (member dout_q_1 25))
+ (portRef (member dout_q_1 26))
+ (portRef (member dout_q_1 27))
+ (portRef (member dout_q_1 28))
+ (portRef (member dout_q_1 29))
+ (portRef (member dout_q_1 30))
+ (portRef (member dout_q_1 31))
+ (portRef (member dout_q_1 32))
+ (portRef (member dout_q_1 33))
+ (portRef (member dout_q_1 34))
+ (portRef (member dout_q_1 35))
+ (portRef (member dout_q_1 36))
+ (portRef (member dout_q_1 37))
+ (portRef (member dout_q_1 38))
+ (portRef (member dout_q_1 39))
+ (portRef (member dout_q_1 40))
+ (portRef (member dout_q_1 41))
+ (portRef (member dout_q_1 42))
+ (portRef (member dout_q_1 43))
+ (portRef (member dout_q_1 44))
+ (portRef (member dout_q_1 45))
+ (portRef (member dout_q_1 46))
+ (portRef (member dout_i_2 0))
+ (portRef (member dout_i_2 1))
+ (portRef (member dout_i_2 2))
+ (portRef (member dout_i_2 3))
+ (portRef (member dout_i_2 4))
+ (portRef (member dout_i_2 5))
+ (portRef (member dout_i_2 6))
+ (portRef (member dout_i_2 7))
+ (portRef (member dout_i_2 8))
+ (portRef (member dout_i_2 9))
+ (portRef (member dout_i_2 10))
+ (portRef (member dout_i_2 11))
+ (portRef (member dout_i_2 12))
+ (portRef (member dout_i_2 13))
+ (portRef (member dout_i_2 14))
+ (portRef (member dout_i_2 15))
+ (portRef (member dout_i_2 16))
+ (portRef (member dout_i_2 17))
+ (portRef (member dout_i_2 18))
+ (portRef (member dout_i_2 19))
+ (portRef (member dout_i_2 20))
+ (portRef (member dout_i_2 21))
+ (portRef (member dout_i_2 22))
+ (portRef (member dout_i_2 23))
+ (portRef (member dout_q_2 0))
+ (portRef (member dout_q_2 1))
+ (portRef (member dout_q_2 2))
+ (portRef (member dout_q_2 3))
+ (portRef (member dout_q_2 4))
+ (portRef (member dout_q_2 5))
+ (portRef (member dout_q_2 6))
+ (portRef (member dout_q_2 7))
+ (portRef (member dout_q_2 8))
+ (portRef (member dout_q_2 9))
+ (portRef (member dout_q_2 10))
+ (portRef (member dout_q_2 11))
+ (portRef (member dout_q_2 12))
+ (portRef (member dout_q_2 13))
+ (portRef (member dout_q_2 14))
+ (portRef (member dout_q_2 15))
+ (portRef (member dout_q_2 16))
+ (portRef (member dout_q_2 17))
+ (portRef (member dout_q_2 18))
+ (portRef (member dout_q_2 19))
+ (portRef (member dout_q_2 20))
+ (portRef (member dout_q_2 21))
+ (portRef (member dout_q_2 22))
+ (portRef (member dout_q_2 23))
+ (portRef (member dout_q_2 24))
+ (portRef (member dout_q_2 25))
+ (portRef (member dout_q_2 26))
+ (portRef (member dout_q_2 27))
+ (portRef (member dout_q_2 28))
+ (portRef (member dout_q_2 29))
+ (portRef (member dout_q_2 30))
+ (portRef (member dout_q_2 31))
+ (portRef (member dout_q_2 32))
+ (portRef (member dout_q_2 33))
+ (portRef (member dout_q_2 34))
+ (portRef (member dout_q_2 35))
+ (portRef (member dout_q_2 36))
+ (portRef (member dout_q_2 37))
+ (portRef (member dout_q_2 38))
+ (portRef (member dout_q_2 39))
+ (portRef (member dout_q_2 40))
+ (portRef (member dout_q_2 41))
+ (portRef (member dout_q_2 42))
+ (portRef (member dout_q_2 43))
+ (portRef (member dout_q_2 44))
+ (portRef (member dout_q_2 45))
+ (portRef (member dout_q_2 46))
+ (portRef G (instanceRef blk00000004))
+ (portRef CI (instanceRef blk00000006))
+ (portRef CI (instanceRef blk00000007))
+ (portRef DI (instanceRef blk0000000f))
+ (portRef RSTC (instanceRef blk00000010))
+ (portRef CEAD (instanceRef blk00000010))
+ (portRef RSTM (instanceRef blk00000010))
+ (portRef MULTSIGNIN (instanceRef blk00000010))
+ (portRef RSTCTRL (instanceRef blk00000010))
+ (portRef RSTA (instanceRef blk00000010))
+ (portRef RSTALUMODE (instanceRef blk00000010))
+ (portRef RSTALLCARRYIN (instanceRef blk00000010))
+ (portRef CED (instanceRef blk00000010))
+ (portRef RSTD (instanceRef blk00000010))
+ (portRef RSTB (instanceRef blk00000010))
+ (portRef CARRYIN (instanceRef blk00000010))
+ (portRef CARRYCASCIN (instanceRef blk00000010))
+ (portRef RSTINMODE (instanceRef blk00000010))
+ (portRef RSTP (instanceRef blk00000010))
+ (portRef OPMODE_6_ (instanceRef blk00000010))
+ (portRef OPMODE_5_ (instanceRef blk00000010))
+ (portRef OPMODE_3_ (instanceRef blk00000010))
+ (portRef ALUMODE_3_ (instanceRef blk00000010))
+ (portRef ALUMODE_2_ (instanceRef blk00000010))
+ (portRef ALUMODE_1_ (instanceRef blk00000010))
+ (portRef ALUMODE_0_ (instanceRef blk00000010))
+ (portRef C_47_ (instanceRef blk00000010))
+ (portRef C_46_ (instanceRef blk00000010))
+ (portRef C_45_ (instanceRef blk00000010))
+ (portRef C_44_ (instanceRef blk00000010))
+ (portRef C_43_ (instanceRef blk00000010))
+ (portRef C_42_ (instanceRef blk00000010))
+ (portRef C_41_ (instanceRef blk00000010))
+ (portRef C_40_ (instanceRef blk00000010))
+ (portRef C_39_ (instanceRef blk00000010))
+ (portRef C_38_ (instanceRef blk00000010))
+ (portRef C_37_ (instanceRef blk00000010))
+ (portRef C_36_ (instanceRef blk00000010))
+ (portRef C_35_ (instanceRef blk00000010))
+ (portRef C_34_ (instanceRef blk00000010))
+ (portRef C_33_ (instanceRef blk00000010))
+ (portRef C_32_ (instanceRef blk00000010))
+ (portRef C_31_ (instanceRef blk00000010))
+ (portRef C_30_ (instanceRef blk00000010))
+ (portRef C_29_ (instanceRef blk00000010))
+ (portRef C_28_ (instanceRef blk00000010))
+ (portRef C_27_ (instanceRef blk00000010))
+ (portRef C_26_ (instanceRef blk00000010))
+ (portRef C_25_ (instanceRef blk00000010))
+ (portRef C_24_ (instanceRef blk00000010))
+ (portRef C_23_ (instanceRef blk00000010))
+ (portRef C_22_ (instanceRef blk00000010))
+ (portRef C_21_ (instanceRef blk00000010))
+ (portRef C_20_ (instanceRef blk00000010))
+ (portRef C_19_ (instanceRef blk00000010))
+ (portRef C_18_ (instanceRef blk00000010))
+ (portRef C_17_ (instanceRef blk00000010))
+ (portRef C_16_ (instanceRef blk00000010))
+ (portRef C_15_ (instanceRef blk00000010))
+ (portRef C_14_ (instanceRef blk00000010))
+ (portRef C_13_ (instanceRef blk00000010))
+ (portRef C_12_ (instanceRef blk00000010))
+ (portRef C_11_ (instanceRef blk00000010))
+ (portRef C_10_ (instanceRef blk00000010))
+ (portRef C_9_ (instanceRef blk00000010))
+ (portRef C_8_ (instanceRef blk00000010))
+ (portRef C_7_ (instanceRef blk00000010))
+ (portRef C_6_ (instanceRef blk00000010))
+ (portRef C_5_ (instanceRef blk00000010))
+ (portRef C_4_ (instanceRef blk00000010))
+ (portRef C_3_ (instanceRef blk00000010))
+ (portRef C_2_ (instanceRef blk00000010))
+ (portRef C_1_ (instanceRef blk00000010))
+ (portRef C_0_ (instanceRef blk00000010))
+ (portRef INMODE_4_ (instanceRef blk00000010))
+ (portRef INMODE_3_ (instanceRef blk00000010))
+ (portRef INMODE_1_ (instanceRef blk00000010))
+ (portRef INMODE_0_ (instanceRef blk00000010))
+ (portRef BCIN_17_ (instanceRef blk00000010))
+ (portRef BCIN_16_ (instanceRef blk00000010))
+ (portRef BCIN_15_ (instanceRef blk00000010))
+ (portRef BCIN_14_ (instanceRef blk00000010))
+ (portRef BCIN_13_ (instanceRef blk00000010))
+ (portRef BCIN_12_ (instanceRef blk00000010))
+ (portRef BCIN_11_ (instanceRef blk00000010))
+ (portRef BCIN_10_ (instanceRef blk00000010))
+ (portRef BCIN_9_ (instanceRef blk00000010))
+ (portRef BCIN_8_ (instanceRef blk00000010))
+ (portRef BCIN_7_ (instanceRef blk00000010))
+ (portRef BCIN_6_ (instanceRef blk00000010))
+ (portRef BCIN_5_ (instanceRef blk00000010))
+ (portRef BCIN_4_ (instanceRef blk00000010))
+ (portRef BCIN_3_ (instanceRef blk00000010))
+ (portRef BCIN_2_ (instanceRef blk00000010))
+ (portRef BCIN_1_ (instanceRef blk00000010))
+ (portRef BCIN_0_ (instanceRef blk00000010))
+ (portRef D_24_ (instanceRef blk00000010))
+ (portRef D_23_ (instanceRef blk00000010))
+ (portRef D_22_ (instanceRef blk00000010))
+ (portRef D_21_ (instanceRef blk00000010))
+ (portRef D_20_ (instanceRef blk00000010))
+ (portRef D_19_ (instanceRef blk00000010))
+ (portRef D_18_ (instanceRef blk00000010))
+ (portRef D_17_ (instanceRef blk00000010))
+ (portRef D_16_ (instanceRef blk00000010))
+ (portRef D_15_ (instanceRef blk00000010))
+ (portRef D_14_ (instanceRef blk00000010))
+ (portRef D_13_ (instanceRef blk00000010))
+ (portRef D_12_ (instanceRef blk00000010))
+ (portRef D_11_ (instanceRef blk00000010))
+ (portRef D_10_ (instanceRef blk00000010))
+ (portRef D_9_ (instanceRef blk00000010))
+ (portRef D_8_ (instanceRef blk00000010))
+ (portRef D_7_ (instanceRef blk00000010))
+ (portRef D_6_ (instanceRef blk00000010))
+ (portRef D_5_ (instanceRef blk00000010))
+ (portRef D_4_ (instanceRef blk00000010))
+ (portRef D_3_ (instanceRef blk00000010))
+ (portRef D_2_ (instanceRef blk00000010))
+ (portRef D_1_ (instanceRef blk00000010))
+ (portRef D_0_ (instanceRef blk00000010))
+ (portRef ACIN_29_ (instanceRef blk00000010))
+ (portRef ACIN_28_ (instanceRef blk00000010))
+ (portRef ACIN_27_ (instanceRef blk00000010))
+ (portRef ACIN_26_ (instanceRef blk00000010))
+ (portRef ACIN_25_ (instanceRef blk00000010))
+ (portRef ACIN_24_ (instanceRef blk00000010))
+ (portRef ACIN_23_ (instanceRef blk00000010))
+ (portRef ACIN_22_ (instanceRef blk00000010))
+ (portRef ACIN_21_ (instanceRef blk00000010))
+ (portRef ACIN_20_ (instanceRef blk00000010))
+ (portRef ACIN_19_ (instanceRef blk00000010))
+ (portRef ACIN_18_ (instanceRef blk00000010))
+ (portRef ACIN_17_ (instanceRef blk00000010))
+ (portRef ACIN_16_ (instanceRef blk00000010))
+ (portRef ACIN_15_ (instanceRef blk00000010))
+ (portRef ACIN_14_ (instanceRef blk00000010))
+ (portRef ACIN_13_ (instanceRef blk00000010))
+ (portRef ACIN_12_ (instanceRef blk00000010))
+ (portRef ACIN_11_ (instanceRef blk00000010))
+ (portRef ACIN_10_ (instanceRef blk00000010))
+ (portRef ACIN_9_ (instanceRef blk00000010))
+ (portRef ACIN_8_ (instanceRef blk00000010))
+ (portRef ACIN_7_ (instanceRef blk00000010))
+ (portRef ACIN_6_ (instanceRef blk00000010))
+ (portRef ACIN_5_ (instanceRef blk00000010))
+ (portRef ACIN_4_ (instanceRef blk00000010))
+ (portRef ACIN_3_ (instanceRef blk00000010))
+ (portRef ACIN_2_ (instanceRef blk00000010))
+ (portRef ACIN_1_ (instanceRef blk00000010))
+ (portRef ACIN_0_ (instanceRef blk00000010))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000010))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000010))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000010))
+ (portRef RSTC (instanceRef blk00000011))
+ (portRef CEAD (instanceRef blk00000011))
+ (portRef RSTM (instanceRef blk00000011))
+ (portRef MULTSIGNIN (instanceRef blk00000011))
+ (portRef RSTCTRL (instanceRef blk00000011))
+ (portRef RSTA (instanceRef blk00000011))
+ (portRef RSTALUMODE (instanceRef blk00000011))
+ (portRef RSTALLCARRYIN (instanceRef blk00000011))
+ (portRef CED (instanceRef blk00000011))
+ (portRef RSTD (instanceRef blk00000011))
+ (portRef RSTB (instanceRef blk00000011))
+ (portRef CARRYIN (instanceRef blk00000011))
+ (portRef CARRYCASCIN (instanceRef blk00000011))
+ (portRef RSTINMODE (instanceRef blk00000011))
+ (portRef RSTP (instanceRef blk00000011))
+ (portRef OPMODE_6_ (instanceRef blk00000011))
+ (portRef OPMODE_5_ (instanceRef blk00000011))
+ (portRef OPMODE_3_ (instanceRef blk00000011))
+ (portRef ALUMODE_3_ (instanceRef blk00000011))
+ (portRef ALUMODE_2_ (instanceRef blk00000011))
+ (portRef ALUMODE_1_ (instanceRef blk00000011))
+ (portRef ALUMODE_0_ (instanceRef blk00000011))
+ (portRef C_47_ (instanceRef blk00000011))
+ (portRef C_46_ (instanceRef blk00000011))
+ (portRef C_45_ (instanceRef blk00000011))
+ (portRef C_44_ (instanceRef blk00000011))
+ (portRef C_43_ (instanceRef blk00000011))
+ (portRef C_42_ (instanceRef blk00000011))
+ (portRef C_41_ (instanceRef blk00000011))
+ (portRef C_40_ (instanceRef blk00000011))
+ (portRef C_39_ (instanceRef blk00000011))
+ (portRef C_38_ (instanceRef blk00000011))
+ (portRef C_37_ (instanceRef blk00000011))
+ (portRef C_36_ (instanceRef blk00000011))
+ (portRef C_35_ (instanceRef blk00000011))
+ (portRef C_34_ (instanceRef blk00000011))
+ (portRef C_33_ (instanceRef blk00000011))
+ (portRef C_32_ (instanceRef blk00000011))
+ (portRef C_31_ (instanceRef blk00000011))
+ (portRef C_30_ (instanceRef blk00000011))
+ (portRef C_29_ (instanceRef blk00000011))
+ (portRef C_28_ (instanceRef blk00000011))
+ (portRef C_27_ (instanceRef blk00000011))
+ (portRef C_26_ (instanceRef blk00000011))
+ (portRef C_25_ (instanceRef blk00000011))
+ (portRef C_24_ (instanceRef blk00000011))
+ (portRef C_23_ (instanceRef blk00000011))
+ (portRef C_22_ (instanceRef blk00000011))
+ (portRef C_21_ (instanceRef blk00000011))
+ (portRef C_20_ (instanceRef blk00000011))
+ (portRef C_19_ (instanceRef blk00000011))
+ (portRef C_18_ (instanceRef blk00000011))
+ (portRef C_17_ (instanceRef blk00000011))
+ (portRef C_16_ (instanceRef blk00000011))
+ (portRef C_15_ (instanceRef blk00000011))
+ (portRef C_14_ (instanceRef blk00000011))
+ (portRef C_13_ (instanceRef blk00000011))
+ (portRef C_12_ (instanceRef blk00000011))
+ (portRef C_11_ (instanceRef blk00000011))
+ (portRef C_10_ (instanceRef blk00000011))
+ (portRef C_9_ (instanceRef blk00000011))
+ (portRef C_8_ (instanceRef blk00000011))
+ (portRef C_7_ (instanceRef blk00000011))
+ (portRef C_6_ (instanceRef blk00000011))
+ (portRef C_5_ (instanceRef blk00000011))
+ (portRef C_4_ (instanceRef blk00000011))
+ (portRef C_3_ (instanceRef blk00000011))
+ (portRef C_2_ (instanceRef blk00000011))
+ (portRef C_1_ (instanceRef blk00000011))
+ (portRef C_0_ (instanceRef blk00000011))
+ (portRef INMODE_4_ (instanceRef blk00000011))
+ (portRef INMODE_3_ (instanceRef blk00000011))
+ (portRef INMODE_1_ (instanceRef blk00000011))
+ (portRef INMODE_0_ (instanceRef blk00000011))
+ (portRef BCIN_17_ (instanceRef blk00000011))
+ (portRef BCIN_16_ (instanceRef blk00000011))
+ (portRef BCIN_15_ (instanceRef blk00000011))
+ (portRef BCIN_14_ (instanceRef blk00000011))
+ (portRef BCIN_13_ (instanceRef blk00000011))
+ (portRef BCIN_12_ (instanceRef blk00000011))
+ (portRef BCIN_11_ (instanceRef blk00000011))
+ (portRef BCIN_10_ (instanceRef blk00000011))
+ (portRef BCIN_9_ (instanceRef blk00000011))
+ (portRef BCIN_8_ (instanceRef blk00000011))
+ (portRef BCIN_7_ (instanceRef blk00000011))
+ (portRef BCIN_6_ (instanceRef blk00000011))
+ (portRef BCIN_5_ (instanceRef blk00000011))
+ (portRef BCIN_4_ (instanceRef blk00000011))
+ (portRef BCIN_3_ (instanceRef blk00000011))
+ (portRef BCIN_2_ (instanceRef blk00000011))
+ (portRef BCIN_1_ (instanceRef blk00000011))
+ (portRef BCIN_0_ (instanceRef blk00000011))
+ (portRef D_24_ (instanceRef blk00000011))
+ (portRef D_23_ (instanceRef blk00000011))
+ (portRef D_22_ (instanceRef blk00000011))
+ (portRef D_21_ (instanceRef blk00000011))
+ (portRef D_20_ (instanceRef blk00000011))
+ (portRef D_19_ (instanceRef blk00000011))
+ (portRef D_18_ (instanceRef blk00000011))
+ (portRef D_17_ (instanceRef blk00000011))
+ (portRef D_16_ (instanceRef blk00000011))
+ (portRef D_15_ (instanceRef blk00000011))
+ (portRef D_14_ (instanceRef blk00000011))
+ (portRef D_13_ (instanceRef blk00000011))
+ (portRef D_12_ (instanceRef blk00000011))
+ (portRef D_11_ (instanceRef blk00000011))
+ (portRef D_10_ (instanceRef blk00000011))
+ (portRef D_9_ (instanceRef blk00000011))
+ (portRef D_8_ (instanceRef blk00000011))
+ (portRef D_7_ (instanceRef blk00000011))
+ (portRef D_6_ (instanceRef blk00000011))
+ (portRef D_5_ (instanceRef blk00000011))
+ (portRef D_4_ (instanceRef blk00000011))
+ (portRef D_3_ (instanceRef blk00000011))
+ (portRef D_2_ (instanceRef blk00000011))
+ (portRef D_1_ (instanceRef blk00000011))
+ (portRef D_0_ (instanceRef blk00000011))
+ (portRef ACIN_29_ (instanceRef blk00000011))
+ (portRef ACIN_28_ (instanceRef blk00000011))
+ (portRef ACIN_27_ (instanceRef blk00000011))
+ (portRef ACIN_26_ (instanceRef blk00000011))
+ (portRef ACIN_25_ (instanceRef blk00000011))
+ (portRef ACIN_24_ (instanceRef blk00000011))
+ (portRef ACIN_23_ (instanceRef blk00000011))
+ (portRef ACIN_22_ (instanceRef blk00000011))
+ (portRef ACIN_21_ (instanceRef blk00000011))
+ (portRef ACIN_20_ (instanceRef blk00000011))
+ (portRef ACIN_19_ (instanceRef blk00000011))
+ (portRef ACIN_18_ (instanceRef blk00000011))
+ (portRef ACIN_17_ (instanceRef blk00000011))
+ (portRef ACIN_16_ (instanceRef blk00000011))
+ (portRef ACIN_15_ (instanceRef blk00000011))
+ (portRef ACIN_14_ (instanceRef blk00000011))
+ (portRef ACIN_13_ (instanceRef blk00000011))
+ (portRef ACIN_12_ (instanceRef blk00000011))
+ (portRef ACIN_11_ (instanceRef blk00000011))
+ (portRef ACIN_10_ (instanceRef blk00000011))
+ (portRef ACIN_9_ (instanceRef blk00000011))
+ (portRef ACIN_8_ (instanceRef blk00000011))
+ (portRef ACIN_7_ (instanceRef blk00000011))
+ (portRef ACIN_6_ (instanceRef blk00000011))
+ (portRef ACIN_5_ (instanceRef blk00000011))
+ (portRef ACIN_4_ (instanceRef blk00000011))
+ (portRef ACIN_3_ (instanceRef blk00000011))
+ (portRef ACIN_2_ (instanceRef blk00000011))
+ (portRef ACIN_1_ (instanceRef blk00000011))
+ (portRef ACIN_0_ (instanceRef blk00000011))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000011))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000011))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000011))
+ (portRef DI (instanceRef blk00000012))
+ (portRef DI (instanceRef blk00000013))
+ (portRef S (instanceRef blk0000008e))
+ (portRef R (instanceRef blk0000008f))
+ (portRef DI (instanceRef blk00000094))
+ (portRef DI (instanceRef blk00000096))
+ (portRef DI (instanceRef blk00000097))
+ (portRef DI (instanceRef blk00000098))
+ (portRef R (instanceRef blk0000009b))
+ (portRef R (instanceRef blk0000009c))
+ (portRef R (instanceRef blk0000009d))
+ (portRef CI (instanceRef blk000000b3))
+ (portRef CI (instanceRef blk000000b4))
+ (portRef CI (instanceRef blk000000b7))
+ (portRef CI (instanceRef blk000000b8))
+ (portRef CI (instanceRef blk000000bb))
+ (portRef R (instanceRef blk000000c2))
+ (portRef R (instanceRef blk000000c3))
+ (portRef R (instanceRef blk000000cd))
+ (portRef S (instanceRef blk000000ce))
+ (portRef R (instanceRef blk000000d6))
+ (portRef S (instanceRef blk000000d7))
+ (portRef DI (instanceRef blk000000da))
+ (portRef DI (instanceRef blk000000db))
+ (portRef CI (instanceRef blk000000df))
+ (portRef CI (instanceRef blk000000e2))
+ (portRef CI (instanceRef blk000000e5))
+ (portRef CI (instanceRef blk000000e9))
+ (portRef CI (instanceRef blk000000ec))
+ (portRef CI (instanceRef blk000000ef))
+ (portRef R (instanceRef blk000000f6))
+ (portRef RSTC (instanceRef blk000000f7))
+ (portRef CEB1 (instanceRef blk000000f7))
+ (portRef RSTM (instanceRef blk000000f7))
+ (portRef MULTSIGNIN (instanceRef blk000000f7))
+ (portRef RSTCTRL (instanceRef blk000000f7))
+ (portRef RSTA (instanceRef blk000000f7))
+ (portRef RSTALUMODE (instanceRef blk000000f7))
+ (portRef RSTALLCARRYIN (instanceRef blk000000f7))
+ (portRef RSTD (instanceRef blk000000f7))
+ (portRef CEA1 (instanceRef blk000000f7))
+ (portRef RSTB (instanceRef blk000000f7))
+ (portRef CARRYIN (instanceRef blk000000f7))
+ (portRef CARRYCASCIN (instanceRef blk000000f7))
+ (portRef RSTINMODE (instanceRef blk000000f7))
+ (portRef RSTP (instanceRef blk000000f7))
+ (portRef OPMODE_6_ (instanceRef blk000000f7))
+ (portRef OPMODE_3_ (instanceRef blk000000f7))
+ (portRef OPMODE_1_ (instanceRef blk000000f7))
+ (portRef PCIN_47_ (instanceRef blk000000f7))
+ (portRef PCIN_46_ (instanceRef blk000000f7))
+ (portRef PCIN_45_ (instanceRef blk000000f7))
+ (portRef PCIN_44_ (instanceRef blk000000f7))
+ (portRef PCIN_43_ (instanceRef blk000000f7))
+ (portRef PCIN_42_ (instanceRef blk000000f7))
+ (portRef PCIN_41_ (instanceRef blk000000f7))
+ (portRef PCIN_40_ (instanceRef blk000000f7))
+ (portRef PCIN_39_ (instanceRef blk000000f7))
+ (portRef PCIN_38_ (instanceRef blk000000f7))
+ (portRef PCIN_37_ (instanceRef blk000000f7))
+ (portRef PCIN_36_ (instanceRef blk000000f7))
+ (portRef PCIN_35_ (instanceRef blk000000f7))
+ (portRef PCIN_34_ (instanceRef blk000000f7))
+ (portRef PCIN_33_ (instanceRef blk000000f7))
+ (portRef PCIN_32_ (instanceRef blk000000f7))
+ (portRef PCIN_31_ (instanceRef blk000000f7))
+ (portRef PCIN_30_ (instanceRef blk000000f7))
+ (portRef PCIN_29_ (instanceRef blk000000f7))
+ (portRef PCIN_28_ (instanceRef blk000000f7))
+ (portRef PCIN_27_ (instanceRef blk000000f7))
+ (portRef PCIN_26_ (instanceRef blk000000f7))
+ (portRef PCIN_25_ (instanceRef blk000000f7))
+ (portRef PCIN_24_ (instanceRef blk000000f7))
+ (portRef PCIN_23_ (instanceRef blk000000f7))
+ (portRef PCIN_22_ (instanceRef blk000000f7))
+ (portRef PCIN_21_ (instanceRef blk000000f7))
+ (portRef PCIN_20_ (instanceRef blk000000f7))
+ (portRef PCIN_19_ (instanceRef blk000000f7))
+ (portRef PCIN_18_ (instanceRef blk000000f7))
+ (portRef PCIN_17_ (instanceRef blk000000f7))
+ (portRef PCIN_16_ (instanceRef blk000000f7))
+ (portRef PCIN_15_ (instanceRef blk000000f7))
+ (portRef PCIN_14_ (instanceRef blk000000f7))
+ (portRef PCIN_13_ (instanceRef blk000000f7))
+ (portRef PCIN_12_ (instanceRef blk000000f7))
+ (portRef PCIN_11_ (instanceRef blk000000f7))
+ (portRef PCIN_10_ (instanceRef blk000000f7))
+ (portRef PCIN_9_ (instanceRef blk000000f7))
+ (portRef PCIN_8_ (instanceRef blk000000f7))
+ (portRef PCIN_7_ (instanceRef blk000000f7))
+ (portRef PCIN_6_ (instanceRef blk000000f7))
+ (portRef PCIN_5_ (instanceRef blk000000f7))
+ (portRef PCIN_4_ (instanceRef blk000000f7))
+ (portRef PCIN_3_ (instanceRef blk000000f7))
+ (portRef PCIN_2_ (instanceRef blk000000f7))
+ (portRef PCIN_1_ (instanceRef blk000000f7))
+ (portRef PCIN_0_ (instanceRef blk000000f7))
+ (portRef ALUMODE_3_ (instanceRef blk000000f7))
+ (portRef ALUMODE_2_ (instanceRef blk000000f7))
+ (portRef ALUMODE_1_ (instanceRef blk000000f7))
+ (portRef ALUMODE_0_ (instanceRef blk000000f7))
+ (portRef C_47_ (instanceRef blk000000f7))
+ (portRef C_46_ (instanceRef blk000000f7))
+ (portRef C_45_ (instanceRef blk000000f7))
+ (portRef C_44_ (instanceRef blk000000f7))
+ (portRef C_43_ (instanceRef blk000000f7))
+ (portRef C_42_ (instanceRef blk000000f7))
+ (portRef C_41_ (instanceRef blk000000f7))
+ (portRef C_40_ (instanceRef blk000000f7))
+ (portRef C_39_ (instanceRef blk000000f7))
+ (portRef C_38_ (instanceRef blk000000f7))
+ (portRef C_37_ (instanceRef blk000000f7))
+ (portRef C_36_ (instanceRef blk000000f7))
+ (portRef C_35_ (instanceRef blk000000f7))
+ (portRef C_34_ (instanceRef blk000000f7))
+ (portRef C_33_ (instanceRef blk000000f7))
+ (portRef C_32_ (instanceRef blk000000f7))
+ (portRef C_31_ (instanceRef blk000000f7))
+ (portRef C_30_ (instanceRef blk000000f7))
+ (portRef C_29_ (instanceRef blk000000f7))
+ (portRef C_28_ (instanceRef blk000000f7))
+ (portRef C_27_ (instanceRef blk000000f7))
+ (portRef C_26_ (instanceRef blk000000f7))
+ (portRef C_25_ (instanceRef blk000000f7))
+ (portRef C_24_ (instanceRef blk000000f7))
+ (portRef C_23_ (instanceRef blk000000f7))
+ (portRef C_22_ (instanceRef blk000000f7))
+ (portRef C_21_ (instanceRef blk000000f7))
+ (portRef C_20_ (instanceRef blk000000f7))
+ (portRef C_19_ (instanceRef blk000000f7))
+ (portRef C_18_ (instanceRef blk000000f7))
+ (portRef C_17_ (instanceRef blk000000f7))
+ (portRef C_16_ (instanceRef blk000000f7))
+ (portRef C_15_ (instanceRef blk000000f7))
+ (portRef C_14_ (instanceRef blk000000f7))
+ (portRef C_13_ (instanceRef blk000000f7))
+ (portRef C_12_ (instanceRef blk000000f7))
+ (portRef C_11_ (instanceRef blk000000f7))
+ (portRef C_10_ (instanceRef blk000000f7))
+ (portRef C_9_ (instanceRef blk000000f7))
+ (portRef C_8_ (instanceRef blk000000f7))
+ (portRef C_7_ (instanceRef blk000000f7))
+ (portRef C_6_ (instanceRef blk000000f7))
+ (portRef C_5_ (instanceRef blk000000f7))
+ (portRef C_4_ (instanceRef blk000000f7))
+ (portRef C_3_ (instanceRef blk000000f7))
+ (portRef C_2_ (instanceRef blk000000f7))
+ (portRef C_1_ (instanceRef blk000000f7))
+ (portRef C_0_ (instanceRef blk000000f7))
+ (portRef INMODE_4_ (instanceRef blk000000f7))
+ (portRef INMODE_3_ (instanceRef blk000000f7))
+ (portRef INMODE_1_ (instanceRef blk000000f7))
+ (portRef INMODE_0_ (instanceRef blk000000f7))
+ (portRef BCIN_17_ (instanceRef blk000000f7))
+ (portRef BCIN_16_ (instanceRef blk000000f7))
+ (portRef BCIN_15_ (instanceRef blk000000f7))
+ (portRef BCIN_14_ (instanceRef blk000000f7))
+ (portRef BCIN_13_ (instanceRef blk000000f7))
+ (portRef BCIN_12_ (instanceRef blk000000f7))
+ (portRef BCIN_11_ (instanceRef blk000000f7))
+ (portRef BCIN_10_ (instanceRef blk000000f7))
+ (portRef BCIN_9_ (instanceRef blk000000f7))
+ (portRef BCIN_8_ (instanceRef blk000000f7))
+ (portRef BCIN_7_ (instanceRef blk000000f7))
+ (portRef BCIN_6_ (instanceRef blk000000f7))
+ (portRef BCIN_5_ (instanceRef blk000000f7))
+ (portRef BCIN_4_ (instanceRef blk000000f7))
+ (portRef BCIN_3_ (instanceRef blk000000f7))
+ (portRef BCIN_2_ (instanceRef blk000000f7))
+ (portRef BCIN_1_ (instanceRef blk000000f7))
+ (portRef BCIN_0_ (instanceRef blk000000f7))
+ (portRef ACIN_29_ (instanceRef blk000000f7))
+ (portRef ACIN_28_ (instanceRef blk000000f7))
+ (portRef ACIN_27_ (instanceRef blk000000f7))
+ (portRef ACIN_26_ (instanceRef blk000000f7))
+ (portRef ACIN_25_ (instanceRef blk000000f7))
+ (portRef ACIN_24_ (instanceRef blk000000f7))
+ (portRef ACIN_23_ (instanceRef blk000000f7))
+ (portRef ACIN_22_ (instanceRef blk000000f7))
+ (portRef ACIN_21_ (instanceRef blk000000f7))
+ (portRef ACIN_20_ (instanceRef blk000000f7))
+ (portRef ACIN_19_ (instanceRef blk000000f7))
+ (portRef ACIN_18_ (instanceRef blk000000f7))
+ (portRef ACIN_17_ (instanceRef blk000000f7))
+ (portRef ACIN_16_ (instanceRef blk000000f7))
+ (portRef ACIN_15_ (instanceRef blk000000f7))
+ (portRef ACIN_14_ (instanceRef blk000000f7))
+ (portRef ACIN_13_ (instanceRef blk000000f7))
+ (portRef ACIN_12_ (instanceRef blk000000f7))
+ (portRef ACIN_11_ (instanceRef blk000000f7))
+ (portRef ACIN_10_ (instanceRef blk000000f7))
+ (portRef ACIN_9_ (instanceRef blk000000f7))
+ (portRef ACIN_8_ (instanceRef blk000000f7))
+ (portRef ACIN_7_ (instanceRef blk000000f7))
+ (portRef ACIN_6_ (instanceRef blk000000f7))
+ (portRef ACIN_5_ (instanceRef blk000000f7))
+ (portRef ACIN_4_ (instanceRef blk000000f7))
+ (portRef ACIN_3_ (instanceRef blk000000f7))
+ (portRef ACIN_2_ (instanceRef blk000000f7))
+ (portRef ACIN_1_ (instanceRef blk000000f7))
+ (portRef ACIN_0_ (instanceRef blk000000f7))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000f7))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000f7))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000f7))
+ (portRef RSTC (instanceRef blk000000f8))
+ (portRef CEB1 (instanceRef blk000000f8))
+ (portRef RSTM (instanceRef blk000000f8))
+ (portRef MULTSIGNIN (instanceRef blk000000f8))
+ (portRef RSTCTRL (instanceRef blk000000f8))
+ (portRef RSTA (instanceRef blk000000f8))
+ (portRef RSTALUMODE (instanceRef blk000000f8))
+ (portRef RSTALLCARRYIN (instanceRef blk000000f8))
+ (portRef RSTD (instanceRef blk000000f8))
+ (portRef CEA1 (instanceRef blk000000f8))
+ (portRef RSTB (instanceRef blk000000f8))
+ (portRef CARRYIN (instanceRef blk000000f8))
+ (portRef CARRYCASCIN (instanceRef blk000000f8))
+ (portRef RSTINMODE (instanceRef blk000000f8))
+ (portRef RSTP (instanceRef blk000000f8))
+ (portRef OPMODE_6_ (instanceRef blk000000f8))
+ (portRef OPMODE_3_ (instanceRef blk000000f8))
+ (portRef OPMODE_1_ (instanceRef blk000000f8))
+ (portRef PCIN_47_ (instanceRef blk000000f8))
+ (portRef PCIN_46_ (instanceRef blk000000f8))
+ (portRef PCIN_45_ (instanceRef blk000000f8))
+ (portRef PCIN_44_ (instanceRef blk000000f8))
+ (portRef PCIN_43_ (instanceRef blk000000f8))
+ (portRef PCIN_42_ (instanceRef blk000000f8))
+ (portRef PCIN_41_ (instanceRef blk000000f8))
+ (portRef PCIN_40_ (instanceRef blk000000f8))
+ (portRef PCIN_39_ (instanceRef blk000000f8))
+ (portRef PCIN_38_ (instanceRef blk000000f8))
+ (portRef PCIN_37_ (instanceRef blk000000f8))
+ (portRef PCIN_36_ (instanceRef blk000000f8))
+ (portRef PCIN_35_ (instanceRef blk000000f8))
+ (portRef PCIN_34_ (instanceRef blk000000f8))
+ (portRef PCIN_33_ (instanceRef blk000000f8))
+ (portRef PCIN_32_ (instanceRef blk000000f8))
+ (portRef PCIN_31_ (instanceRef blk000000f8))
+ (portRef PCIN_30_ (instanceRef blk000000f8))
+ (portRef PCIN_29_ (instanceRef blk000000f8))
+ (portRef PCIN_28_ (instanceRef blk000000f8))
+ (portRef PCIN_27_ (instanceRef blk000000f8))
+ (portRef PCIN_26_ (instanceRef blk000000f8))
+ (portRef PCIN_25_ (instanceRef blk000000f8))
+ (portRef PCIN_24_ (instanceRef blk000000f8))
+ (portRef PCIN_23_ (instanceRef blk000000f8))
+ (portRef PCIN_22_ (instanceRef blk000000f8))
+ (portRef PCIN_21_ (instanceRef blk000000f8))
+ (portRef PCIN_20_ (instanceRef blk000000f8))
+ (portRef PCIN_19_ (instanceRef blk000000f8))
+ (portRef PCIN_18_ (instanceRef blk000000f8))
+ (portRef PCIN_17_ (instanceRef blk000000f8))
+ (portRef PCIN_16_ (instanceRef blk000000f8))
+ (portRef PCIN_15_ (instanceRef blk000000f8))
+ (portRef PCIN_14_ (instanceRef blk000000f8))
+ (portRef PCIN_13_ (instanceRef blk000000f8))
+ (portRef PCIN_12_ (instanceRef blk000000f8))
+ (portRef PCIN_11_ (instanceRef blk000000f8))
+ (portRef PCIN_10_ (instanceRef blk000000f8))
+ (portRef PCIN_9_ (instanceRef blk000000f8))
+ (portRef PCIN_8_ (instanceRef blk000000f8))
+ (portRef PCIN_7_ (instanceRef blk000000f8))
+ (portRef PCIN_6_ (instanceRef blk000000f8))
+ (portRef PCIN_5_ (instanceRef blk000000f8))
+ (portRef PCIN_4_ (instanceRef blk000000f8))
+ (portRef PCIN_3_ (instanceRef blk000000f8))
+ (portRef PCIN_2_ (instanceRef blk000000f8))
+ (portRef PCIN_1_ (instanceRef blk000000f8))
+ (portRef PCIN_0_ (instanceRef blk000000f8))
+ (portRef ALUMODE_3_ (instanceRef blk000000f8))
+ (portRef ALUMODE_2_ (instanceRef blk000000f8))
+ (portRef ALUMODE_1_ (instanceRef blk000000f8))
+ (portRef ALUMODE_0_ (instanceRef blk000000f8))
+ (portRef C_47_ (instanceRef blk000000f8))
+ (portRef C_46_ (instanceRef blk000000f8))
+ (portRef C_45_ (instanceRef blk000000f8))
+ (portRef C_44_ (instanceRef blk000000f8))
+ (portRef C_43_ (instanceRef blk000000f8))
+ (portRef C_42_ (instanceRef blk000000f8))
+ (portRef C_41_ (instanceRef blk000000f8))
+ (portRef C_40_ (instanceRef blk000000f8))
+ (portRef C_39_ (instanceRef blk000000f8))
+ (portRef C_38_ (instanceRef blk000000f8))
+ (portRef C_37_ (instanceRef blk000000f8))
+ (portRef C_36_ (instanceRef blk000000f8))
+ (portRef C_35_ (instanceRef blk000000f8))
+ (portRef C_34_ (instanceRef blk000000f8))
+ (portRef C_33_ (instanceRef blk000000f8))
+ (portRef C_32_ (instanceRef blk000000f8))
+ (portRef C_31_ (instanceRef blk000000f8))
+ (portRef C_30_ (instanceRef blk000000f8))
+ (portRef C_29_ (instanceRef blk000000f8))
+ (portRef C_28_ (instanceRef blk000000f8))
+ (portRef C_27_ (instanceRef blk000000f8))
+ (portRef C_26_ (instanceRef blk000000f8))
+ (portRef C_25_ (instanceRef blk000000f8))
+ (portRef C_24_ (instanceRef blk000000f8))
+ (portRef C_23_ (instanceRef blk000000f8))
+ (portRef C_22_ (instanceRef blk000000f8))
+ (portRef C_21_ (instanceRef blk000000f8))
+ (portRef C_20_ (instanceRef blk000000f8))
+ (portRef C_19_ (instanceRef blk000000f8))
+ (portRef C_18_ (instanceRef blk000000f8))
+ (portRef C_17_ (instanceRef blk000000f8))
+ (portRef C_16_ (instanceRef blk000000f8))
+ (portRef C_15_ (instanceRef blk000000f8))
+ (portRef C_14_ (instanceRef blk000000f8))
+ (portRef C_13_ (instanceRef blk000000f8))
+ (portRef C_12_ (instanceRef blk000000f8))
+ (portRef C_11_ (instanceRef blk000000f8))
+ (portRef C_10_ (instanceRef blk000000f8))
+ (portRef C_9_ (instanceRef blk000000f8))
+ (portRef C_8_ (instanceRef blk000000f8))
+ (portRef C_7_ (instanceRef blk000000f8))
+ (portRef C_6_ (instanceRef blk000000f8))
+ (portRef C_5_ (instanceRef blk000000f8))
+ (portRef C_4_ (instanceRef blk000000f8))
+ (portRef C_3_ (instanceRef blk000000f8))
+ (portRef C_2_ (instanceRef blk000000f8))
+ (portRef C_1_ (instanceRef blk000000f8))
+ (portRef C_0_ (instanceRef blk000000f8))
+ (portRef INMODE_4_ (instanceRef blk000000f8))
+ (portRef INMODE_3_ (instanceRef blk000000f8))
+ (portRef INMODE_1_ (instanceRef blk000000f8))
+ (portRef INMODE_0_ (instanceRef blk000000f8))
+ (portRef BCIN_17_ (instanceRef blk000000f8))
+ (portRef BCIN_16_ (instanceRef blk000000f8))
+ (portRef BCIN_15_ (instanceRef blk000000f8))
+ (portRef BCIN_14_ (instanceRef blk000000f8))
+ (portRef BCIN_13_ (instanceRef blk000000f8))
+ (portRef BCIN_12_ (instanceRef blk000000f8))
+ (portRef BCIN_11_ (instanceRef blk000000f8))
+ (portRef BCIN_10_ (instanceRef blk000000f8))
+ (portRef BCIN_9_ (instanceRef blk000000f8))
+ (portRef BCIN_8_ (instanceRef blk000000f8))
+ (portRef BCIN_7_ (instanceRef blk000000f8))
+ (portRef BCIN_6_ (instanceRef blk000000f8))
+ (portRef BCIN_5_ (instanceRef blk000000f8))
+ (portRef BCIN_4_ (instanceRef blk000000f8))
+ (portRef BCIN_3_ (instanceRef blk000000f8))
+ (portRef BCIN_2_ (instanceRef blk000000f8))
+ (portRef BCIN_1_ (instanceRef blk000000f8))
+ (portRef BCIN_0_ (instanceRef blk000000f8))
+ (portRef ACIN_29_ (instanceRef blk000000f8))
+ (portRef ACIN_28_ (instanceRef blk000000f8))
+ (portRef ACIN_27_ (instanceRef blk000000f8))
+ (portRef ACIN_26_ (instanceRef blk000000f8))
+ (portRef ACIN_25_ (instanceRef blk000000f8))
+ (portRef ACIN_24_ (instanceRef blk000000f8))
+ (portRef ACIN_23_ (instanceRef blk000000f8))
+ (portRef ACIN_22_ (instanceRef blk000000f8))
+ (portRef ACIN_21_ (instanceRef blk000000f8))
+ (portRef ACIN_20_ (instanceRef blk000000f8))
+ (portRef ACIN_19_ (instanceRef blk000000f8))
+ (portRef ACIN_18_ (instanceRef blk000000f8))
+ (portRef ACIN_17_ (instanceRef blk000000f8))
+ (portRef ACIN_16_ (instanceRef blk000000f8))
+ (portRef ACIN_15_ (instanceRef blk000000f8))
+ (portRef ACIN_14_ (instanceRef blk000000f8))
+ (portRef ACIN_13_ (instanceRef blk000000f8))
+ (portRef ACIN_12_ (instanceRef blk000000f8))
+ (portRef ACIN_11_ (instanceRef blk000000f8))
+ (portRef ACIN_10_ (instanceRef blk000000f8))
+ (portRef ACIN_9_ (instanceRef blk000000f8))
+ (portRef ACIN_8_ (instanceRef blk000000f8))
+ (portRef ACIN_7_ (instanceRef blk000000f8))
+ (portRef ACIN_6_ (instanceRef blk000000f8))
+ (portRef ACIN_5_ (instanceRef blk000000f8))
+ (portRef ACIN_4_ (instanceRef blk000000f8))
+ (portRef ACIN_3_ (instanceRef blk000000f8))
+ (portRef ACIN_2_ (instanceRef blk000000f8))
+ (portRef ACIN_1_ (instanceRef blk000000f8))
+ (portRef ACIN_0_ (instanceRef blk000000f8))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000f8))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000f8))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000f8))
+ (portRef RSTC (instanceRef blk000000f9))
+ (portRef CEB1 (instanceRef blk000000f9))
+ (portRef RSTM (instanceRef blk000000f9))
+ (portRef MULTSIGNIN (instanceRef blk000000f9))
+ (portRef RSTCTRL (instanceRef blk000000f9))
+ (portRef RSTA (instanceRef blk000000f9))
+ (portRef RSTALUMODE (instanceRef blk000000f9))
+ (portRef RSTALLCARRYIN (instanceRef blk000000f9))
+ (portRef RSTD (instanceRef blk000000f9))
+ (portRef CEA1 (instanceRef blk000000f9))
+ (portRef RSTB (instanceRef blk000000f9))
+ (portRef CARRYIN (instanceRef blk000000f9))
+ (portRef CARRYCASCIN (instanceRef blk000000f9))
+ (portRef RSTINMODE (instanceRef blk000000f9))
+ (portRef RSTP (instanceRef blk000000f9))
+ (portRef OPMODE_6_ (instanceRef blk000000f9))
+ (portRef OPMODE_5_ (instanceRef blk000000f9))
+ (portRef OPMODE_3_ (instanceRef blk000000f9))
+ (portRef OPMODE_1_ (instanceRef blk000000f9))
+ (portRef ALUMODE_3_ (instanceRef blk000000f9))
+ (portRef ALUMODE_2_ (instanceRef blk000000f9))
+ (portRef ALUMODE_1_ (instanceRef blk000000f9))
+ (portRef ALUMODE_0_ (instanceRef blk000000f9))
+ (portRef C_47_ (instanceRef blk000000f9))
+ (portRef C_46_ (instanceRef blk000000f9))
+ (portRef C_45_ (instanceRef blk000000f9))
+ (portRef C_44_ (instanceRef blk000000f9))
+ (portRef C_43_ (instanceRef blk000000f9))
+ (portRef C_42_ (instanceRef blk000000f9))
+ (portRef C_41_ (instanceRef blk000000f9))
+ (portRef C_40_ (instanceRef blk000000f9))
+ (portRef C_39_ (instanceRef blk000000f9))
+ (portRef C_38_ (instanceRef blk000000f9))
+ (portRef C_37_ (instanceRef blk000000f9))
+ (portRef C_36_ (instanceRef blk000000f9))
+ (portRef C_35_ (instanceRef blk000000f9))
+ (portRef C_34_ (instanceRef blk000000f9))
+ (portRef C_33_ (instanceRef blk000000f9))
+ (portRef C_32_ (instanceRef blk000000f9))
+ (portRef C_31_ (instanceRef blk000000f9))
+ (portRef C_30_ (instanceRef blk000000f9))
+ (portRef C_29_ (instanceRef blk000000f9))
+ (portRef C_28_ (instanceRef blk000000f9))
+ (portRef C_27_ (instanceRef blk000000f9))
+ (portRef C_26_ (instanceRef blk000000f9))
+ (portRef C_25_ (instanceRef blk000000f9))
+ (portRef C_24_ (instanceRef blk000000f9))
+ (portRef C_23_ (instanceRef blk000000f9))
+ (portRef C_22_ (instanceRef blk000000f9))
+ (portRef C_21_ (instanceRef blk000000f9))
+ (portRef C_20_ (instanceRef blk000000f9))
+ (portRef C_19_ (instanceRef blk000000f9))
+ (portRef C_18_ (instanceRef blk000000f9))
+ (portRef C_17_ (instanceRef blk000000f9))
+ (portRef C_16_ (instanceRef blk000000f9))
+ (portRef C_15_ (instanceRef blk000000f9))
+ (portRef C_14_ (instanceRef blk000000f9))
+ (portRef C_13_ (instanceRef blk000000f9))
+ (portRef C_12_ (instanceRef blk000000f9))
+ (portRef C_11_ (instanceRef blk000000f9))
+ (portRef C_10_ (instanceRef blk000000f9))
+ (portRef C_9_ (instanceRef blk000000f9))
+ (portRef C_8_ (instanceRef blk000000f9))
+ (portRef C_7_ (instanceRef blk000000f9))
+ (portRef C_6_ (instanceRef blk000000f9))
+ (portRef C_5_ (instanceRef blk000000f9))
+ (portRef C_4_ (instanceRef blk000000f9))
+ (portRef C_3_ (instanceRef blk000000f9))
+ (portRef C_2_ (instanceRef blk000000f9))
+ (portRef C_1_ (instanceRef blk000000f9))
+ (portRef C_0_ (instanceRef blk000000f9))
+ (portRef INMODE_4_ (instanceRef blk000000f9))
+ (portRef INMODE_3_ (instanceRef blk000000f9))
+ (portRef INMODE_1_ (instanceRef blk000000f9))
+ (portRef INMODE_0_ (instanceRef blk000000f9))
+ (portRef BCIN_17_ (instanceRef blk000000f9))
+ (portRef BCIN_16_ (instanceRef blk000000f9))
+ (portRef BCIN_15_ (instanceRef blk000000f9))
+ (portRef BCIN_14_ (instanceRef blk000000f9))
+ (portRef BCIN_13_ (instanceRef blk000000f9))
+ (portRef BCIN_12_ (instanceRef blk000000f9))
+ (portRef BCIN_11_ (instanceRef blk000000f9))
+ (portRef BCIN_10_ (instanceRef blk000000f9))
+ (portRef BCIN_9_ (instanceRef blk000000f9))
+ (portRef BCIN_8_ (instanceRef blk000000f9))
+ (portRef BCIN_7_ (instanceRef blk000000f9))
+ (portRef BCIN_6_ (instanceRef blk000000f9))
+ (portRef BCIN_5_ (instanceRef blk000000f9))
+ (portRef BCIN_4_ (instanceRef blk000000f9))
+ (portRef BCIN_3_ (instanceRef blk000000f9))
+ (portRef BCIN_2_ (instanceRef blk000000f9))
+ (portRef BCIN_1_ (instanceRef blk000000f9))
+ (portRef BCIN_0_ (instanceRef blk000000f9))
+ (portRef ACIN_29_ (instanceRef blk000000f9))
+ (portRef ACIN_28_ (instanceRef blk000000f9))
+ (portRef ACIN_27_ (instanceRef blk000000f9))
+ (portRef ACIN_26_ (instanceRef blk000000f9))
+ (portRef ACIN_25_ (instanceRef blk000000f9))
+ (portRef ACIN_24_ (instanceRef blk000000f9))
+ (portRef ACIN_23_ (instanceRef blk000000f9))
+ (portRef ACIN_22_ (instanceRef blk000000f9))
+ (portRef ACIN_21_ (instanceRef blk000000f9))
+ (portRef ACIN_20_ (instanceRef blk000000f9))
+ (portRef ACIN_19_ (instanceRef blk000000f9))
+ (portRef ACIN_18_ (instanceRef blk000000f9))
+ (portRef ACIN_17_ (instanceRef blk000000f9))
+ (portRef ACIN_16_ (instanceRef blk000000f9))
+ (portRef ACIN_15_ (instanceRef blk000000f9))
+ (portRef ACIN_14_ (instanceRef blk000000f9))
+ (portRef ACIN_13_ (instanceRef blk000000f9))
+ (portRef ACIN_12_ (instanceRef blk000000f9))
+ (portRef ACIN_11_ (instanceRef blk000000f9))
+ (portRef ACIN_10_ (instanceRef blk000000f9))
+ (portRef ACIN_9_ (instanceRef blk000000f9))
+ (portRef ACIN_8_ (instanceRef blk000000f9))
+ (portRef ACIN_7_ (instanceRef blk000000f9))
+ (portRef ACIN_6_ (instanceRef blk000000f9))
+ (portRef ACIN_5_ (instanceRef blk000000f9))
+ (portRef ACIN_4_ (instanceRef blk000000f9))
+ (portRef ACIN_3_ (instanceRef blk000000f9))
+ (portRef ACIN_2_ (instanceRef blk000000f9))
+ (portRef ACIN_1_ (instanceRef blk000000f9))
+ (portRef ACIN_0_ (instanceRef blk000000f9))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000f9))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000f9))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000f9))
+ (portRef RSTC (instanceRef blk000000fa))
+ (portRef CEB1 (instanceRef blk000000fa))
+ (portRef RSTM (instanceRef blk000000fa))
+ (portRef MULTSIGNIN (instanceRef blk000000fa))
+ (portRef RSTCTRL (instanceRef blk000000fa))
+ (portRef RSTA (instanceRef blk000000fa))
+ (portRef RSTALUMODE (instanceRef blk000000fa))
+ (portRef RSTALLCARRYIN (instanceRef blk000000fa))
+ (portRef RSTD (instanceRef blk000000fa))
+ (portRef CEA1 (instanceRef blk000000fa))
+ (portRef RSTB (instanceRef blk000000fa))
+ (portRef CARRYIN (instanceRef blk000000fa))
+ (portRef CARRYCASCIN (instanceRef blk000000fa))
+ (portRef RSTINMODE (instanceRef blk000000fa))
+ (portRef RSTP (instanceRef blk000000fa))
+ (portRef OPMODE_6_ (instanceRef blk000000fa))
+ (portRef OPMODE_5_ (instanceRef blk000000fa))
+ (portRef OPMODE_3_ (instanceRef blk000000fa))
+ (portRef OPMODE_1_ (instanceRef blk000000fa))
+ (portRef ALUMODE_3_ (instanceRef blk000000fa))
+ (portRef ALUMODE_2_ (instanceRef blk000000fa))
+ (portRef ALUMODE_1_ (instanceRef blk000000fa))
+ (portRef ALUMODE_0_ (instanceRef blk000000fa))
+ (portRef C_47_ (instanceRef blk000000fa))
+ (portRef C_46_ (instanceRef blk000000fa))
+ (portRef C_45_ (instanceRef blk000000fa))
+ (portRef C_44_ (instanceRef blk000000fa))
+ (portRef C_43_ (instanceRef blk000000fa))
+ (portRef C_42_ (instanceRef blk000000fa))
+ (portRef C_41_ (instanceRef blk000000fa))
+ (portRef C_40_ (instanceRef blk000000fa))
+ (portRef C_39_ (instanceRef blk000000fa))
+ (portRef C_38_ (instanceRef blk000000fa))
+ (portRef C_37_ (instanceRef blk000000fa))
+ (portRef C_36_ (instanceRef blk000000fa))
+ (portRef C_35_ (instanceRef blk000000fa))
+ (portRef C_34_ (instanceRef blk000000fa))
+ (portRef C_33_ (instanceRef blk000000fa))
+ (portRef C_32_ (instanceRef blk000000fa))
+ (portRef C_31_ (instanceRef blk000000fa))
+ (portRef C_30_ (instanceRef blk000000fa))
+ (portRef C_29_ (instanceRef blk000000fa))
+ (portRef C_28_ (instanceRef blk000000fa))
+ (portRef C_27_ (instanceRef blk000000fa))
+ (portRef C_26_ (instanceRef blk000000fa))
+ (portRef C_25_ (instanceRef blk000000fa))
+ (portRef C_24_ (instanceRef blk000000fa))
+ (portRef C_23_ (instanceRef blk000000fa))
+ (portRef C_22_ (instanceRef blk000000fa))
+ (portRef C_21_ (instanceRef blk000000fa))
+ (portRef C_20_ (instanceRef blk000000fa))
+ (portRef C_19_ (instanceRef blk000000fa))
+ (portRef C_18_ (instanceRef blk000000fa))
+ (portRef C_17_ (instanceRef blk000000fa))
+ (portRef C_16_ (instanceRef blk000000fa))
+ (portRef C_15_ (instanceRef blk000000fa))
+ (portRef C_14_ (instanceRef blk000000fa))
+ (portRef C_13_ (instanceRef blk000000fa))
+ (portRef C_12_ (instanceRef blk000000fa))
+ (portRef C_11_ (instanceRef blk000000fa))
+ (portRef C_10_ (instanceRef blk000000fa))
+ (portRef C_9_ (instanceRef blk000000fa))
+ (portRef C_8_ (instanceRef blk000000fa))
+ (portRef C_7_ (instanceRef blk000000fa))
+ (portRef C_6_ (instanceRef blk000000fa))
+ (portRef C_5_ (instanceRef blk000000fa))
+ (portRef C_4_ (instanceRef blk000000fa))
+ (portRef C_3_ (instanceRef blk000000fa))
+ (portRef C_2_ (instanceRef blk000000fa))
+ (portRef C_1_ (instanceRef blk000000fa))
+ (portRef C_0_ (instanceRef blk000000fa))
+ (portRef INMODE_4_ (instanceRef blk000000fa))
+ (portRef INMODE_3_ (instanceRef blk000000fa))
+ (portRef INMODE_1_ (instanceRef blk000000fa))
+ (portRef INMODE_0_ (instanceRef blk000000fa))
+ (portRef BCIN_17_ (instanceRef blk000000fa))
+ (portRef BCIN_16_ (instanceRef blk000000fa))
+ (portRef BCIN_15_ (instanceRef blk000000fa))
+ (portRef BCIN_14_ (instanceRef blk000000fa))
+ (portRef BCIN_13_ (instanceRef blk000000fa))
+ (portRef BCIN_12_ (instanceRef blk000000fa))
+ (portRef BCIN_11_ (instanceRef blk000000fa))
+ (portRef BCIN_10_ (instanceRef blk000000fa))
+ (portRef BCIN_9_ (instanceRef blk000000fa))
+ (portRef BCIN_8_ (instanceRef blk000000fa))
+ (portRef BCIN_7_ (instanceRef blk000000fa))
+ (portRef BCIN_6_ (instanceRef blk000000fa))
+ (portRef BCIN_5_ (instanceRef blk000000fa))
+ (portRef BCIN_4_ (instanceRef blk000000fa))
+ (portRef BCIN_3_ (instanceRef blk000000fa))
+ (portRef BCIN_2_ (instanceRef blk000000fa))
+ (portRef BCIN_1_ (instanceRef blk000000fa))
+ (portRef BCIN_0_ (instanceRef blk000000fa))
+ (portRef ACIN_29_ (instanceRef blk000000fa))
+ (portRef ACIN_28_ (instanceRef blk000000fa))
+ (portRef ACIN_27_ (instanceRef blk000000fa))
+ (portRef ACIN_26_ (instanceRef blk000000fa))
+ (portRef ACIN_25_ (instanceRef blk000000fa))
+ (portRef ACIN_24_ (instanceRef blk000000fa))
+ (portRef ACIN_23_ (instanceRef blk000000fa))
+ (portRef ACIN_22_ (instanceRef blk000000fa))
+ (portRef ACIN_21_ (instanceRef blk000000fa))
+ (portRef ACIN_20_ (instanceRef blk000000fa))
+ (portRef ACIN_19_ (instanceRef blk000000fa))
+ (portRef ACIN_18_ (instanceRef blk000000fa))
+ (portRef ACIN_17_ (instanceRef blk000000fa))
+ (portRef ACIN_16_ (instanceRef blk000000fa))
+ (portRef ACIN_15_ (instanceRef blk000000fa))
+ (portRef ACIN_14_ (instanceRef blk000000fa))
+ (portRef ACIN_13_ (instanceRef blk000000fa))
+ (portRef ACIN_12_ (instanceRef blk000000fa))
+ (portRef ACIN_11_ (instanceRef blk000000fa))
+ (portRef ACIN_10_ (instanceRef blk000000fa))
+ (portRef ACIN_9_ (instanceRef blk000000fa))
+ (portRef ACIN_8_ (instanceRef blk000000fa))
+ (portRef ACIN_7_ (instanceRef blk000000fa))
+ (portRef ACIN_6_ (instanceRef blk000000fa))
+ (portRef ACIN_5_ (instanceRef blk000000fa))
+ (portRef ACIN_4_ (instanceRef blk000000fa))
+ (portRef ACIN_3_ (instanceRef blk000000fa))
+ (portRef ACIN_2_ (instanceRef blk000000fa))
+ (portRef ACIN_1_ (instanceRef blk000000fa))
+ (portRef ACIN_0_ (instanceRef blk000000fa))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000fa))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000fa))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000fa))
+ (portRef RSTC (instanceRef blk000000fb))
+ (portRef CEB1 (instanceRef blk000000fb))
+ (portRef RSTM (instanceRef blk000000fb))
+ (portRef MULTSIGNIN (instanceRef blk000000fb))
+ (portRef RSTCTRL (instanceRef blk000000fb))
+ (portRef RSTA (instanceRef blk000000fb))
+ (portRef RSTALUMODE (instanceRef blk000000fb))
+ (portRef RSTALLCARRYIN (instanceRef blk000000fb))
+ (portRef RSTD (instanceRef blk000000fb))
+ (portRef CEA1 (instanceRef blk000000fb))
+ (portRef RSTB (instanceRef blk000000fb))
+ (portRef CARRYIN (instanceRef blk000000fb))
+ (portRef CARRYCASCIN (instanceRef blk000000fb))
+ (portRef RSTINMODE (instanceRef blk000000fb))
+ (portRef RSTP (instanceRef blk000000fb))
+ (portRef OPMODE_6_ (instanceRef blk000000fb))
+ (portRef OPMODE_5_ (instanceRef blk000000fb))
+ (portRef OPMODE_3_ (instanceRef blk000000fb))
+ (portRef OPMODE_1_ (instanceRef blk000000fb))
+ (portRef ALUMODE_3_ (instanceRef blk000000fb))
+ (portRef ALUMODE_2_ (instanceRef blk000000fb))
+ (portRef ALUMODE_1_ (instanceRef blk000000fb))
+ (portRef ALUMODE_0_ (instanceRef blk000000fb))
+ (portRef C_47_ (instanceRef blk000000fb))
+ (portRef C_46_ (instanceRef blk000000fb))
+ (portRef C_45_ (instanceRef blk000000fb))
+ (portRef C_44_ (instanceRef blk000000fb))
+ (portRef C_43_ (instanceRef blk000000fb))
+ (portRef C_42_ (instanceRef blk000000fb))
+ (portRef C_41_ (instanceRef blk000000fb))
+ (portRef C_40_ (instanceRef blk000000fb))
+ (portRef C_39_ (instanceRef blk000000fb))
+ (portRef C_38_ (instanceRef blk000000fb))
+ (portRef C_37_ (instanceRef blk000000fb))
+ (portRef C_36_ (instanceRef blk000000fb))
+ (portRef C_35_ (instanceRef blk000000fb))
+ (portRef C_34_ (instanceRef blk000000fb))
+ (portRef C_33_ (instanceRef blk000000fb))
+ (portRef C_32_ (instanceRef blk000000fb))
+ (portRef C_31_ (instanceRef blk000000fb))
+ (portRef C_30_ (instanceRef blk000000fb))
+ (portRef C_29_ (instanceRef blk000000fb))
+ (portRef C_28_ (instanceRef blk000000fb))
+ (portRef C_27_ (instanceRef blk000000fb))
+ (portRef C_26_ (instanceRef blk000000fb))
+ (portRef C_25_ (instanceRef blk000000fb))
+ (portRef C_24_ (instanceRef blk000000fb))
+ (portRef C_23_ (instanceRef blk000000fb))
+ (portRef C_22_ (instanceRef blk000000fb))
+ (portRef C_21_ (instanceRef blk000000fb))
+ (portRef C_20_ (instanceRef blk000000fb))
+ (portRef C_19_ (instanceRef blk000000fb))
+ (portRef C_18_ (instanceRef blk000000fb))
+ (portRef C_17_ (instanceRef blk000000fb))
+ (portRef C_16_ (instanceRef blk000000fb))
+ (portRef C_15_ (instanceRef blk000000fb))
+ (portRef C_14_ (instanceRef blk000000fb))
+ (portRef C_13_ (instanceRef blk000000fb))
+ (portRef C_12_ (instanceRef blk000000fb))
+ (portRef C_11_ (instanceRef blk000000fb))
+ (portRef C_10_ (instanceRef blk000000fb))
+ (portRef C_9_ (instanceRef blk000000fb))
+ (portRef C_8_ (instanceRef blk000000fb))
+ (portRef C_7_ (instanceRef blk000000fb))
+ (portRef C_6_ (instanceRef blk000000fb))
+ (portRef C_5_ (instanceRef blk000000fb))
+ (portRef C_4_ (instanceRef blk000000fb))
+ (portRef C_3_ (instanceRef blk000000fb))
+ (portRef C_2_ (instanceRef blk000000fb))
+ (portRef C_1_ (instanceRef blk000000fb))
+ (portRef C_0_ (instanceRef blk000000fb))
+ (portRef INMODE_4_ (instanceRef blk000000fb))
+ (portRef INMODE_3_ (instanceRef blk000000fb))
+ (portRef INMODE_1_ (instanceRef blk000000fb))
+ (portRef INMODE_0_ (instanceRef blk000000fb))
+ (portRef BCIN_17_ (instanceRef blk000000fb))
+ (portRef BCIN_16_ (instanceRef blk000000fb))
+ (portRef BCIN_15_ (instanceRef blk000000fb))
+ (portRef BCIN_14_ (instanceRef blk000000fb))
+ (portRef BCIN_13_ (instanceRef blk000000fb))
+ (portRef BCIN_12_ (instanceRef blk000000fb))
+ (portRef BCIN_11_ (instanceRef blk000000fb))
+ (portRef BCIN_10_ (instanceRef blk000000fb))
+ (portRef BCIN_9_ (instanceRef blk000000fb))
+ (portRef BCIN_8_ (instanceRef blk000000fb))
+ (portRef BCIN_7_ (instanceRef blk000000fb))
+ (portRef BCIN_6_ (instanceRef blk000000fb))
+ (portRef BCIN_5_ (instanceRef blk000000fb))
+ (portRef BCIN_4_ (instanceRef blk000000fb))
+ (portRef BCIN_3_ (instanceRef blk000000fb))
+ (portRef BCIN_2_ (instanceRef blk000000fb))
+ (portRef BCIN_1_ (instanceRef blk000000fb))
+ (portRef BCIN_0_ (instanceRef blk000000fb))
+ (portRef ACIN_29_ (instanceRef blk000000fb))
+ (portRef ACIN_28_ (instanceRef blk000000fb))
+ (portRef ACIN_27_ (instanceRef blk000000fb))
+ (portRef ACIN_26_ (instanceRef blk000000fb))
+ (portRef ACIN_25_ (instanceRef blk000000fb))
+ (portRef ACIN_24_ (instanceRef blk000000fb))
+ (portRef ACIN_23_ (instanceRef blk000000fb))
+ (portRef ACIN_22_ (instanceRef blk000000fb))
+ (portRef ACIN_21_ (instanceRef blk000000fb))
+ (portRef ACIN_20_ (instanceRef blk000000fb))
+ (portRef ACIN_19_ (instanceRef blk000000fb))
+ (portRef ACIN_18_ (instanceRef blk000000fb))
+ (portRef ACIN_17_ (instanceRef blk000000fb))
+ (portRef ACIN_16_ (instanceRef blk000000fb))
+ (portRef ACIN_15_ (instanceRef blk000000fb))
+ (portRef ACIN_14_ (instanceRef blk000000fb))
+ (portRef ACIN_13_ (instanceRef blk000000fb))
+ (portRef ACIN_12_ (instanceRef blk000000fb))
+ (portRef ACIN_11_ (instanceRef blk000000fb))
+ (portRef ACIN_10_ (instanceRef blk000000fb))
+ (portRef ACIN_9_ (instanceRef blk000000fb))
+ (portRef ACIN_8_ (instanceRef blk000000fb))
+ (portRef ACIN_7_ (instanceRef blk000000fb))
+ (portRef ACIN_6_ (instanceRef blk000000fb))
+ (portRef ACIN_5_ (instanceRef blk000000fb))
+ (portRef ACIN_4_ (instanceRef blk000000fb))
+ (portRef ACIN_3_ (instanceRef blk000000fb))
+ (portRef ACIN_2_ (instanceRef blk000000fb))
+ (portRef ACIN_1_ (instanceRef blk000000fb))
+ (portRef ACIN_0_ (instanceRef blk000000fb))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000fb))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000fb))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000fb))
+ (portRef RSTC (instanceRef blk000000fc))
+ (portRef CEB1 (instanceRef blk000000fc))
+ (portRef RSTM (instanceRef blk000000fc))
+ (portRef MULTSIGNIN (instanceRef blk000000fc))
+ (portRef RSTCTRL (instanceRef blk000000fc))
+ (portRef RSTA (instanceRef blk000000fc))
+ (portRef RSTALUMODE (instanceRef blk000000fc))
+ (portRef RSTALLCARRYIN (instanceRef blk000000fc))
+ (portRef RSTD (instanceRef blk000000fc))
+ (portRef CEA1 (instanceRef blk000000fc))
+ (portRef RSTB (instanceRef blk000000fc))
+ (portRef CARRYIN (instanceRef blk000000fc))
+ (portRef CARRYCASCIN (instanceRef blk000000fc))
+ (portRef RSTINMODE (instanceRef blk000000fc))
+ (portRef RSTP (instanceRef blk000000fc))
+ (portRef OPMODE_6_ (instanceRef blk000000fc))
+ (portRef OPMODE_5_ (instanceRef blk000000fc))
+ (portRef OPMODE_3_ (instanceRef blk000000fc))
+ (portRef OPMODE_1_ (instanceRef blk000000fc))
+ (portRef ALUMODE_3_ (instanceRef blk000000fc))
+ (portRef ALUMODE_2_ (instanceRef blk000000fc))
+ (portRef ALUMODE_1_ (instanceRef blk000000fc))
+ (portRef ALUMODE_0_ (instanceRef blk000000fc))
+ (portRef C_47_ (instanceRef blk000000fc))
+ (portRef C_46_ (instanceRef blk000000fc))
+ (portRef C_45_ (instanceRef blk000000fc))
+ (portRef C_44_ (instanceRef blk000000fc))
+ (portRef C_43_ (instanceRef blk000000fc))
+ (portRef C_42_ (instanceRef blk000000fc))
+ (portRef C_41_ (instanceRef blk000000fc))
+ (portRef C_40_ (instanceRef blk000000fc))
+ (portRef C_39_ (instanceRef blk000000fc))
+ (portRef C_38_ (instanceRef blk000000fc))
+ (portRef C_37_ (instanceRef blk000000fc))
+ (portRef C_36_ (instanceRef blk000000fc))
+ (portRef C_35_ (instanceRef blk000000fc))
+ (portRef C_34_ (instanceRef blk000000fc))
+ (portRef C_33_ (instanceRef blk000000fc))
+ (portRef C_32_ (instanceRef blk000000fc))
+ (portRef C_31_ (instanceRef blk000000fc))
+ (portRef C_30_ (instanceRef blk000000fc))
+ (portRef C_29_ (instanceRef blk000000fc))
+ (portRef C_28_ (instanceRef blk000000fc))
+ (portRef C_27_ (instanceRef blk000000fc))
+ (portRef C_26_ (instanceRef blk000000fc))
+ (portRef C_25_ (instanceRef blk000000fc))
+ (portRef C_24_ (instanceRef blk000000fc))
+ (portRef C_23_ (instanceRef blk000000fc))
+ (portRef C_22_ (instanceRef blk000000fc))
+ (portRef C_21_ (instanceRef blk000000fc))
+ (portRef C_20_ (instanceRef blk000000fc))
+ (portRef C_19_ (instanceRef blk000000fc))
+ (portRef C_18_ (instanceRef blk000000fc))
+ (portRef C_17_ (instanceRef blk000000fc))
+ (portRef C_16_ (instanceRef blk000000fc))
+ (portRef C_15_ (instanceRef blk000000fc))
+ (portRef C_14_ (instanceRef blk000000fc))
+ (portRef C_13_ (instanceRef blk000000fc))
+ (portRef C_12_ (instanceRef blk000000fc))
+ (portRef C_11_ (instanceRef blk000000fc))
+ (portRef C_10_ (instanceRef blk000000fc))
+ (portRef C_9_ (instanceRef blk000000fc))
+ (portRef C_8_ (instanceRef blk000000fc))
+ (portRef C_7_ (instanceRef blk000000fc))
+ (portRef C_6_ (instanceRef blk000000fc))
+ (portRef C_5_ (instanceRef blk000000fc))
+ (portRef C_4_ (instanceRef blk000000fc))
+ (portRef C_3_ (instanceRef blk000000fc))
+ (portRef C_2_ (instanceRef blk000000fc))
+ (portRef C_1_ (instanceRef blk000000fc))
+ (portRef C_0_ (instanceRef blk000000fc))
+ (portRef INMODE_4_ (instanceRef blk000000fc))
+ (portRef INMODE_3_ (instanceRef blk000000fc))
+ (portRef INMODE_1_ (instanceRef blk000000fc))
+ (portRef INMODE_0_ (instanceRef blk000000fc))
+ (portRef BCIN_17_ (instanceRef blk000000fc))
+ (portRef BCIN_16_ (instanceRef blk000000fc))
+ (portRef BCIN_15_ (instanceRef blk000000fc))
+ (portRef BCIN_14_ (instanceRef blk000000fc))
+ (portRef BCIN_13_ (instanceRef blk000000fc))
+ (portRef BCIN_12_ (instanceRef blk000000fc))
+ (portRef BCIN_11_ (instanceRef blk000000fc))
+ (portRef BCIN_10_ (instanceRef blk000000fc))
+ (portRef BCIN_9_ (instanceRef blk000000fc))
+ (portRef BCIN_8_ (instanceRef blk000000fc))
+ (portRef BCIN_7_ (instanceRef blk000000fc))
+ (portRef BCIN_6_ (instanceRef blk000000fc))
+ (portRef BCIN_5_ (instanceRef blk000000fc))
+ (portRef BCIN_4_ (instanceRef blk000000fc))
+ (portRef BCIN_3_ (instanceRef blk000000fc))
+ (portRef BCIN_2_ (instanceRef blk000000fc))
+ (portRef BCIN_1_ (instanceRef blk000000fc))
+ (portRef BCIN_0_ (instanceRef blk000000fc))
+ (portRef ACIN_29_ (instanceRef blk000000fc))
+ (portRef ACIN_28_ (instanceRef blk000000fc))
+ (portRef ACIN_27_ (instanceRef blk000000fc))
+ (portRef ACIN_26_ (instanceRef blk000000fc))
+ (portRef ACIN_25_ (instanceRef blk000000fc))
+ (portRef ACIN_24_ (instanceRef blk000000fc))
+ (portRef ACIN_23_ (instanceRef blk000000fc))
+ (portRef ACIN_22_ (instanceRef blk000000fc))
+ (portRef ACIN_21_ (instanceRef blk000000fc))
+ (portRef ACIN_20_ (instanceRef blk000000fc))
+ (portRef ACIN_19_ (instanceRef blk000000fc))
+ (portRef ACIN_18_ (instanceRef blk000000fc))
+ (portRef ACIN_17_ (instanceRef blk000000fc))
+ (portRef ACIN_16_ (instanceRef blk000000fc))
+ (portRef ACIN_15_ (instanceRef blk000000fc))
+ (portRef ACIN_14_ (instanceRef blk000000fc))
+ (portRef ACIN_13_ (instanceRef blk000000fc))
+ (portRef ACIN_12_ (instanceRef blk000000fc))
+ (portRef ACIN_11_ (instanceRef blk000000fc))
+ (portRef ACIN_10_ (instanceRef blk000000fc))
+ (portRef ACIN_9_ (instanceRef blk000000fc))
+ (portRef ACIN_8_ (instanceRef blk000000fc))
+ (portRef ACIN_7_ (instanceRef blk000000fc))
+ (portRef ACIN_6_ (instanceRef blk000000fc))
+ (portRef ACIN_5_ (instanceRef blk000000fc))
+ (portRef ACIN_4_ (instanceRef blk000000fc))
+ (portRef ACIN_3_ (instanceRef blk000000fc))
+ (portRef ACIN_2_ (instanceRef blk000000fc))
+ (portRef ACIN_1_ (instanceRef blk000000fc))
+ (portRef ACIN_0_ (instanceRef blk000000fc))
+ (portRef CARRYINSEL_2_ (instanceRef blk000000fc))
+ (portRef CARRYINSEL_1_ (instanceRef blk000000fc))
+ (portRef CARRYINSEL_0_ (instanceRef blk000000fc))
+ (portRef R (instanceRef blk000000fd))
+ (portRef R (instanceRef blk000000fe))
+ (portRef R (instanceRef blk000000ff))
+ (portRef R (instanceRef blk00000100))
+ (portRef R (instanceRef blk00000101))
+ (portRef R (instanceRef blk00000102))
+ (portRef R (instanceRef blk00000103))
+ (portRef R (instanceRef blk00000104))
+ (portRef CI (instanceRef blk000004cb))
+ (portRef CI (instanceRef blk000004d4))
+ (portRef CI (instanceRef blk0000053f))
+ (portRef A1 (instanceRef blk00000628))
+ (portRef A2 (instanceRef blk00000628))
+ (portRef A3 (instanceRef blk00000628))
+ (portRef A0 (instanceRef blk0000062a))
+ (portRef A1 (instanceRef blk0000062a))
+ (portRef A2 (instanceRef blk0000062a))
+ (portRef A3 (instanceRef blk0000062a))
+ (portRef A0 (instanceRef blk0000062c))
+ (portRef A1 (instanceRef blk0000062c))
+ (portRef A3 (instanceRef blk0000062c))
+ (portRef A0 (instanceRef blk0000062e))
+ (portRef A1 (instanceRef blk0000062e))
+ (portRef A2 (instanceRef blk0000062e))
+ (portRef A3 (instanceRef blk0000062e))
+ (portRef A0 (instanceRef blk00000630))
+ (portRef A1 (instanceRef blk00000630))
+ (portRef A2 (instanceRef blk00000630))
+ (portRef A3 (instanceRef blk00000630))
+ (portRef A0 (instanceRef blk00000632))
+ (portRef A1 (instanceRef blk00000632))
+ (portRef A2 (instanceRef blk00000632))
+ (portRef A3 (instanceRef blk00000632))
+ (portRef A0 (instanceRef blk00000634))
+ (portRef A1 (instanceRef blk00000634))
+ (portRef A2 (instanceRef blk00000634))
+ (portRef A3 (instanceRef blk00000634))
+ (portRef A0 (instanceRef blk00000636))
+ (portRef A1 (instanceRef blk00000636))
+ (portRef A2 (instanceRef blk00000636))
+ (portRef A3 (instanceRef blk00000636))
+ (portRef A0 (instanceRef blk00000638))
+ (portRef A1 (instanceRef blk00000638))
+ (portRef A2 (instanceRef blk00000638))
+ (portRef A3 (instanceRef blk00000638))
+ (portRef A0 (instanceRef blk0000063a))
+ (portRef A1 (instanceRef blk0000063a))
+ (portRef A2 (instanceRef blk0000063a))
+ (portRef A3 (instanceRef blk0000063a))
+ (portRef A0 (instanceRef blk0000063c))
+ (portRef A1 (instanceRef blk0000063c))
+ (portRef A2 (instanceRef blk0000063c))
+ (portRef A3 (instanceRef blk0000063c))
+ (portRef A0 (instanceRef blk0000063e))
+ (portRef A1 (instanceRef blk0000063e))
+ (portRef A2 (instanceRef blk0000063e))
+ (portRef A3 (instanceRef blk0000063e))
+ (portRef A0 (instanceRef blk00000640))
+ (portRef A1 (instanceRef blk00000640))
+ (portRef A2 (instanceRef blk00000640))
+ (portRef A3 (instanceRef blk00000640))
+ (portRef A0 (instanceRef blk00000642))
+ (portRef A1 (instanceRef blk00000642))
+ (portRef A2 (instanceRef blk00000642))
+ (portRef A3 (instanceRef blk00000642))
+ (portRef A0 (instanceRef blk00000644))
+ (portRef A1 (instanceRef blk00000644))
+ (portRef A2 (instanceRef blk00000644))
+ (portRef A3 (instanceRef blk00000644))
+ (portRef A0 (instanceRef blk00000646))
+ (portRef A1 (instanceRef blk00000646))
+ (portRef A2 (instanceRef blk00000646))
+ (portRef A3 (instanceRef blk00000646))
+ (portRef A0 (instanceRef blk00000648))
+ (portRef A1 (instanceRef blk00000648))
+ (portRef A2 (instanceRef blk00000648))
+ (portRef A3 (instanceRef blk00000648))
+ (portRef A0 (instanceRef blk0000064a))
+ (portRef A1 (instanceRef blk0000064a))
+ (portRef A2 (instanceRef blk0000064a))
+ (portRef A3 (instanceRef blk0000064a))
+ (portRef A0 (instanceRef blk0000064c))
+ (portRef A1 (instanceRef blk0000064c))
+ (portRef A2 (instanceRef blk0000064c))
+ (portRef A3 (instanceRef blk0000064c))
+ (portRef A0 (instanceRef blk0000064e))
+ (portRef A1 (instanceRef blk0000064e))
+ (portRef A2 (instanceRef blk0000064e))
+ (portRef A3 (instanceRef blk0000064e))
+ (portRef A0 (instanceRef blk00000650))
+ (portRef A1 (instanceRef blk00000650))
+ (portRef A2 (instanceRef blk00000650))
+ (portRef A3 (instanceRef blk00000650))
+ (portRef A2 (instanceRef blk00000652))
+ (portRef A3 (instanceRef blk00000652))
+ (portRef A0 (instanceRef blk00000654))
+ (portRef A2 (instanceRef blk00000654))
+ (portRef A3 (instanceRef blk00000654))
+ (portRef A0 (instanceRef blk00000656))
+ (portRef A2 (instanceRef blk00000656))
+ (portRef A3 (instanceRef blk00000656))
+ (portRef A1 (instanceRef blk00000658))
+ (portRef A2 (instanceRef blk00000658))
+ (portRef A3 (instanceRef blk00000658))
+ (portRef A1 (instanceRef blk0000065a))
+ (portRef A2 (instanceRef blk0000065a))
+ (portRef A3 (instanceRef blk0000065a))
+ (portRef A1 (instanceRef blk0000065c))
+ (portRef A2 (instanceRef blk0000065c))
+ (portRef A3 (instanceRef blk0000065c))
+ (portRef A1 (instanceRef blk0000065e))
+ (portRef A2 (instanceRef blk0000065e))
+ (portRef A3 (instanceRef blk0000065e))
+ (portRef A1 (instanceRef blk00000660))
+ (portRef A2 (instanceRef blk00000660))
+ (portRef A3 (instanceRef blk00000660))
+ (portRef A1 (instanceRef blk00000662))
+ (portRef A2 (instanceRef blk00000662))
+ (portRef A3 (instanceRef blk00000662))
+ (portRef A1 (instanceRef blk00000664))
+ (portRef A2 (instanceRef blk00000664))
+ (portRef A3 (instanceRef blk00000664))
+ (portRef A1 (instanceRef blk00000666))
+ (portRef A2 (instanceRef blk00000666))
+ (portRef A3 (instanceRef blk00000666))
+ (portRef A1 (instanceRef blk00000668))
+ (portRef A2 (instanceRef blk00000668))
+ (portRef A3 (instanceRef blk00000668))
+ (portRef A1 (instanceRef blk0000066a))
+ (portRef A2 (instanceRef blk0000066a))
+ (portRef A3 (instanceRef blk0000066a))
+ (portRef A1 (instanceRef blk0000066c))
+ (portRef A2 (instanceRef blk0000066c))
+ (portRef A3 (instanceRef blk0000066c))
+ (portRef A1 (instanceRef blk0000066e))
+ (portRef A2 (instanceRef blk0000066e))
+ (portRef A3 (instanceRef blk0000066e))
+ (portRef A1 (instanceRef blk00000670))
+ (portRef A2 (instanceRef blk00000670))
+ (portRef A3 (instanceRef blk00000670))
+ (portRef A1 (instanceRef blk00000672))
+ (portRef A2 (instanceRef blk00000672))
+ (portRef A3 (instanceRef blk00000672))
+ (portRef A1 (instanceRef blk00000674))
+ (portRef A2 (instanceRef blk00000674))
+ (portRef A3 (instanceRef blk00000674))
+ (portRef A1 (instanceRef blk00000676))
+ (portRef A2 (instanceRef blk00000676))
+ (portRef A3 (instanceRef blk00000676))
+ (portRef A1 (instanceRef blk00000678))
+ (portRef A2 (instanceRef blk00000678))
+ (portRef A3 (instanceRef blk00000678))
+ (portRef A1 (instanceRef blk0000067a))
+ (portRef A2 (instanceRef blk0000067a))
+ (portRef A3 (instanceRef blk0000067a))
+ (portRef A1 (instanceRef blk0000067c))
+ (portRef A2 (instanceRef blk0000067c))
+ (portRef A3 (instanceRef blk0000067c))
+ (portRef A1 (instanceRef blk0000067e))
+ (portRef A2 (instanceRef blk0000067e))
+ (portRef A3 (instanceRef blk0000067e))
+ (portRef A1 (instanceRef blk00000680))
+ (portRef A2 (instanceRef blk00000680))
+ (portRef A3 (instanceRef blk00000680))
+ (portRef A1 (instanceRef blk00000682))
+ (portRef A2 (instanceRef blk00000682))
+ (portRef A3 (instanceRef blk00000682))
+ (portRef A1 (instanceRef blk00000684))
+ (portRef A2 (instanceRef blk00000684))
+ (portRef A3 (instanceRef blk00000684))
+ (portRef A1 (instanceRef blk00000686))
+ (portRef A2 (instanceRef blk00000686))
+ (portRef A3 (instanceRef blk00000686))
+ (portRef A1 (instanceRef blk00000688))
+ (portRef A2 (instanceRef blk00000688))
+ (portRef A3 (instanceRef blk00000688))
+ (portRef A1 (instanceRef blk0000068a))
+ (portRef A2 (instanceRef blk0000068a))
+ (portRef A3 (instanceRef blk0000068a))
+ (portRef A1 (instanceRef blk0000068c))
+ (portRef A2 (instanceRef blk0000068c))
+ (portRef A3 (instanceRef blk0000068c))
+ (portRef A1 (instanceRef blk0000068e))
+ (portRef A2 (instanceRef blk0000068e))
+ (portRef A3 (instanceRef blk0000068e))
+ (portRef A1 (instanceRef blk00000690))
+ (portRef A2 (instanceRef blk00000690))
+ (portRef A3 (instanceRef blk00000690))
+ (portRef A1 (instanceRef blk00000692))
+ (portRef A2 (instanceRef blk00000692))
+ (portRef A3 (instanceRef blk00000692))
+ (portRef A1 (instanceRef blk00000694))
+ (portRef A2 (instanceRef blk00000694))
+ (portRef A3 (instanceRef blk00000694))
+ (portRef A1 (instanceRef blk00000696))
+ (portRef A2 (instanceRef blk00000696))
+ (portRef A3 (instanceRef blk00000696))
+ (portRef A1 (instanceRef blk00000698))
+ (portRef A2 (instanceRef blk00000698))
+ (portRef A3 (instanceRef blk00000698))
+ (portRef A1 (instanceRef blk0000069a))
+ (portRef A2 (instanceRef blk0000069a))
+ (portRef A3 (instanceRef blk0000069a))
+ (portRef A1 (instanceRef blk0000069c))
+ (portRef A2 (instanceRef blk0000069c))
+ (portRef A3 (instanceRef blk0000069c))
+ (portRef A1 (instanceRef blk0000069e))
+ (portRef A2 (instanceRef blk0000069e))
+ (portRef A3 (instanceRef blk0000069e))
+ (portRef A1 (instanceRef blk000006a0))
+ (portRef A2 (instanceRef blk000006a0))
+ (portRef A3 (instanceRef blk000006a0))
+ (portRef A1 (instanceRef blk000006a2))
+ (portRef A2 (instanceRef blk000006a2))
+ (portRef A3 (instanceRef blk000006a2))
+ (portRef A1 (instanceRef blk000006a4))
+ (portRef A2 (instanceRef blk000006a4))
+ (portRef A3 (instanceRef blk000006a4))
+ (portRef A1 (instanceRef blk000006a6))
+ (portRef A2 (instanceRef blk000006a6))
+ (portRef A3 (instanceRef blk000006a6))
+ (portRef A1 (instanceRef blk000006a8))
+ (portRef A2 (instanceRef blk000006a8))
+ (portRef A3 (instanceRef blk000006a8))
+ (portRef A1 (instanceRef blk000006aa))
+ (portRef A2 (instanceRef blk000006aa))
+ (portRef A3 (instanceRef blk000006aa))
+ (portRef A1 (instanceRef blk000006ac))
+ (portRef A2 (instanceRef blk000006ac))
+ (portRef A3 (instanceRef blk000006ac))
+ (portRef A1 (instanceRef blk000006ae))
+ (portRef A2 (instanceRef blk000006ae))
+ (portRef A3 (instanceRef blk000006ae))
+ (portRef A1 (instanceRef blk000006b0))
+ (portRef A2 (instanceRef blk000006b0))
+ (portRef A3 (instanceRef blk000006b0))
+ (portRef A1 (instanceRef blk000006b2))
+ (portRef A2 (instanceRef blk000006b2))
+ (portRef A3 (instanceRef blk000006b2))
+ (portRef A1 (instanceRef blk000006b4))
+ (portRef A2 (instanceRef blk000006b4))
+ (portRef A3 (instanceRef blk000006b4))
+ (portRef A0 (instanceRef blk000006b6))
+ (portRef A1 (instanceRef blk000006b6))
+ (portRef A2 (instanceRef blk000006b6))
+ (portRef A3 (instanceRef blk000006b6))
+ (portRef A1 (instanceRef blk000006b8))
+ (portRef A2 (instanceRef blk000006b8))
+ (portRef A3 (instanceRef blk000006b8))
+ (portRef A0 (instanceRef blk000006ba))
+ (portRef A1 (instanceRef blk000006ba))
+ (portRef A2 (instanceRef blk000006ba))
+ (portRef A3 (instanceRef blk000006ba))
+ (portRef A0 (instanceRef blk000006bc))
+ (portRef A2 (instanceRef blk000006bc))
+ (portRef A0 (instanceRef blk000006be))
+ (portRef A2 (instanceRef blk000006be))
+ (portRef A0 (instanceRef blk000006c0))
+ (portRef A2 (instanceRef blk000006c0))
+ (portRef A0 (instanceRef blk000006c2))
+ (portRef A2 (instanceRef blk000006c2))
+ (portRef A0 (instanceRef blk000006c4))
+ (portRef A2 (instanceRef blk000006c4))
+ (portRef A0 (instanceRef blk000006c6))
+ (portRef A2 (instanceRef blk000006c6))
+ (portRef A0 (instanceRef blk000006c8))
+ (portRef A2 (instanceRef blk000006c8))
+ (portRef A0 (instanceRef blk000006ca))
+ (portRef A2 (instanceRef blk000006ca))
+ (portRef A0 (instanceRef blk000006cc))
+ (portRef A2 (instanceRef blk000006cc))
+ (portRef A0 (instanceRef blk000006ce))
+ (portRef A2 (instanceRef blk000006ce))
+ (portRef A0 (instanceRef blk000006d0))
+ (portRef A2 (instanceRef blk000006d0))
+ (portRef A0 (instanceRef blk000006d2))
+ (portRef A2 (instanceRef blk000006d2))
+ (portRef A0 (instanceRef blk000006d4))
+ (portRef A2 (instanceRef blk000006d4))
+ (portRef A0 (instanceRef blk000006d6))
+ (portRef A2 (instanceRef blk000006d6))
+ (portRef A0 (instanceRef blk000006d8))
+ (portRef A2 (instanceRef blk000006d8))
+ (portRef A0 (instanceRef blk000006da))
+ (portRef A2 (instanceRef blk000006da))
+ (portRef A0 (instanceRef blk000006dc))
+ (portRef A2 (instanceRef blk000006dc))
+ (portRef A0 (instanceRef blk000006de))
+ (portRef A2 (instanceRef blk000006de))
+ (portRef A0 (instanceRef blk000006e0))
+ (portRef A2 (instanceRef blk000006e0))
+ (portRef A0 (instanceRef blk000006e2))
+ (portRef A2 (instanceRef blk000006e2))
+ (portRef A0 (instanceRef blk000006e4))
+ (portRef A2 (instanceRef blk000006e4))
+ (portRef A0 (instanceRef blk000006e6))
+ (portRef A2 (instanceRef blk000006e6))
+ (portRef A0 (instanceRef blk000006e8))
+ (portRef A2 (instanceRef blk000006e8))
+ (portRef A0 (instanceRef blk000006ea))
+ (portRef A2 (instanceRef blk000006ea))
+ (portRef A0 (instanceRef blk000006ec))
+ (portRef A2 (instanceRef blk000006ec))
+ (portRef A0 (instanceRef blk000006ee))
+ (portRef A2 (instanceRef blk000006ee))
+ (portRef A0 (instanceRef blk000006f0))
+ (portRef A2 (instanceRef blk000006f0))
+ (portRef A0 (instanceRef blk000006f2))
+ (portRef A2 (instanceRef blk000006f2))
+ (portRef A0 (instanceRef blk000006f4))
+ (portRef A2 (instanceRef blk000006f4))
+ (portRef A0 (instanceRef blk000006f6))
+ (portRef A2 (instanceRef blk000006f6))
+ (portRef A0 (instanceRef blk000006f8))
+ (portRef A2 (instanceRef blk000006f8))
+ (portRef A0 (instanceRef blk000006fa))
+ (portRef A2 (instanceRef blk000006fa))
+ (portRef A0 (instanceRef blk000006fc))
+ (portRef A2 (instanceRef blk000006fc))
+ (portRef A0 (instanceRef blk000006fe))
+ (portRef A2 (instanceRef blk000006fe))
+ (portRef A0 (instanceRef blk00000700))
+ (portRef A2 (instanceRef blk00000700))
+ (portRef A0 (instanceRef blk00000702))
+ (portRef A2 (instanceRef blk00000702))
+ (portRef A0 (instanceRef blk00000704))
+ (portRef A2 (instanceRef blk00000704))
+ (portRef A0 (instanceRef blk00000706))
+ (portRef A2 (instanceRef blk00000706))
+ (portRef A0 (instanceRef blk00000708))
+ (portRef A2 (instanceRef blk00000708))
+ (portRef A0 (instanceRef blk0000070a))
+ (portRef A2 (instanceRef blk0000070a))
+ (portRef A0 (instanceRef blk0000070c))
+ (portRef A2 (instanceRef blk0000070c))
+ (portRef A0 (instanceRef blk0000070e))
+ (portRef A2 (instanceRef blk0000070e))
+ (portRef A0 (instanceRef blk00000710))
+ (portRef A2 (instanceRef blk00000710))
+ (portRef A0 (instanceRef blk00000712))
+ (portRef A2 (instanceRef blk00000712))
+ (portRef A0 (instanceRef blk00000714))
+ (portRef A2 (instanceRef blk00000714))
+ (portRef A0 (instanceRef blk00000716))
+ (portRef A2 (instanceRef blk00000716))
+ (portRef A0 (instanceRef blk00000718))
+ (portRef A2 (instanceRef blk00000718))
+ (portRef A0 (instanceRef blk0000071a))
+ (portRef A2 (instanceRef blk0000071a))
+ (portRef A1 (instanceRef blk0000071c))
+ (portRef A2 (instanceRef blk0000071c))
+ (portRef A0 (instanceRef blk0000071e))
+ (portRef A1 (instanceRef blk0000071e))
+ (portRef A2 (instanceRef blk0000071e))
+ (portRef A3 (instanceRef blk0000071e))
+ (portRef A0 (instanceRef blk00000720))
+ (portRef A1 (instanceRef blk00000720))
+ (portRef A3 (instanceRef blk00000720))
+ (portRef A0 (instanceRef blk00000722))
+ (portRef A1 (instanceRef blk00000722))
+ (portRef A3 (instanceRef blk00000722))
+ (portRef A0 (instanceRef blk00000724))
+ (portRef A1 (instanceRef blk00000724))
+ (portRef A3 (instanceRef blk00000724))
+ (portRef A0 (instanceRef blk00000726))
+ (portRef A1 (instanceRef blk00000726))
+ (portRef A3 (instanceRef blk00000726))
+ (portRef A0 (instanceRef blk00000728))
+ (portRef A1 (instanceRef blk00000728))
+ (portRef A3 (instanceRef blk00000728))
+ (portRef A0 (instanceRef blk0000072a))
+ (portRef A1 (instanceRef blk0000072a))
+ (portRef A3 (instanceRef blk0000072a))
+ (portRef A0 (instanceRef blk0000072c))
+ (portRef A1 (instanceRef blk0000072c))
+ (portRef A3 (instanceRef blk0000072c))
+ (portRef A0 (instanceRef blk0000072e))
+ (portRef A1 (instanceRef blk0000072e))
+ (portRef A3 (instanceRef blk0000072e))
+ (portRef A0 (instanceRef blk00000730))
+ (portRef A1 (instanceRef blk00000730))
+ (portRef A3 (instanceRef blk00000730))
+ (portRef A0 (instanceRef blk00000732))
+ (portRef A1 (instanceRef blk00000732))
+ (portRef A3 (instanceRef blk00000732))
+ (portRef A0 (instanceRef blk00000734))
+ (portRef A1 (instanceRef blk00000734))
+ (portRef A3 (instanceRef blk00000734))
+ (portRef A0 (instanceRef blk00000736))
+ (portRef A1 (instanceRef blk00000736))
+ (portRef A3 (instanceRef blk00000736))
+ (portRef A0 (instanceRef blk00000738))
+ (portRef A1 (instanceRef blk00000738))
+ (portRef A3 (instanceRef blk00000738))
+ (portRef A0 (instanceRef blk0000073a))
+ (portRef A1 (instanceRef blk0000073a))
+ (portRef A3 (instanceRef blk0000073a))
+ (portRef A0 (instanceRef blk0000073c))
+ (portRef A1 (instanceRef blk0000073c))
+ (portRef A3 (instanceRef blk0000073c))
+ (portRef A0 (instanceRef blk0000073e))
+ (portRef A1 (instanceRef blk0000073e))
+ (portRef A3 (instanceRef blk0000073e))
+ (portRef A0 (instanceRef blk00000740))
+ (portRef A1 (instanceRef blk00000740))
+ (portRef A3 (instanceRef blk00000740))
+ (portRef A0 (instanceRef blk00000742))
+ (portRef A1 (instanceRef blk00000742))
+ (portRef A3 (instanceRef blk00000742))
+ (portRef A0 (instanceRef blk00000744))
+ (portRef A1 (instanceRef blk00000744))
+ (portRef A3 (instanceRef blk00000744))
+ (portRef A0 (instanceRef blk00000746))
+ (portRef A1 (instanceRef blk00000746))
+ (portRef A3 (instanceRef blk00000746))
+ (portRef A0 (instanceRef blk00000748))
+ (portRef A1 (instanceRef blk00000748))
+ (portRef A3 (instanceRef blk00000748))
+ (portRef A0 (instanceRef blk0000074a))
+ (portRef A1 (instanceRef blk0000074a))
+ (portRef A3 (instanceRef blk0000074a))
+ (portRef A0 (instanceRef blk0000074c))
+ (portRef A1 (instanceRef blk0000074c))
+ (portRef A3 (instanceRef blk0000074c))
+ (portRef A0 (instanceRef blk0000074e))
+ (portRef A1 (instanceRef blk0000074e))
+ (portRef A3 (instanceRef blk0000074e))
+ (portRef A0 (instanceRef blk00000750))
+ (portRef A1 (instanceRef blk00000750))
+ (portRef A3 (instanceRef blk00000750))
+ (portRef A0 (instanceRef blk00000752))
+ (portRef A1 (instanceRef blk00000752))
+ (portRef A3 (instanceRef blk00000752))
+ (portRef A0 (instanceRef blk00000754))
+ (portRef A1 (instanceRef blk00000754))
+ (portRef A3 (instanceRef blk00000754))
+ (portRef A0 (instanceRef blk00000756))
+ (portRef A1 (instanceRef blk00000756))
+ (portRef A3 (instanceRef blk00000756))
+ (portRef A0 (instanceRef blk00000758))
+ (portRef A1 (instanceRef blk00000758))
+ (portRef A3 (instanceRef blk00000758))
+ (portRef A0 (instanceRef blk0000075a))
+ (portRef A1 (instanceRef blk0000075a))
+ (portRef A3 (instanceRef blk0000075a))
+ (portRef A0 (instanceRef blk0000075c))
+ (portRef A1 (instanceRef blk0000075c))
+ (portRef A3 (instanceRef blk0000075c))
+ (portRef A0 (instanceRef blk0000075e))
+ (portRef A1 (instanceRef blk0000075e))
+ (portRef A3 (instanceRef blk0000075e))
+ (portRef A0 (instanceRef blk00000760))
+ (portRef A1 (instanceRef blk00000760))
+ (portRef A3 (instanceRef blk00000760))
+ (portRef A0 (instanceRef blk00000762))
+ (portRef A1 (instanceRef blk00000762))
+ (portRef A3 (instanceRef blk00000762))
+ (portRef A0 (instanceRef blk00000764))
+ (portRef A1 (instanceRef blk00000764))
+ (portRef A3 (instanceRef blk00000764))
+ (portRef A0 (instanceRef blk00000766))
+ (portRef A1 (instanceRef blk00000766))
+ (portRef A3 (instanceRef blk00000766))
+ (portRef A0 (instanceRef blk00000768))
+ (portRef A1 (instanceRef blk00000768))
+ (portRef A3 (instanceRef blk00000768))
+ (portRef A0 (instanceRef blk0000076a))
+ (portRef A1 (instanceRef blk0000076a))
+ (portRef A3 (instanceRef blk0000076a))
+ (portRef A0 (instanceRef blk0000076c))
+ (portRef A1 (instanceRef blk0000076c))
+ (portRef A3 (instanceRef blk0000076c))
+ (portRef A0 (instanceRef blk0000076e))
+ (portRef A1 (instanceRef blk0000076e))
+ (portRef A3 (instanceRef blk0000076e))
+ (portRef A0 (instanceRef blk00000770))
+ (portRef A1 (instanceRef blk00000770))
+ (portRef A3 (instanceRef blk00000770))
+ (portRef A0 (instanceRef blk00000772))
+ (portRef A1 (instanceRef blk00000772))
+ (portRef A3 (instanceRef blk00000772))
+ (portRef A0 (instanceRef blk00000774))
+ (portRef A1 (instanceRef blk00000774))
+ (portRef A3 (instanceRef blk00000774))
+ (portRef A0 (instanceRef blk00000776))
+ (portRef A1 (instanceRef blk00000776))
+ (portRef A3 (instanceRef blk00000776))
+ (portRef A0 (instanceRef blk00000778))
+ (portRef A1 (instanceRef blk00000778))
+ (portRef A3 (instanceRef blk00000778))
+ (portRef A0 (instanceRef blk0000077a))
+ (portRef A1 (instanceRef blk0000077a))
+ (portRef A3 (instanceRef blk0000077a))
+ (portRef A0 (instanceRef blk0000077c))
+ (portRef A1 (instanceRef blk0000077c))
+ (portRef A3 (instanceRef blk0000077c))
+ (portRef A0 (instanceRef blk0000077e))
+ (portRef A1 (instanceRef blk0000077e))
+ (portRef A3 (instanceRef blk0000077e))
+ (portRef A1 (instanceRef blk00000780))
+ (portRef A3 (instanceRef blk00000780))
+ (portRef A0 (instanceRef blk00000782))
+ (portRef A1 (instanceRef blk00000782))
+ (portRef A3 (instanceRef blk00000782))
+ )
+ )
+ (net sig0000004a
+ (joined
+ (portRef (member chan_out 0))
+ (portRef Q (instanceRef blk00000534))
+ (portRef I1 (instanceRef blk000005db))
+ )
+ )
+ (net sig0000004b
+ (joined
+ (portRef (member dout_1 0))
+ (portRef Q (instanceRef blk00000504))
+ (portRef I3 (instanceRef blk000005ac))
+ )
+ )
+ (net sig0000004c
+ (joined
+ (portRef (member dout_1 1))
+ (portRef Q (instanceRef blk00000503))
+ (portRef I3 (instanceRef blk000005aa))
+ )
+ )
+ (net sig0000004d
+ (joined
+ (portRef (member dout_1 2))
+ (portRef Q (instanceRef blk00000502))
+ (portRef I3 (instanceRef blk000005a8))
+ )
+ )
+ (net sig0000004e
+ (joined
+ (portRef (member dout_1 3))
+ (portRef Q (instanceRef blk00000501))
+ (portRef I3 (instanceRef blk000005a9))
+ )
+ )
+ (net sig0000004f
+ (joined
+ (portRef (member dout_1 4))
+ (portRef Q (instanceRef blk00000500))
+ (portRef I3 (instanceRef blk000005a7))
+ )
+ )
+ (net sig00000050
+ (joined
+ (portRef (member dout_1 5))
+ (portRef Q (instanceRef blk000004ff))
+ (portRef I3 (instanceRef blk000005a5))
+ )
+ )
+ (net sig00000051
+ (joined
+ (portRef (member dout_1 6))
+ (portRef Q (instanceRef blk000004fe))
+ (portRef I3 (instanceRef blk000005a6))
+ )
+ )
+ (net sig00000052
+ (joined
+ (portRef (member dout_1 7))
+ (portRef Q (instanceRef blk000004fd))
+ (portRef I3 (instanceRef blk000005a4))
+ )
+ )
+ (net sig00000053
+ (joined
+ (portRef (member dout_1 8))
+ (portRef Q (instanceRef blk000004fc))
+ (portRef I3 (instanceRef blk000005a2))
+ )
+ )
+ (net sig00000054
+ (joined
+ (portRef (member dout_1 9))
+ (portRef Q (instanceRef blk000004fb))
+ (portRef I3 (instanceRef blk000005a3))
+ )
+ )
+ (net sig00000055
+ (joined
+ (portRef (member dout_1 10))
+ (portRef Q (instanceRef blk000004fa))
+ (portRef I3 (instanceRef blk000005a1))
+ )
+ )
+ (net sig00000056
+ (joined
+ (portRef (member dout_1 11))
+ (portRef Q (instanceRef blk000004f9))
+ (portRef I3 (instanceRef blk0000059f))
+ )
+ )
+ (net sig00000057
+ (joined
+ (portRef (member dout_1 12))
+ (portRef Q (instanceRef blk000004f8))
+ (portRef I3 (instanceRef blk000005a0))
+ )
+ )
+ (net sig00000058
+ (joined
+ (portRef (member dout_1 13))
+ (portRef Q (instanceRef blk000004f7))
+ (portRef I3 (instanceRef blk0000059e))
+ )
+ )
+ (net sig00000059
+ (joined
+ (portRef (member dout_1 14))
+ (portRef Q (instanceRef blk000004f6))
+ (portRef I3 (instanceRef blk0000059c))
+ )
+ )
+ (net sig0000005a
+ (joined
+ (portRef (member dout_1 15))
+ (portRef Q (instanceRef blk000004f5))
+ (portRef I3 (instanceRef blk0000059d))
+ )
+ )
+ (net sig0000005b
+ (joined
+ (portRef (member dout_1 16))
+ (portRef Q (instanceRef blk000004f4))
+ (portRef I3 (instanceRef blk0000059b))
+ )
+ )
+ (net sig0000005c
+ (joined
+ (portRef (member dout_1 17))
+ (portRef Q (instanceRef blk000004f3))
+ (portRef I3 (instanceRef blk00000599))
+ )
+ )
+ (net sig0000005d
+ (joined
+ (portRef (member dout_1 18))
+ (portRef Q (instanceRef blk000004f2))
+ (portRef I3 (instanceRef blk0000059a))
+ )
+ )
+ (net sig0000005e
+ (joined
+ (portRef (member dout_1 19))
+ (portRef Q (instanceRef blk000004f1))
+ (portRef I3 (instanceRef blk00000598))
+ )
+ )
+ (net sig0000005f
+ (joined
+ (portRef (member dout_1 20))
+ (portRef Q (instanceRef blk000004f0))
+ (portRef I3 (instanceRef blk00000596))
+ )
+ )
+ (net sig00000060
+ (joined
+ (portRef (member dout_1 21))
+ (portRef Q (instanceRef blk000004ef))
+ (portRef I3 (instanceRef blk00000597))
+ )
+ )
+ (net sig00000061
+ (joined
+ (portRef (member dout_1 22))
+ (portRef Q (instanceRef blk000004ee))
+ (portRef I3 (instanceRef blk00000595))
+ )
+ )
+ (net sig00000062
+ (joined
+ (portRef (member dout_1 23))
+ (portRef Q (instanceRef blk000004ed))
+ (portRef I3 (instanceRef blk00000593))
+ )
+ )
+ (net sig00000063
+ (joined
+ (portRef (member dout_1 24))
+ (portRef Q (instanceRef blk000004ec))
+ (portRef I3 (instanceRef blk00000594))
+ )
+ )
+ (net sig00000064
+ (joined
+ (portRef (member dout_1 25))
+ (portRef Q (instanceRef blk000004eb))
+ (portRef I3 (instanceRef blk00000592))
+ )
+ )
+ (net sig00000065
+ (joined
+ (portRef (member dout_1 26))
+ (portRef Q (instanceRef blk000004ea))
+ (portRef I3 (instanceRef blk00000590))
+ )
+ )
+ (net sig00000066
+ (joined
+ (portRef (member dout_1 27))
+ (portRef Q (instanceRef blk000004e9))
+ (portRef I3 (instanceRef blk00000591))
+ )
+ )
+ (net sig00000067
+ (joined
+ (portRef (member dout_1 28))
+ (portRef Q (instanceRef blk000004e8))
+ (portRef I3 (instanceRef blk0000058f))
+ )
+ )
+ (net sig00000068
+ (joined
+ (portRef (member dout_1 29))
+ (portRef Q (instanceRef blk000004e7))
+ (portRef I3 (instanceRef blk0000058d))
+ )
+ )
+ (net sig00000069
+ (joined
+ (portRef (member dout_1 30))
+ (portRef Q (instanceRef blk000004e6))
+ (portRef I3 (instanceRef blk0000058e))
+ )
+ )
+ (net sig0000006a
+ (joined
+ (portRef (member dout_1 31))
+ (portRef Q (instanceRef blk000004e5))
+ (portRef I3 (instanceRef blk0000058c))
+ )
+ )
+ (net sig0000006b
+ (joined
+ (portRef (member dout_1 32))
+ (portRef Q (instanceRef blk000004e4))
+ (portRef I3 (instanceRef blk0000058a))
+ )
+ )
+ (net sig0000006c
+ (joined
+ (portRef (member dout_1 33))
+ (portRef Q (instanceRef blk000004e3))
+ (portRef I3 (instanceRef blk0000058b))
+ )
+ )
+ (net sig0000006d
+ (joined
+ (portRef (member dout_1 34))
+ (portRef Q (instanceRef blk000004e2))
+ (portRef I3 (instanceRef blk00000589))
+ )
+ )
+ (net sig0000006e
+ (joined
+ (portRef (member dout_1 35))
+ (portRef Q (instanceRef blk000004e1))
+ (portRef I3 (instanceRef blk00000587))
+ )
+ )
+ (net sig0000006f
+ (joined
+ (portRef (member dout_1 36))
+ (portRef Q (instanceRef blk000004e0))
+ (portRef I3 (instanceRef blk00000588))
+ )
+ )
+ (net sig00000070
+ (joined
+ (portRef (member dout_1 37))
+ (portRef Q (instanceRef blk000004df))
+ (portRef I3 (instanceRef blk00000586))
+ )
+ )
+ (net sig00000071
+ (joined
+ (portRef (member dout_1 38))
+ (portRef Q (instanceRef blk000004de))
+ (portRef I3 (instanceRef blk00000584))
+ )
+ )
+ (net sig00000072
+ (joined
+ (portRef (member dout_1 39))
+ (portRef Q (instanceRef blk000004dd))
+ (portRef I3 (instanceRef blk00000585))
+ )
+ )
+ (net sig00000073
+ (joined
+ (portRef (member dout_1 40))
+ (portRef Q (instanceRef blk000004dc))
+ (portRef I3 (instanceRef blk00000583))
+ )
+ )
+ (net sig00000074
+ (joined
+ (portRef (member dout_1 41))
+ (portRef Q (instanceRef blk000004db))
+ (portRef I3 (instanceRef blk00000581))
+ )
+ )
+ (net sig00000075
+ (joined
+ (portRef (member dout_1 42))
+ (portRef Q (instanceRef blk000004da))
+ (portRef I3 (instanceRef blk00000582))
+ )
+ )
+ (net sig00000076
+ (joined
+ (portRef (member dout_1 43))
+ (portRef Q (instanceRef blk000004d9))
+ (portRef I3 (instanceRef blk00000580))
+ )
+ )
+ (net sig00000077
+ (joined
+ (portRef (member dout_1 44))
+ (portRef Q (instanceRef blk000004d8))
+ (portRef I3 (instanceRef blk0000057e))
+ )
+ )
+ (net sig00000078
+ (joined
+ (portRef (member dout_1 45))
+ (portRef Q (instanceRef blk000004d7))
+ (portRef I3 (instanceRef blk0000057f))
+ )
+ )
+ (net sig00000079
+ (joined
+ (portRef (member dout_1 46))
+ (portRef Q (instanceRef blk000004d6))
+ (portRef I3 (instanceRef blk0000057d))
+ )
+ )
+ (net sig0000007a
+ (joined
+ (portRef (member dout_2 0))
+ (portRef Q (instanceRef blk00000533))
+ (portRef I3 (instanceRef blk000005d9))
+ )
+ )
+ (net sig0000007b
+ (joined
+ (portRef (member dout_2 1))
+ (portRef Q (instanceRef blk00000532))
+ (portRef I3 (instanceRef blk000005da))
+ )
+ )
+ (net sig0000007c
+ (joined
+ (portRef (member dout_2 2))
+ (portRef Q (instanceRef blk00000531))
+ (portRef I3 (instanceRef blk000005d8))
+ )
+ )
+ (net sig0000007d
+ (joined
+ (portRef (member dout_2 3))
+ (portRef Q (instanceRef blk00000530))
+ (portRef I3 (instanceRef blk000005d6))
+ )
+ )
+ (net sig0000007e
+ (joined
+ (portRef (member dout_2 4))
+ (portRef Q (instanceRef blk0000052f))
+ (portRef I3 (instanceRef blk000005d7))
+ )
+ )
+ (net sig0000007f
+ (joined
+ (portRef (member dout_2 5))
+ (portRef Q (instanceRef blk0000052e))
+ (portRef I3 (instanceRef blk000005d5))
+ )
+ )
+ (net sig00000080
+ (joined
+ (portRef (member dout_2 6))
+ (portRef Q (instanceRef blk0000052d))
+ (portRef I3 (instanceRef blk000005d3))
+ )
+ )
+ (net sig00000081
+ (joined
+ (portRef (member dout_2 7))
+ (portRef Q (instanceRef blk0000052c))
+ (portRef I3 (instanceRef blk000005d4))
+ )
+ )
+ (net sig00000082
+ (joined
+ (portRef (member dout_2 8))
+ (portRef Q (instanceRef blk0000052b))
+ (portRef I3 (instanceRef blk000005d2))
+ )
+ )
+ (net sig00000083
+ (joined
+ (portRef (member dout_2 9))
+ (portRef Q (instanceRef blk0000052a))
+ (portRef I3 (instanceRef blk000005d0))
+ )
+ )
+ (net sig00000084
+ (joined
+ (portRef (member dout_2 10))
+ (portRef Q (instanceRef blk00000529))
+ (portRef I3 (instanceRef blk000005d1))
+ )
+ )
+ (net sig00000085
+ (joined
+ (portRef (member dout_2 11))
+ (portRef Q (instanceRef blk00000528))
+ (portRef I3 (instanceRef blk000005cf))
+ )
+ )
+ (net sig00000086
+ (joined
+ (portRef (member dout_2 12))
+ (portRef Q (instanceRef blk00000527))
+ (portRef I3 (instanceRef blk000005cd))
+ )
+ )
+ (net sig00000087
+ (joined
+ (portRef (member dout_2 13))
+ (portRef Q (instanceRef blk00000526))
+ (portRef I3 (instanceRef blk000005ce))
+ )
+ )
+ (net sig00000088
+ (joined
+ (portRef (member dout_2 14))
+ (portRef Q (instanceRef blk00000525))
+ (portRef I3 (instanceRef blk000005cc))
+ )
+ )
+ (net sig00000089
+ (joined
+ (portRef (member dout_2 15))
+ (portRef Q (instanceRef blk00000524))
+ (portRef I3 (instanceRef blk000005ca))
+ )
+ )
+ (net sig0000008a
+ (joined
+ (portRef (member dout_2 16))
+ (portRef Q (instanceRef blk00000523))
+ (portRef I3 (instanceRef blk000005cb))
+ )
+ )
+ (net sig0000008b
+ (joined
+ (portRef (member dout_2 17))
+ (portRef Q (instanceRef blk00000522))
+ (portRef I3 (instanceRef blk000005c9))
+ )
+ )
+ (net sig0000008c
+ (joined
+ (portRef (member dout_2 18))
+ (portRef Q (instanceRef blk00000521))
+ (portRef I3 (instanceRef blk000005c7))
+ )
+ )
+ (net sig0000008d
+ (joined
+ (portRef (member dout_2 19))
+ (portRef Q (instanceRef blk00000520))
+ (portRef I3 (instanceRef blk000005c8))
+ )
+ )
+ (net sig0000008e
+ (joined
+ (portRef (member dout_2 20))
+ (portRef Q (instanceRef blk0000051f))
+ (portRef I3 (instanceRef blk000005c6))
+ )
+ )
+ (net sig0000008f
+ (joined
+ (portRef (member dout_2 21))
+ (portRef Q (instanceRef blk0000051e))
+ (portRef I3 (instanceRef blk000005c4))
+ )
+ )
+ (net sig00000090
+ (joined
+ (portRef (member dout_2 22))
+ (portRef Q (instanceRef blk0000051d))
+ (portRef I3 (instanceRef blk000005c5))
+ )
+ )
+ (net sig00000091
+ (joined
+ (portRef (member dout_2 23))
+ (portRef Q (instanceRef blk0000051c))
+ (portRef I3 (instanceRef blk000005c3))
+ )
+ )
+ (net sig00000092
+ (joined
+ (portRef (member dout_2 24))
+ (portRef Q (instanceRef blk0000051b))
+ (portRef I3 (instanceRef blk000005c1))
+ )
+ )
+ (net sig00000093
+ (joined
+ (portRef (member dout_2 25))
+ (portRef Q (instanceRef blk0000051a))
+ (portRef I3 (instanceRef blk000005c2))
+ )
+ )
+ (net sig00000094
+ (joined
+ (portRef (member dout_2 26))
+ (portRef Q (instanceRef blk00000519))
+ (portRef I3 (instanceRef blk000005c0))
+ )
+ )
+ (net sig00000095
+ (joined
+ (portRef (member dout_2 27))
+ (portRef Q (instanceRef blk00000518))
+ (portRef I3 (instanceRef blk000005be))
+ )
+ )
+ (net sig00000096
+ (joined
+ (portRef (member dout_2 28))
+ (portRef Q (instanceRef blk00000517))
+ (portRef I3 (instanceRef blk000005bf))
+ )
+ )
+ (net sig00000097
+ (joined
+ (portRef (member dout_2 29))
+ (portRef Q (instanceRef blk00000516))
+ (portRef I3 (instanceRef blk000005bd))
+ )
+ )
+ (net sig00000098
+ (joined
+ (portRef (member dout_2 30))
+ (portRef Q (instanceRef blk00000515))
+ (portRef I3 (instanceRef blk000005bb))
+ )
+ )
+ (net sig00000099
+ (joined
+ (portRef (member dout_2 31))
+ (portRef Q (instanceRef blk00000514))
+ (portRef I3 (instanceRef blk000005bc))
+ )
+ )
+ (net sig0000009a
+ (joined
+ (portRef (member dout_2 32))
+ (portRef Q (instanceRef blk00000513))
+ (portRef I3 (instanceRef blk000005ba))
+ )
+ )
+ (net sig0000009b
+ (joined
+ (portRef (member dout_2 33))
+ (portRef Q (instanceRef blk00000512))
+ (portRef I3 (instanceRef blk000005b8))
+ )
+ )
+ (net sig0000009c
+ (joined
+ (portRef (member dout_2 34))
+ (portRef Q (instanceRef blk00000511))
+ (portRef I3 (instanceRef blk000005b9))
+ )
+ )
+ (net sig0000009d
+ (joined
+ (portRef (member dout_2 35))
+ (portRef Q (instanceRef blk00000510))
+ (portRef I3 (instanceRef blk000005b7))
+ )
+ )
+ (net sig0000009e
+ (joined
+ (portRef (member dout_2 36))
+ (portRef Q (instanceRef blk0000050f))
+ (portRef I3 (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000009f
+ (joined
+ (portRef (member dout_2 37))
+ (portRef Q (instanceRef blk0000050e))
+ (portRef I3 (instanceRef blk000005b6))
+ )
+ )
+ (net sig000000a0
+ (joined
+ (portRef (member dout_2 38))
+ (portRef Q (instanceRef blk0000050d))
+ (portRef I3 (instanceRef blk000005b4))
+ )
+ )
+ (net sig000000a1
+ (joined
+ (portRef (member dout_2 39))
+ (portRef Q (instanceRef blk0000050c))
+ (portRef I3 (instanceRef blk000005b2))
+ )
+ )
+ (net sig000000a2
+ (joined
+ (portRef (member dout_2 40))
+ (portRef Q (instanceRef blk0000050b))
+ (portRef I3 (instanceRef blk000005b3))
+ )
+ )
+ (net sig000000a3
+ (joined
+ (portRef (member dout_2 41))
+ (portRef Q (instanceRef blk0000050a))
+ (portRef I3 (instanceRef blk000005b1))
+ )
+ )
+ (net sig000000a4
+ (joined
+ (portRef (member dout_2 42))
+ (portRef Q (instanceRef blk00000509))
+ (portRef I3 (instanceRef blk000005af))
+ )
+ )
+ (net sig000000a5
+ (joined
+ (portRef (member dout_2 43))
+ (portRef Q (instanceRef blk00000508))
+ (portRef I3 (instanceRef blk000005b0))
+ )
+ )
+ (net sig000000a6
+ (joined
+ (portRef (member dout_2 44))
+ (portRef Q (instanceRef blk00000507))
+ (portRef I3 (instanceRef blk000005ae))
+ )
+ )
+ (net sig000000a7
+ (joined
+ (portRef (member dout_2 45))
+ (portRef Q (instanceRef blk00000506))
+ (portRef I3 (instanceRef blk000005ad))
+ )
+ )
+ (net sig000000a8
+ (joined
+ (portRef (member dout_2 46))
+ (portRef Q (instanceRef blk00000505))
+ (portRef I3 (instanceRef blk000005ab))
+ )
+ )
+ (net sig000000a9
+ (joined
+ (portRef rfd)
+ (portRef Q (instanceRef blk00000021))
+ (portRef I0 (instanceRef blk00000546))
+ (portRef I0 (instanceRef blk0000054d))
+ (portRef I2 (instanceRef blk00000551))
+ (portRef I1 (instanceRef blk0000056d))
+ (portRef I2 (instanceRef blk0000056e))
+ (portRef I2 (instanceRef blk0000056f))
+ (portRef I2 (instanceRef blk00000570))
+ (portRef I4 (instanceRef blk00000612))
+ )
+ )
+ (net sig000000aa
+ (joined
+ (portRef rdy)
+ (portRef Q (instanceRef blk00000024))
+ )
+ )
+ (net sig000000ab
+ (joined
+ (portRef data_valid)
+ (portRef Q (instanceRef blk00000027))
+ )
+ )
+ (net sig000000ac
+ (joined
+ (portRef P (instanceRef blk00000005))
+ (portRef LI (instanceRef blk0000000d))
+ (portRef CI (instanceRef blk0000000f))
+ (portRef INMODE_2_ (instanceRef blk00000010))
+ (portRef INMODE_2_ (instanceRef blk00000011))
+ (portRef DI (instanceRef blk00000014))
+ (portRef LI (instanceRef blk00000092))
+ (portRef CI (instanceRef blk00000094))
+ (portRef CI (instanceRef blk00000096))
+ (portRef DI (instanceRef blk000000bb))
+ (portRef LI (instanceRef blk000000c5))
+ (portRef LI (instanceRef blk000000cf))
+ (portRef CI (instanceRef blk000000da))
+ (portRef DI (instanceRef blk000000df))
+ (portRef DI (instanceRef blk000000e9))
+ (portRef OPMODE_5_ (instanceRef blk000000f7))
+ (portRef OPMODE_4_ (instanceRef blk000000f7))
+ (portRef OPMODE_2_ (instanceRef blk000000f7))
+ (portRef OPMODE_0_ (instanceRef blk000000f7))
+ (portRef INMODE_2_ (instanceRef blk000000f7))
+ (portRef OPMODE_5_ (instanceRef blk000000f8))
+ (portRef OPMODE_4_ (instanceRef blk000000f8))
+ (portRef OPMODE_2_ (instanceRef blk000000f8))
+ (portRef OPMODE_0_ (instanceRef blk000000f8))
+ (portRef INMODE_2_ (instanceRef blk000000f8))
+ (portRef OPMODE_4_ (instanceRef blk000000f9))
+ (portRef OPMODE_2_ (instanceRef blk000000f9))
+ (portRef OPMODE_0_ (instanceRef blk000000f9))
+ (portRef INMODE_2_ (instanceRef blk000000f9))
+ (portRef OPMODE_4_ (instanceRef blk000000fa))
+ (portRef OPMODE_2_ (instanceRef blk000000fa))
+ (portRef OPMODE_0_ (instanceRef blk000000fa))
+ (portRef INMODE_2_ (instanceRef blk000000fa))
+ (portRef OPMODE_4_ (instanceRef blk000000fb))
+ (portRef OPMODE_2_ (instanceRef blk000000fb))
+ (portRef OPMODE_0_ (instanceRef blk000000fb))
+ (portRef INMODE_2_ (instanceRef blk000000fb))
+ (portRef OPMODE_4_ (instanceRef blk000000fc))
+ (portRef OPMODE_2_ (instanceRef blk000000fc))
+ (portRef OPMODE_0_ (instanceRef blk000000fc))
+ (portRef INMODE_2_ (instanceRef blk000000fc))
+ (portRef DI (instanceRef blk0000053f))
+ (portRef A0 (instanceRef blk00000628))
+ (portRef A2 (instanceRef blk0000062c))
+ (portRef A0 (instanceRef blk00000652))
+ (portRef A1 (instanceRef blk00000652))
+ (portRef A1 (instanceRef blk00000654))
+ (portRef A1 (instanceRef blk00000656))
+ (portRef A0 (instanceRef blk00000658))
+ (portRef A0 (instanceRef blk0000065a))
+ (portRef A0 (instanceRef blk0000065c))
+ (portRef A0 (instanceRef blk0000065e))
+ (portRef A0 (instanceRef blk00000660))
+ (portRef A0 (instanceRef blk00000662))
+ (portRef A0 (instanceRef blk00000664))
+ (portRef A0 (instanceRef blk00000666))
+ (portRef A0 (instanceRef blk00000668))
+ (portRef A0 (instanceRef blk0000066a))
+ (portRef A0 (instanceRef blk0000066c))
+ (portRef A0 (instanceRef blk0000066e))
+ (portRef A0 (instanceRef blk00000670))
+ (portRef A0 (instanceRef blk00000672))
+ (portRef A0 (instanceRef blk00000674))
+ (portRef A0 (instanceRef blk00000676))
+ (portRef A0 (instanceRef blk00000678))
+ (portRef A0 (instanceRef blk0000067a))
+ (portRef A0 (instanceRef blk0000067c))
+ (portRef A0 (instanceRef blk0000067e))
+ (portRef A0 (instanceRef blk00000680))
+ (portRef A0 (instanceRef blk00000682))
+ (portRef A0 (instanceRef blk00000684))
+ (portRef A0 (instanceRef blk00000686))
+ (portRef A0 (instanceRef blk00000688))
+ (portRef A0 (instanceRef blk0000068a))
+ (portRef A0 (instanceRef blk0000068c))
+ (portRef A0 (instanceRef blk0000068e))
+ (portRef A0 (instanceRef blk00000690))
+ (portRef A0 (instanceRef blk00000692))
+ (portRef A0 (instanceRef blk00000694))
+ (portRef A0 (instanceRef blk00000696))
+ (portRef A0 (instanceRef blk00000698))
+ (portRef A0 (instanceRef blk0000069a))
+ (portRef A0 (instanceRef blk0000069c))
+ (portRef A0 (instanceRef blk0000069e))
+ (portRef A0 (instanceRef blk000006a0))
+ (portRef A0 (instanceRef blk000006a2))
+ (portRef A0 (instanceRef blk000006a4))
+ (portRef A0 (instanceRef blk000006a6))
+ (portRef A0 (instanceRef blk000006a8))
+ (portRef A0 (instanceRef blk000006aa))
+ (portRef A0 (instanceRef blk000006ac))
+ (portRef A0 (instanceRef blk000006ae))
+ (portRef A0 (instanceRef blk000006b0))
+ (portRef A0 (instanceRef blk000006b2))
+ (portRef A0 (instanceRef blk000006b4))
+ (portRef A0 (instanceRef blk000006b8))
+ (portRef A1 (instanceRef blk000006bc))
+ (portRef A3 (instanceRef blk000006bc))
+ (portRef A1 (instanceRef blk000006be))
+ (portRef A3 (instanceRef blk000006be))
+ (portRef A1 (instanceRef blk000006c0))
+ (portRef A3 (instanceRef blk000006c0))
+ (portRef A1 (instanceRef blk000006c2))
+ (portRef A3 (instanceRef blk000006c2))
+ (portRef A1 (instanceRef blk000006c4))
+ (portRef A3 (instanceRef blk000006c4))
+ (portRef A1 (instanceRef blk000006c6))
+ (portRef A3 (instanceRef blk000006c6))
+ (portRef A1 (instanceRef blk000006c8))
+ (portRef A3 (instanceRef blk000006c8))
+ (portRef A1 (instanceRef blk000006ca))
+ (portRef A3 (instanceRef blk000006ca))
+ (portRef A1 (instanceRef blk000006cc))
+ (portRef A3 (instanceRef blk000006cc))
+ (portRef A1 (instanceRef blk000006ce))
+ (portRef A3 (instanceRef blk000006ce))
+ (portRef A1 (instanceRef blk000006d0))
+ (portRef A3 (instanceRef blk000006d0))
+ (portRef A1 (instanceRef blk000006d2))
+ (portRef A3 (instanceRef blk000006d2))
+ (portRef A1 (instanceRef blk000006d4))
+ (portRef A3 (instanceRef blk000006d4))
+ (portRef A1 (instanceRef blk000006d6))
+ (portRef A3 (instanceRef blk000006d6))
+ (portRef A1 (instanceRef blk000006d8))
+ (portRef A3 (instanceRef blk000006d8))
+ (portRef A1 (instanceRef blk000006da))
+ (portRef A3 (instanceRef blk000006da))
+ (portRef A1 (instanceRef blk000006dc))
+ (portRef A3 (instanceRef blk000006dc))
+ (portRef A1 (instanceRef blk000006de))
+ (portRef A3 (instanceRef blk000006de))
+ (portRef A1 (instanceRef blk000006e0))
+ (portRef A3 (instanceRef blk000006e0))
+ (portRef A1 (instanceRef blk000006e2))
+ (portRef A3 (instanceRef blk000006e2))
+ (portRef A1 (instanceRef blk000006e4))
+ (portRef A3 (instanceRef blk000006e4))
+ (portRef A1 (instanceRef blk000006e6))
+ (portRef A3 (instanceRef blk000006e6))
+ (portRef A1 (instanceRef blk000006e8))
+ (portRef A3 (instanceRef blk000006e8))
+ (portRef A1 (instanceRef blk000006ea))
+ (portRef A3 (instanceRef blk000006ea))
+ (portRef A1 (instanceRef blk000006ec))
+ (portRef A3 (instanceRef blk000006ec))
+ (portRef A1 (instanceRef blk000006ee))
+ (portRef A3 (instanceRef blk000006ee))
+ (portRef A1 (instanceRef blk000006f0))
+ (portRef A3 (instanceRef blk000006f0))
+ (portRef A1 (instanceRef blk000006f2))
+ (portRef A3 (instanceRef blk000006f2))
+ (portRef A1 (instanceRef blk000006f4))
+ (portRef A3 (instanceRef blk000006f4))
+ (portRef A1 (instanceRef blk000006f6))
+ (portRef A3 (instanceRef blk000006f6))
+ (portRef A1 (instanceRef blk000006f8))
+ (portRef A3 (instanceRef blk000006f8))
+ (portRef A1 (instanceRef blk000006fa))
+ (portRef A3 (instanceRef blk000006fa))
+ (portRef A1 (instanceRef blk000006fc))
+ (portRef A3 (instanceRef blk000006fc))
+ (portRef A1 (instanceRef blk000006fe))
+ (portRef A3 (instanceRef blk000006fe))
+ (portRef A1 (instanceRef blk00000700))
+ (portRef A3 (instanceRef blk00000700))
+ (portRef A1 (instanceRef blk00000702))
+ (portRef A3 (instanceRef blk00000702))
+ (portRef A1 (instanceRef blk00000704))
+ (portRef A3 (instanceRef blk00000704))
+ (portRef A1 (instanceRef blk00000706))
+ (portRef A3 (instanceRef blk00000706))
+ (portRef A1 (instanceRef blk00000708))
+ (portRef A3 (instanceRef blk00000708))
+ (portRef A1 (instanceRef blk0000070a))
+ (portRef A3 (instanceRef blk0000070a))
+ (portRef A1 (instanceRef blk0000070c))
+ (portRef A3 (instanceRef blk0000070c))
+ (portRef A1 (instanceRef blk0000070e))
+ (portRef A3 (instanceRef blk0000070e))
+ (portRef A1 (instanceRef blk00000710))
+ (portRef A3 (instanceRef blk00000710))
+ (portRef A1 (instanceRef blk00000712))
+ (portRef A3 (instanceRef blk00000712))
+ (portRef A1 (instanceRef blk00000714))
+ (portRef A3 (instanceRef blk00000714))
+ (portRef A1 (instanceRef blk00000716))
+ (portRef A3 (instanceRef blk00000716))
+ (portRef A1 (instanceRef blk00000718))
+ (portRef A3 (instanceRef blk00000718))
+ (portRef A1 (instanceRef blk0000071a))
+ (portRef A3 (instanceRef blk0000071a))
+ (portRef A0 (instanceRef blk0000071c))
+ (portRef A3 (instanceRef blk0000071c))
+ (portRef A2 (instanceRef blk00000720))
+ (portRef A2 (instanceRef blk00000722))
+ (portRef A2 (instanceRef blk00000724))
+ (portRef A2 (instanceRef blk00000726))
+ (portRef A2 (instanceRef blk00000728))
+ (portRef A2 (instanceRef blk0000072a))
+ (portRef A2 (instanceRef blk0000072c))
+ (portRef A2 (instanceRef blk0000072e))
+ (portRef A2 (instanceRef blk00000730))
+ (portRef A2 (instanceRef blk00000732))
+ (portRef A2 (instanceRef blk00000734))
+ (portRef A2 (instanceRef blk00000736))
+ (portRef A2 (instanceRef blk00000738))
+ (portRef A2 (instanceRef blk0000073a))
+ (portRef A2 (instanceRef blk0000073c))
+ (portRef A2 (instanceRef blk0000073e))
+ (portRef A2 (instanceRef blk00000740))
+ (portRef A2 (instanceRef blk00000742))
+ (portRef A2 (instanceRef blk00000744))
+ (portRef A2 (instanceRef blk00000746))
+ (portRef A2 (instanceRef blk00000748))
+ (portRef A2 (instanceRef blk0000074a))
+ (portRef A2 (instanceRef blk0000074c))
+ (portRef A2 (instanceRef blk0000074e))
+ (portRef A2 (instanceRef blk00000750))
+ (portRef A2 (instanceRef blk00000752))
+ (portRef A2 (instanceRef blk00000754))
+ (portRef A2 (instanceRef blk00000756))
+ (portRef A2 (instanceRef blk00000758))
+ (portRef A2 (instanceRef blk0000075a))
+ (portRef A2 (instanceRef blk0000075c))
+ (portRef A2 (instanceRef blk0000075e))
+ (portRef A2 (instanceRef blk00000760))
+ (portRef A2 (instanceRef blk00000762))
+ (portRef A2 (instanceRef blk00000764))
+ (portRef A2 (instanceRef blk00000766))
+ (portRef A2 (instanceRef blk00000768))
+ (portRef A2 (instanceRef blk0000076a))
+ (portRef A2 (instanceRef blk0000076c))
+ (portRef A2 (instanceRef blk0000076e))
+ (portRef A2 (instanceRef blk00000770))
+ (portRef A2 (instanceRef blk00000772))
+ (portRef A2 (instanceRef blk00000774))
+ (portRef A2 (instanceRef blk00000776))
+ (portRef A2 (instanceRef blk00000778))
+ (portRef A2 (instanceRef blk0000077a))
+ (portRef A2 (instanceRef blk0000077c))
+ (portRef A2 (instanceRef blk0000077e))
+ (portRef A0 (instanceRef blk00000780))
+ (portRef A2 (instanceRef blk00000780))
+ (portRef A2 (instanceRef blk00000782))
+ )
+ )
+ (net sig000000ad
+ (joined
+ (portRef DI (instanceRef blk00000006))
+ (portRef I0 (instanceRef blk00000545))
+ (portRef Q (instanceRef blk000005e1))
+ (portRef I (instanceRef blk0000061e))
+ )
+ )
+ (net sig000000ae
+ (joined
+ (portRef S (instanceRef blk00000006))
+ (portRef LI (instanceRef blk00000007))
+ (portRef O (instanceRef blk00000545))
+ )
+ )
+ (net sig000000af
+ (joined
+ (portRef O (instanceRef blk00000007))
+ (portRef D (instanceRef blk000005e1))
+ )
+ )
+ (net sig000000b0
+ (joined
+ (portRef D (instanceRef blk00000008))
+ (portRef O (instanceRef blk00000540))
+ )
+ )
+ (net sig000000b1
+ (joined
+ (portRef Q (instanceRef blk00000008))
+ (portRef OPMODE_4_ (instanceRef blk00000010))
+ (portRef OPMODE_4_ (instanceRef blk00000011))
+ (portRef I3 (instanceRef blk00000540))
+ )
+ )
+ (net sig000000b2
+ (joined
+ (portRef D (instanceRef blk00000009))
+ (portRef O (instanceRef blk00000547))
+ )
+ )
+ (net sig000000b3
+ (joined
+ (portRef Q (instanceRef blk00000009))
+ (portRef OPMODE_1_ (instanceRef blk00000010))
+ (portRef OPMODE_1_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000547))
+ )
+ )
+ (net sig000000b4
+ (joined
+ (portRef D (instanceRef blk0000000a))
+ (portRef O (instanceRef blk00000548))
+ )
+ )
+ (net sig000000b5
+ (joined
+ (portRef Q (instanceRef blk0000000a))
+ (portRef OPMODE_2_ (instanceRef blk00000010))
+ (portRef OPMODE_0_ (instanceRef blk00000010))
+ (portRef OPMODE_2_ (instanceRef blk00000011))
+ (portRef OPMODE_0_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000548))
+ )
+ )
+ (net sig000000b6
+ (joined
+ (portRef CI (instanceRef blk0000000b))
+ (portRef O (instanceRef blk0000000e))
+ )
+ )
+ (net sig000000b7
+ (joined
+ (portRef DI (instanceRef blk0000000b))
+ (portRef D (instanceRef blk00000029))
+ (portRef Q (instanceRef blk00000029))
+ (portRef I (instanceRef blk0000061d))
+ )
+ )
+ (net sig000000b8
+ (joined
+ (portRef S (instanceRef blk0000000b))
+ (portRef O (instanceRef blk0000061d))
+ )
+ )
+ (net sig000000b9
+ (joined
+ (portRef O (instanceRef blk0000000b))
+ (portRef CI (instanceRef blk0000000c))
+ )
+ )
+ (net sig000000ba
+ (joined
+ (portRef DI (instanceRef blk0000000c))
+ (portRef O (instanceRef blk0000061f))
+ )
+ )
+ (net sig000000bb
+ (joined
+ (portRef S (instanceRef blk0000000c))
+ (portRef O (instanceRef blk00000600))
+ )
+ )
+ (net sig000000bc
+ (joined
+ (portRef LO (instanceRef blk0000000c))
+ (portRef CI (instanceRef blk0000000d))
+ (portRef D (instanceRef blk00000016))
+ )
+ )
+ (net sig000000bd
+ (joined
+ (portRef O (instanceRef blk0000000d))
+ (portRef D (instanceRef blk00000015))
+ )
+ )
+ (net sig000000be
+ (joined
+ (portRef CI (instanceRef blk0000000e))
+ (portRef O (instanceRef blk0000000f))
+ )
+ )
+ (net sig000000bf
+ (joined
+ (portRef DI (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000017))
+ (portRef I0 (instanceRef blk0000054f))
+ (portRef I0 (instanceRef blk00000550))
+ )
+ )
+ (net sig000000c0
+ (joined
+ (portRef S (instanceRef blk0000000e))
+ (portRef O (instanceRef blk0000054f))
+ )
+ )
+ (net sig000000c1
+ (joined
+ (portRef LO (instanceRef blk0000000e))
+ (portRef D (instanceRef blk00000017))
+ )
+ )
+ (net sig000000c2
+ (joined
+ (portRef S (instanceRef blk0000000f))
+ (portRef O (instanceRef blk0000054b))
+ )
+ )
+ (net sig000000c3
+ (joined
+ (portRef PCIN_47_ (instanceRef blk00000010))
+ (portRef PCOUT_47_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000c4
+ (joined
+ (portRef PCIN_46_ (instanceRef blk00000010))
+ (portRef PCOUT_46_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000c5
+ (joined
+ (portRef PCIN_45_ (instanceRef blk00000010))
+ (portRef PCOUT_45_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000c6
+ (joined
+ (portRef PCIN_44_ (instanceRef blk00000010))
+ (portRef PCOUT_44_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000c7
+ (joined
+ (portRef PCIN_43_ (instanceRef blk00000010))
+ (portRef PCOUT_43_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000c8
+ (joined
+ (portRef PCIN_42_ (instanceRef blk00000010))
+ (portRef PCOUT_42_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000c9
+ (joined
+ (portRef PCIN_41_ (instanceRef blk00000010))
+ (portRef PCOUT_41_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ca
+ (joined
+ (portRef PCIN_40_ (instanceRef blk00000010))
+ (portRef PCOUT_40_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000cb
+ (joined
+ (portRef PCIN_39_ (instanceRef blk00000010))
+ (portRef PCOUT_39_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000cc
+ (joined
+ (portRef PCIN_38_ (instanceRef blk00000010))
+ (portRef PCOUT_38_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000cd
+ (joined
+ (portRef PCIN_37_ (instanceRef blk00000010))
+ (portRef PCOUT_37_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ce
+ (joined
+ (portRef PCIN_36_ (instanceRef blk00000010))
+ (portRef PCOUT_36_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000cf
+ (joined
+ (portRef PCIN_35_ (instanceRef blk00000010))
+ (portRef PCOUT_35_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d0
+ (joined
+ (portRef PCIN_34_ (instanceRef blk00000010))
+ (portRef PCOUT_34_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d1
+ (joined
+ (portRef PCIN_33_ (instanceRef blk00000010))
+ (portRef PCOUT_33_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d2
+ (joined
+ (portRef PCIN_32_ (instanceRef blk00000010))
+ (portRef PCOUT_32_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d3
+ (joined
+ (portRef PCIN_31_ (instanceRef blk00000010))
+ (portRef PCOUT_31_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d4
+ (joined
+ (portRef PCIN_30_ (instanceRef blk00000010))
+ (portRef PCOUT_30_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d5
+ (joined
+ (portRef PCIN_29_ (instanceRef blk00000010))
+ (portRef PCOUT_29_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d6
+ (joined
+ (portRef PCIN_28_ (instanceRef blk00000010))
+ (portRef PCOUT_28_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d7
+ (joined
+ (portRef PCIN_27_ (instanceRef blk00000010))
+ (portRef PCOUT_27_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d8
+ (joined
+ (portRef PCIN_26_ (instanceRef blk00000010))
+ (portRef PCOUT_26_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000d9
+ (joined
+ (portRef PCIN_25_ (instanceRef blk00000010))
+ (portRef PCOUT_25_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000da
+ (joined
+ (portRef PCIN_24_ (instanceRef blk00000010))
+ (portRef PCOUT_24_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000db
+ (joined
+ (portRef PCIN_23_ (instanceRef blk00000010))
+ (portRef PCOUT_23_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000dc
+ (joined
+ (portRef PCIN_22_ (instanceRef blk00000010))
+ (portRef PCOUT_22_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000dd
+ (joined
+ (portRef PCIN_21_ (instanceRef blk00000010))
+ (portRef PCOUT_21_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000de
+ (joined
+ (portRef PCIN_20_ (instanceRef blk00000010))
+ (portRef PCOUT_20_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000df
+ (joined
+ (portRef PCIN_19_ (instanceRef blk00000010))
+ (portRef PCOUT_19_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e0
+ (joined
+ (portRef PCIN_18_ (instanceRef blk00000010))
+ (portRef PCOUT_18_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e1
+ (joined
+ (portRef PCIN_17_ (instanceRef blk00000010))
+ (portRef PCOUT_17_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e2
+ (joined
+ (portRef PCIN_16_ (instanceRef blk00000010))
+ (portRef PCOUT_16_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e3
+ (joined
+ (portRef PCIN_15_ (instanceRef blk00000010))
+ (portRef PCOUT_15_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e4
+ (joined
+ (portRef PCIN_14_ (instanceRef blk00000010))
+ (portRef PCOUT_14_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e5
+ (joined
+ (portRef PCIN_13_ (instanceRef blk00000010))
+ (portRef PCOUT_13_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e6
+ (joined
+ (portRef PCIN_12_ (instanceRef blk00000010))
+ (portRef PCOUT_12_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e7
+ (joined
+ (portRef PCIN_11_ (instanceRef blk00000010))
+ (portRef PCOUT_11_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e8
+ (joined
+ (portRef PCIN_10_ (instanceRef blk00000010))
+ (portRef PCOUT_10_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000e9
+ (joined
+ (portRef PCIN_9_ (instanceRef blk00000010))
+ (portRef PCOUT_9_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ea
+ (joined
+ (portRef PCIN_8_ (instanceRef blk00000010))
+ (portRef PCOUT_8_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000eb
+ (joined
+ (portRef PCIN_7_ (instanceRef blk00000010))
+ (portRef PCOUT_7_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ec
+ (joined
+ (portRef PCIN_6_ (instanceRef blk00000010))
+ (portRef PCOUT_6_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ed
+ (joined
+ (portRef PCIN_5_ (instanceRef blk00000010))
+ (portRef PCOUT_5_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ee
+ (joined
+ (portRef PCIN_4_ (instanceRef blk00000010))
+ (portRef PCOUT_4_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000ef
+ (joined
+ (portRef PCIN_3_ (instanceRef blk00000010))
+ (portRef PCOUT_3_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000f0
+ (joined
+ (portRef PCIN_2_ (instanceRef blk00000010))
+ (portRef PCOUT_2_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000f1
+ (joined
+ (portRef PCIN_1_ (instanceRef blk00000010))
+ (portRef PCOUT_1_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000f2
+ (joined
+ (portRef PCIN_0_ (instanceRef blk00000010))
+ (portRef PCOUT_0_ (instanceRef blk000000fa))
+ )
+ )
+ (net sig000000f3
+ (joined
+ (portRef B_17_ (instanceRef blk00000010))
+ (portRef B_17_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 0) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000f4
+ (joined
+ (portRef B_16_ (instanceRef blk00000010))
+ (portRef B_16_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 1) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000f5
+ (joined
+ (portRef B_15_ (instanceRef blk00000010))
+ (portRef B_15_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 2) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000f6
+ (joined
+ (portRef B_14_ (instanceRef blk00000010))
+ (portRef B_14_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 3) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000f7
+ (joined
+ (portRef B_13_ (instanceRef blk00000010))
+ (portRef B_13_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 4) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000f8
+ (joined
+ (portRef B_12_ (instanceRef blk00000010))
+ (portRef B_12_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 5) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000f9
+ (joined
+ (portRef B_11_ (instanceRef blk00000010))
+ (portRef B_11_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 6) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000fa
+ (joined
+ (portRef B_10_ (instanceRef blk00000010))
+ (portRef B_10_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 7) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000fb
+ (joined
+ (portRef B_9_ (instanceRef blk00000010))
+ (portRef B_9_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 8) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000fc
+ (joined
+ (portRef B_8_ (instanceRef blk00000010))
+ (portRef B_8_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 9) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000fd
+ (joined
+ (portRef B_7_ (instanceRef blk00000010))
+ (portRef B_7_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 10) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000fe
+ (joined
+ (portRef B_6_ (instanceRef blk00000010))
+ (portRef B_6_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 11) (instanceRef blk000004a4))
+ )
+ )
+ (net sig000000ff
+ (joined
+ (portRef B_5_ (instanceRef blk00000010))
+ (portRef B_5_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 12) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000100
+ (joined
+ (portRef B_4_ (instanceRef blk00000010))
+ (portRef B_4_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 13) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000101
+ (joined
+ (portRef B_3_ (instanceRef blk00000010))
+ (portRef B_3_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 14) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000102
+ (joined
+ (portRef B_2_ (instanceRef blk00000010))
+ (portRef B_2_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 15) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000103
+ (joined
+ (portRef B_1_ (instanceRef blk00000010))
+ (portRef B_1_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 16) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000104
+ (joined
+ (portRef B_0_ (instanceRef blk00000010))
+ (portRef B_0_ (instanceRef blk00000011))
+ (portRef (member DB_OUT 17) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000105
+ (joined
+ (portRef P_46_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d9))
+ )
+ )
+ (net sig00000106
+ (joined
+ (portRef P_45_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005da))
+ )
+ )
+ (net sig00000107
+ (joined
+ (portRef P_44_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d8))
+ )
+ )
+ (net sig00000108
+ (joined
+ (portRef P_43_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d6))
+ )
+ )
+ (net sig00000109
+ (joined
+ (portRef P_42_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d7))
+ )
+ )
+ (net sig0000010a
+ (joined
+ (portRef P_41_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d5))
+ )
+ )
+ (net sig0000010b
+ (joined
+ (portRef P_40_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d3))
+ )
+ )
+ (net sig0000010c
+ (joined
+ (portRef P_39_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d4))
+ )
+ )
+ (net sig0000010d
+ (joined
+ (portRef P_38_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d2))
+ )
+ )
+ (net sig0000010e
+ (joined
+ (portRef P_37_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d0))
+ )
+ )
+ (net sig0000010f
+ (joined
+ (portRef P_36_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005d1))
+ )
+ )
+ (net sig00000110
+ (joined
+ (portRef P_35_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005cf))
+ )
+ )
+ (net sig00000111
+ (joined
+ (portRef P_34_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005cd))
+ )
+ )
+ (net sig00000112
+ (joined
+ (portRef P_33_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005ce))
+ )
+ )
+ (net sig00000113
+ (joined
+ (portRef P_32_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005cc))
+ )
+ )
+ (net sig00000114
+ (joined
+ (portRef P_31_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005ca))
+ )
+ )
+ (net sig00000115
+ (joined
+ (portRef P_30_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005cb))
+ )
+ )
+ (net sig00000116
+ (joined
+ (portRef P_29_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c9))
+ )
+ )
+ (net sig00000117
+ (joined
+ (portRef P_28_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c7))
+ )
+ )
+ (net sig00000118
+ (joined
+ (portRef P_27_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c8))
+ )
+ )
+ (net sig00000119
+ (joined
+ (portRef P_26_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c6))
+ )
+ )
+ (net sig0000011a
+ (joined
+ (portRef P_25_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c4))
+ )
+ )
+ (net sig0000011b
+ (joined
+ (portRef P_24_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c5))
+ )
+ )
+ (net sig0000011c
+ (joined
+ (portRef P_23_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c3))
+ )
+ )
+ (net sig0000011d
+ (joined
+ (portRef P_22_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c1))
+ )
+ )
+ (net sig0000011e
+ (joined
+ (portRef P_21_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c2))
+ )
+ )
+ (net sig0000011f
+ (joined
+ (portRef P_20_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005c0))
+ )
+ )
+ (net sig00000120
+ (joined
+ (portRef P_19_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005be))
+ )
+ )
+ (net sig00000121
+ (joined
+ (portRef P_18_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005bf))
+ )
+ )
+ (net sig00000122
+ (joined
+ (portRef P_17_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005bd))
+ )
+ )
+ (net sig00000123
+ (joined
+ (portRef P_16_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005bb))
+ )
+ )
+ (net sig00000124
+ (joined
+ (portRef P_15_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005bc))
+ )
+ )
+ (net sig00000125
+ (joined
+ (portRef P_14_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005ba))
+ )
+ )
+ (net sig00000126
+ (joined
+ (portRef P_13_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b8))
+ )
+ )
+ (net sig00000127
+ (joined
+ (portRef P_12_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b9))
+ )
+ )
+ (net sig00000128
+ (joined
+ (portRef P_11_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b7))
+ )
+ )
+ (net sig00000129
+ (joined
+ (portRef P_10_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000012a
+ (joined
+ (portRef P_9_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b6))
+ )
+ )
+ (net sig0000012b
+ (joined
+ (portRef P_8_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b4))
+ )
+ )
+ (net sig0000012c
+ (joined
+ (portRef P_7_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b2))
+ )
+ )
+ (net sig0000012d
+ (joined
+ (portRef P_6_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b3))
+ )
+ )
+ (net sig0000012e
+ (joined
+ (portRef P_5_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b1))
+ )
+ )
+ (net sig0000012f
+ (joined
+ (portRef P_4_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005af))
+ )
+ )
+ (net sig00000130
+ (joined
+ (portRef P_3_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005b0))
+ )
+ )
+ (net sig00000131
+ (joined
+ (portRef P_2_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005ae))
+ )
+ )
+ (net sig00000132
+ (joined
+ (portRef P_1_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005ad))
+ )
+ )
+ (net sig00000133
+ (joined
+ (portRef P_0_ (instanceRef blk00000010))
+ (portRef I2 (instanceRef blk000005ab))
+ )
+ )
+ (net sig00000134
+ (joined
+ (portRef A_29_ (instanceRef blk00000010))
+ (portRef A_28_ (instanceRef blk00000010))
+ (portRef A_27_ (instanceRef blk00000010))
+ (portRef A_26_ (instanceRef blk00000010))
+ (portRef A_25_ (instanceRef blk00000010))
+ (portRef A_24_ (instanceRef blk00000010))
+ (portRef A_23_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000723))
+ )
+ )
+ (net sig00000135
+ (joined
+ (portRef A_22_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000725))
+ )
+ )
+ (net sig00000136
+ (joined
+ (portRef A_21_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000721))
+ )
+ )
+ (net sig00000137
+ (joined
+ (portRef A_20_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000729))
+ )
+ )
+ (net sig00000138
+ (joined
+ (portRef A_19_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000072b))
+ )
+ )
+ (net sig00000139
+ (joined
+ (portRef A_18_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000727))
+ )
+ )
+ (net sig0000013a
+ (joined
+ (portRef A_17_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000072d))
+ )
+ )
+ (net sig0000013b
+ (joined
+ (portRef A_16_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000072f))
+ )
+ )
+ (net sig0000013c
+ (joined
+ (portRef A_15_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000733))
+ )
+ )
+ (net sig0000013d
+ (joined
+ (portRef A_14_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000735))
+ )
+ )
+ (net sig0000013e
+ (joined
+ (portRef A_13_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000731))
+ )
+ )
+ (net sig0000013f
+ (joined
+ (portRef A_12_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000739))
+ )
+ )
+ (net sig00000140
+ (joined
+ (portRef A_11_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000073b))
+ )
+ )
+ (net sig00000141
+ (joined
+ (portRef A_10_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000737))
+ )
+ )
+ (net sig00000142
+ (joined
+ (portRef A_9_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000073f))
+ )
+ )
+ (net sig00000143
+ (joined
+ (portRef A_8_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000741))
+ )
+ )
+ (net sig00000144
+ (joined
+ (portRef A_7_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000073d))
+ )
+ )
+ (net sig00000145
+ (joined
+ (portRef A_6_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000743))
+ )
+ )
+ (net sig00000146
+ (joined
+ (portRef A_5_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000745))
+ )
+ )
+ (net sig00000147
+ (joined
+ (portRef A_4_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000749))
+ )
+ )
+ (net sig00000148
+ (joined
+ (portRef A_3_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000074b))
+ )
+ )
+ (net sig00000149
+ (joined
+ (portRef A_2_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000747))
+ )
+ )
+ (net sig0000014a
+ (joined
+ (portRef A_1_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000074f))
+ )
+ )
+ (net sig0000014b
+ (joined
+ (portRef A_0_ (instanceRef blk00000010))
+ (portRef Q (instanceRef blk00000751))
+ )
+ )
+ (net sig0000014c
+ (joined
+ (portRef PCIN_47_ (instanceRef blk00000011))
+ (portRef PCOUT_47_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000014d
+ (joined
+ (portRef PCIN_46_ (instanceRef blk00000011))
+ (portRef PCOUT_46_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000014e
+ (joined
+ (portRef PCIN_45_ (instanceRef blk00000011))
+ (portRef PCOUT_45_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000014f
+ (joined
+ (portRef PCIN_44_ (instanceRef blk00000011))
+ (portRef PCOUT_44_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000150
+ (joined
+ (portRef PCIN_43_ (instanceRef blk00000011))
+ (portRef PCOUT_43_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000151
+ (joined
+ (portRef PCIN_42_ (instanceRef blk00000011))
+ (portRef PCOUT_42_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000152
+ (joined
+ (portRef PCIN_41_ (instanceRef blk00000011))
+ (portRef PCOUT_41_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000153
+ (joined
+ (portRef PCIN_40_ (instanceRef blk00000011))
+ (portRef PCOUT_40_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000154
+ (joined
+ (portRef PCIN_39_ (instanceRef blk00000011))
+ (portRef PCOUT_39_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000155
+ (joined
+ (portRef PCIN_38_ (instanceRef blk00000011))
+ (portRef PCOUT_38_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000156
+ (joined
+ (portRef PCIN_37_ (instanceRef blk00000011))
+ (portRef PCOUT_37_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000157
+ (joined
+ (portRef PCIN_36_ (instanceRef blk00000011))
+ (portRef PCOUT_36_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000158
+ (joined
+ (portRef PCIN_35_ (instanceRef blk00000011))
+ (portRef PCOUT_35_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000159
+ (joined
+ (portRef PCIN_34_ (instanceRef blk00000011))
+ (portRef PCOUT_34_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000015a
+ (joined
+ (portRef PCIN_33_ (instanceRef blk00000011))
+ (portRef PCOUT_33_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000015b
+ (joined
+ (portRef PCIN_32_ (instanceRef blk00000011))
+ (portRef PCOUT_32_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000015c
+ (joined
+ (portRef PCIN_31_ (instanceRef blk00000011))
+ (portRef PCOUT_31_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000015d
+ (joined
+ (portRef PCIN_30_ (instanceRef blk00000011))
+ (portRef PCOUT_30_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000015e
+ (joined
+ (portRef PCIN_29_ (instanceRef blk00000011))
+ (portRef PCOUT_29_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000015f
+ (joined
+ (portRef PCIN_28_ (instanceRef blk00000011))
+ (portRef PCOUT_28_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000160
+ (joined
+ (portRef PCIN_27_ (instanceRef blk00000011))
+ (portRef PCOUT_27_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000161
+ (joined
+ (portRef PCIN_26_ (instanceRef blk00000011))
+ (portRef PCOUT_26_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000162
+ (joined
+ (portRef PCIN_25_ (instanceRef blk00000011))
+ (portRef PCOUT_25_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000163
+ (joined
+ (portRef PCIN_24_ (instanceRef blk00000011))
+ (portRef PCOUT_24_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000164
+ (joined
+ (portRef PCIN_23_ (instanceRef blk00000011))
+ (portRef PCOUT_23_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000165
+ (joined
+ (portRef PCIN_22_ (instanceRef blk00000011))
+ (portRef PCOUT_22_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000166
+ (joined
+ (portRef PCIN_21_ (instanceRef blk00000011))
+ (portRef PCOUT_21_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000167
+ (joined
+ (portRef PCIN_20_ (instanceRef blk00000011))
+ (portRef PCOUT_20_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000168
+ (joined
+ (portRef PCIN_19_ (instanceRef blk00000011))
+ (portRef PCOUT_19_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000169
+ (joined
+ (portRef PCIN_18_ (instanceRef blk00000011))
+ (portRef PCOUT_18_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000016a
+ (joined
+ (portRef PCIN_17_ (instanceRef blk00000011))
+ (portRef PCOUT_17_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000016b
+ (joined
+ (portRef PCIN_16_ (instanceRef blk00000011))
+ (portRef PCOUT_16_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000016c
+ (joined
+ (portRef PCIN_15_ (instanceRef blk00000011))
+ (portRef PCOUT_15_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000016d
+ (joined
+ (portRef PCIN_14_ (instanceRef blk00000011))
+ (portRef PCOUT_14_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000016e
+ (joined
+ (portRef PCIN_13_ (instanceRef blk00000011))
+ (portRef PCOUT_13_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000016f
+ (joined
+ (portRef PCIN_12_ (instanceRef blk00000011))
+ (portRef PCOUT_12_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000170
+ (joined
+ (portRef PCIN_11_ (instanceRef blk00000011))
+ (portRef PCOUT_11_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000171
+ (joined
+ (portRef PCIN_10_ (instanceRef blk00000011))
+ (portRef PCOUT_10_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000172
+ (joined
+ (portRef PCIN_9_ (instanceRef blk00000011))
+ (portRef PCOUT_9_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000173
+ (joined
+ (portRef PCIN_8_ (instanceRef blk00000011))
+ (portRef PCOUT_8_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000174
+ (joined
+ (portRef PCIN_7_ (instanceRef blk00000011))
+ (portRef PCOUT_7_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000175
+ (joined
+ (portRef PCIN_6_ (instanceRef blk00000011))
+ (portRef PCOUT_6_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000176
+ (joined
+ (portRef PCIN_5_ (instanceRef blk00000011))
+ (portRef PCOUT_5_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000177
+ (joined
+ (portRef PCIN_4_ (instanceRef blk00000011))
+ (portRef PCOUT_4_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000178
+ (joined
+ (portRef PCIN_3_ (instanceRef blk00000011))
+ (portRef PCOUT_3_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig00000179
+ (joined
+ (portRef PCIN_2_ (instanceRef blk00000011))
+ (portRef PCOUT_2_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000017a
+ (joined
+ (portRef PCIN_1_ (instanceRef blk00000011))
+ (portRef PCOUT_1_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000017b
+ (joined
+ (portRef PCIN_0_ (instanceRef blk00000011))
+ (portRef PCOUT_0_ (instanceRef blk000000f9))
+ )
+ )
+ (net sig0000017c
+ (joined
+ (portRef P_46_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005ac))
+ )
+ )
+ (net sig0000017d
+ (joined
+ (portRef P_45_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005aa))
+ )
+ )
+ (net sig0000017e
+ (joined
+ (portRef P_44_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a8))
+ )
+ )
+ (net sig0000017f
+ (joined
+ (portRef P_43_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a9))
+ )
+ )
+ (net sig00000180
+ (joined
+ (portRef P_42_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a7))
+ )
+ )
+ (net sig00000181
+ (joined
+ (portRef P_41_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a5))
+ )
+ )
+ (net sig00000182
+ (joined
+ (portRef P_40_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a6))
+ )
+ )
+ (net sig00000183
+ (joined
+ (portRef P_39_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a4))
+ )
+ )
+ (net sig00000184
+ (joined
+ (portRef P_38_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a2))
+ )
+ )
+ (net sig00000185
+ (joined
+ (portRef P_37_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a3))
+ )
+ )
+ (net sig00000186
+ (joined
+ (portRef P_36_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a1))
+ )
+ )
+ (net sig00000187
+ (joined
+ (portRef P_35_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000059f))
+ )
+ )
+ (net sig00000188
+ (joined
+ (portRef P_34_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk000005a0))
+ )
+ )
+ (net sig00000189
+ (joined
+ (portRef P_33_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000059e))
+ )
+ )
+ (net sig0000018a
+ (joined
+ (portRef P_32_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000059c))
+ )
+ )
+ (net sig0000018b
+ (joined
+ (portRef P_31_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000059d))
+ )
+ )
+ (net sig0000018c
+ (joined
+ (portRef P_30_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000059b))
+ )
+ )
+ (net sig0000018d
+ (joined
+ (portRef P_29_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000599))
+ )
+ )
+ (net sig0000018e
+ (joined
+ (portRef P_28_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000059a))
+ )
+ )
+ (net sig0000018f
+ (joined
+ (portRef P_27_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000598))
+ )
+ )
+ (net sig00000190
+ (joined
+ (portRef P_26_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000596))
+ )
+ )
+ (net sig00000191
+ (joined
+ (portRef P_25_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000597))
+ )
+ )
+ (net sig00000192
+ (joined
+ (portRef P_24_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000595))
+ )
+ )
+ (net sig00000193
+ (joined
+ (portRef P_23_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000593))
+ )
+ )
+ (net sig00000194
+ (joined
+ (portRef P_22_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000594))
+ )
+ )
+ (net sig00000195
+ (joined
+ (portRef P_21_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000592))
+ )
+ )
+ (net sig00000196
+ (joined
+ (portRef P_20_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000590))
+ )
+ )
+ (net sig00000197
+ (joined
+ (portRef P_19_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000591))
+ )
+ )
+ (net sig00000198
+ (joined
+ (portRef P_18_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000058f))
+ )
+ )
+ (net sig00000199
+ (joined
+ (portRef P_17_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000058d))
+ )
+ )
+ (net sig0000019a
+ (joined
+ (portRef P_16_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000058e))
+ )
+ )
+ (net sig0000019b
+ (joined
+ (portRef P_15_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000058c))
+ )
+ )
+ (net sig0000019c
+ (joined
+ (portRef P_14_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000058a))
+ )
+ )
+ (net sig0000019d
+ (joined
+ (portRef P_13_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000058b))
+ )
+ )
+ (net sig0000019e
+ (joined
+ (portRef P_12_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000589))
+ )
+ )
+ (net sig0000019f
+ (joined
+ (portRef P_11_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000587))
+ )
+ )
+ (net sig000001a0
+ (joined
+ (portRef P_10_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000588))
+ )
+ )
+ (net sig000001a1
+ (joined
+ (portRef P_9_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000586))
+ )
+ )
+ (net sig000001a2
+ (joined
+ (portRef P_8_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000584))
+ )
+ )
+ (net sig000001a3
+ (joined
+ (portRef P_7_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000585))
+ )
+ )
+ (net sig000001a4
+ (joined
+ (portRef P_6_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000583))
+ )
+ )
+ (net sig000001a5
+ (joined
+ (portRef P_5_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000581))
+ )
+ )
+ (net sig000001a6
+ (joined
+ (portRef P_4_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000582))
+ )
+ )
+ (net sig000001a7
+ (joined
+ (portRef P_3_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk00000580))
+ )
+ )
+ (net sig000001a8
+ (joined
+ (portRef P_2_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000057e))
+ )
+ )
+ (net sig000001a9
+ (joined
+ (portRef P_1_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000057f))
+ )
+ )
+ (net sig000001aa
+ (joined
+ (portRef P_0_ (instanceRef blk00000011))
+ (portRef I2 (instanceRef blk0000057d))
+ )
+ )
+ (net sig000001ab
+ (joined
+ (portRef A_29_ (instanceRef blk00000011))
+ (portRef A_28_ (instanceRef blk00000011))
+ (portRef A_27_ (instanceRef blk00000011))
+ (portRef A_26_ (instanceRef blk00000011))
+ (portRef A_25_ (instanceRef blk00000011))
+ (portRef A_24_ (instanceRef blk00000011))
+ (portRef A_23_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000074d))
+ )
+ )
+ (net sig000001ac
+ (joined
+ (portRef A_22_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000755))
+ )
+ )
+ (net sig000001ad
+ (joined
+ (portRef A_21_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000757))
+ )
+ )
+ (net sig000001ae
+ (joined
+ (portRef A_20_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000753))
+ )
+ )
+ (net sig000001af
+ (joined
+ (portRef A_19_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000759))
+ )
+ )
+ (net sig000001b0
+ (joined
+ (portRef A_18_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000075b))
+ )
+ )
+ (net sig000001b1
+ (joined
+ (portRef A_17_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000075f))
+ )
+ )
+ (net sig000001b2
+ (joined
+ (portRef A_16_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000761))
+ )
+ )
+ (net sig000001b3
+ (joined
+ (portRef A_15_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000075d))
+ )
+ )
+ (net sig000001b4
+ (joined
+ (portRef A_14_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000765))
+ )
+ )
+ (net sig000001b5
+ (joined
+ (portRef A_13_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000767))
+ )
+ )
+ (net sig000001b6
+ (joined
+ (portRef A_12_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000763))
+ )
+ )
+ (net sig000001b7
+ (joined
+ (portRef A_11_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000076b))
+ )
+ )
+ (net sig000001b8
+ (joined
+ (portRef A_10_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000076d))
+ )
+ )
+ (net sig000001b9
+ (joined
+ (portRef A_9_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000769))
+ )
+ )
+ (net sig000001ba
+ (joined
+ (portRef A_8_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000076f))
+ )
+ )
+ (net sig000001bb
+ (joined
+ (portRef A_7_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000771))
+ )
+ )
+ (net sig000001bc
+ (joined
+ (portRef A_6_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000775))
+ )
+ )
+ (net sig000001bd
+ (joined
+ (portRef A_5_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000777))
+ )
+ )
+ (net sig000001be
+ (joined
+ (portRef A_4_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000773))
+ )
+ )
+ (net sig000001bf
+ (joined
+ (portRef A_3_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk00000779))
+ )
+ )
+ (net sig000001c0
+ (joined
+ (portRef A_2_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000077b))
+ )
+ )
+ (net sig000001c1
+ (joined
+ (portRef A_1_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000077d))
+ )
+ )
+ (net sig000001c2
+ (joined
+ (portRef A_0_ (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000077f))
+ )
+ )
+ (net sig000001c3
+ (joined
+ (portRef CI (instanceRef blk00000012))
+ (portRef Q (instanceRef blk0000001d))
+ (portRef I0 (instanceRef blk00000544))
+ (portRef I1 (instanceRef blk0000054a))
+ (portRef I1 (instanceRef blk0000055d))
+ (portRef D (instanceRef blk0000071c))
+ )
+ )
+ (net sig000001c4
+ (joined
+ (portRef S (instanceRef blk00000012))
+ (portRef O (instanceRef blk0000054c))
+ )
+ )
+ (net sig000001c5
+ (joined
+ (portRef LO (instanceRef blk00000012))
+ (portRef D (instanceRef blk0000001e))
+ )
+ )
+ (net sig000001c6
+ (joined
+ (portRef CI (instanceRef blk00000013))
+ (portRef Q (instanceRef blk0000001f))
+ (portRef I1 (instanceRef blk00000545))
+ (portRef I3 (instanceRef blk00000546))
+ )
+ )
+ (net sig000001c7
+ (joined
+ (portRef S (instanceRef blk00000013))
+ (portRef O (instanceRef blk0000061e))
+ )
+ )
+ (net sig000001c8
+ (joined
+ (portRef O (instanceRef blk00000013))
+ (portRef CI (instanceRef blk00000014))
+ )
+ )
+ (net sig000001c9
+ (joined
+ (portRef LO (instanceRef blk00000013))
+ (portRef D (instanceRef blk00000020))
+ )
+ )
+ (net sig000001ca
+ (joined
+ (portRef S (instanceRef blk00000014))
+ (portRef O (instanceRef blk0000054d))
+ )
+ )
+ (net sig000001cb
+ (joined
+ (portRef O (instanceRef blk00000014))
+ (portRef D (instanceRef blk00000021))
+ )
+ )
+ (net sig000001cc
+ (joined
+ (portRef Q (instanceRef blk00000015))
+ (portRef I0 (instanceRef blk0000057d))
+ (portRef I0 (instanceRef blk0000057e))
+ (portRef I0 (instanceRef blk0000057f))
+ (portRef I0 (instanceRef blk00000580))
+ (portRef I0 (instanceRef blk00000581))
+ (portRef I0 (instanceRef blk00000582))
+ (portRef I0 (instanceRef blk00000583))
+ (portRef I0 (instanceRef blk00000584))
+ (portRef I0 (instanceRef blk00000585))
+ (portRef I0 (instanceRef blk00000586))
+ (portRef I0 (instanceRef blk00000587))
+ (portRef I0 (instanceRef blk00000588))
+ (portRef I0 (instanceRef blk00000589))
+ (portRef I0 (instanceRef blk0000058a))
+ (portRef I0 (instanceRef blk0000058b))
+ (portRef I0 (instanceRef blk0000058c))
+ (portRef I0 (instanceRef blk0000058d))
+ (portRef I0 (instanceRef blk0000058e))
+ (portRef I0 (instanceRef blk0000058f))
+ (portRef I0 (instanceRef blk00000590))
+ (portRef I0 (instanceRef blk00000591))
+ (portRef I0 (instanceRef blk00000592))
+ (portRef I0 (instanceRef blk00000593))
+ (portRef I0 (instanceRef blk00000594))
+ (portRef I0 (instanceRef blk00000595))
+ (portRef I0 (instanceRef blk00000596))
+ (portRef I0 (instanceRef blk00000597))
+ (portRef I0 (instanceRef blk00000598))
+ (portRef I0 (instanceRef blk00000599))
+ (portRef I0 (instanceRef blk0000059a))
+ (portRef I0 (instanceRef blk0000059b))
+ (portRef I0 (instanceRef blk0000059c))
+ (portRef I0 (instanceRef blk0000059d))
+ (portRef I0 (instanceRef blk0000059e))
+ (portRef I0 (instanceRef blk0000059f))
+ (portRef I0 (instanceRef blk000005a0))
+ (portRef I0 (instanceRef blk000005a1))
+ (portRef I0 (instanceRef blk000005a2))
+ (portRef I0 (instanceRef blk000005a3))
+ (portRef I0 (instanceRef blk000005a4))
+ (portRef I0 (instanceRef blk000005a5))
+ (portRef I0 (instanceRef blk000005a6))
+ (portRef I0 (instanceRef blk000005a7))
+ (portRef I0 (instanceRef blk000005a8))
+ (portRef I0 (instanceRef blk000005a9))
+ (portRef I0 (instanceRef blk000005aa))
+ (portRef I0 (instanceRef blk000005ab))
+ (portRef I0 (instanceRef blk000005ac))
+ (portRef I0 (instanceRef blk000005ad))
+ (portRef I0 (instanceRef blk000005ae))
+ (portRef I0 (instanceRef blk000005af))
+ (portRef I0 (instanceRef blk000005b0))
+ (portRef I0 (instanceRef blk000005b1))
+ (portRef I0 (instanceRef blk000005b2))
+ (portRef I0 (instanceRef blk000005b3))
+ (portRef I0 (instanceRef blk000005b4))
+ (portRef I0 (instanceRef blk000005b5))
+ (portRef I0 (instanceRef blk000005b6))
+ (portRef I0 (instanceRef blk000005b7))
+ (portRef I0 (instanceRef blk000005b8))
+ (portRef I0 (instanceRef blk000005b9))
+ (portRef I0 (instanceRef blk000005ba))
+ (portRef I0 (instanceRef blk000005bb))
+ (portRef I0 (instanceRef blk000005bc))
+ (portRef I0 (instanceRef blk000005bd))
+ (portRef I0 (instanceRef blk000005be))
+ (portRef I0 (instanceRef blk000005bf))
+ (portRef I0 (instanceRef blk000005c0))
+ (portRef I0 (instanceRef blk000005c1))
+ (portRef I0 (instanceRef blk000005c2))
+ (portRef I0 (instanceRef blk000005c3))
+ (portRef I0 (instanceRef blk000005c4))
+ (portRef I0 (instanceRef blk000005c5))
+ (portRef I0 (instanceRef blk000005c6))
+ (portRef I0 (instanceRef blk000005c7))
+ (portRef I0 (instanceRef blk000005c8))
+ (portRef I0 (instanceRef blk000005c9))
+ (portRef I0 (instanceRef blk000005ca))
+ (portRef I0 (instanceRef blk000005cb))
+ (portRef I0 (instanceRef blk000005cc))
+ (portRef I0 (instanceRef blk000005cd))
+ (portRef I0 (instanceRef blk000005ce))
+ (portRef I0 (instanceRef blk000005cf))
+ (portRef I0 (instanceRef blk000005d0))
+ (portRef I0 (instanceRef blk000005d1))
+ (portRef I0 (instanceRef blk000005d2))
+ (portRef I0 (instanceRef blk000005d3))
+ (portRef I0 (instanceRef blk000005d4))
+ (portRef I0 (instanceRef blk000005d5))
+ (portRef I0 (instanceRef blk000005d6))
+ (portRef I0 (instanceRef blk000005d7))
+ (portRef I0 (instanceRef blk000005d8))
+ (portRef I0 (instanceRef blk000005d9))
+ (portRef I0 (instanceRef blk000005da))
+ (portRef I0 (instanceRef blk00000600))
+ (portRef I (instanceRef blk0000061f))
+ )
+ )
+ (net sig000001cd
+ (joined
+ (portRef D (instanceRef blk00000018))
+ (portRef DI (instanceRef blk000000ca))
+ (portRef CI (instanceRef blk000000cc))
+ (portRef Q (instanceRef blk000000cd))
+ (portRef D (instanceRef blk000000f6))
+ )
+ )
+ (net sig000001ce
+ (joined
+ (portRef Q (instanceRef blk00000018))
+ (portRef DI (instanceRef blk000000cb))
+ (portRef I (instanceRef blk00000625))
+ (portRef D (instanceRef blk0000062a))
+ )
+ )
+ (net sig000001cf
+ (joined
+ (portRef D (instanceRef blk00000019))
+ (portRef D (instanceRef blk0000001a))
+ (portRef O (instanceRef blk00000543))
+ )
+ )
+ (net sig000001d0
+ (joined
+ (portRef Q (instanceRef blk00000019))
+ (portRef D (instanceRef blk00000654))
+ )
+ )
+ (net sig000001d1
+ (joined
+ (portRef D (instanceRef blk0000001b))
+ (portRef O (instanceRef blk00000542))
+ )
+ )
+ (net sig000001d2
+ (joined
+ (portRef Q (instanceRef blk0000001b))
+ (portRef I3 (instanceRef blk00000542))
+ (portRef I4 (instanceRef blk00000544))
+ )
+ )
+ (net sig000001d3
+ (joined
+ (portRef D (instanceRef blk0000001c))
+ (portRef O (instanceRef blk00000544))
+ )
+ )
+ (net sig000001d4
+ (joined
+ (portRef Q (instanceRef blk0000001c))
+ (portRef I1 (instanceRef blk00000542))
+ (portRef I0 (instanceRef blk00000543))
+ (portRef I2 (instanceRef blk00000544))
+ (portRef I2 (instanceRef blk00000615))
+ (portRef D (instanceRef blk00000652))
+ )
+ )
+ (net sig000001d5
+ (joined
+ (portRef D (instanceRef blk0000001d))
+ (portRef O (instanceRef blk0000054a))
+ )
+ )
+ (net sig000001d6
+ (joined
+ (portRef Q (instanceRef blk0000001e))
+ (portRef I2 (instanceRef blk00000542))
+ (portRef I2 (instanceRef blk00000543))
+ (portRef I3 (instanceRef blk00000544))
+ (portRef I0 (instanceRef blk0000054a))
+ (portRef I1 (instanceRef blk00000571))
+ (portRef I3 (instanceRef blk00000615))
+ (portRef D (instanceRef blk0000062c))
+ )
+ )
+ (net sig000001d7
+ (joined
+ (portRef D (instanceRef blk0000001f))
+ (portRef O (instanceRef blk00000546))
+ )
+ )
+ (net sig000001d8
+ (joined
+ (portRef Q (instanceRef blk00000020))
+ (portRef I2 (instanceRef blk00000546))
+ )
+ )
+ (net sig000001d9
+ (joined
+ (portRef D (instanceRef blk00000022))
+ (portRef O (instanceRef blk00000541))
+ )
+ )
+ (net sig000001da
+ (joined
+ (portRef Q (instanceRef blk00000022))
+ (portRef (member ADDRB 0) (instanceRef blk0000002b))
+ )
+ )
+ (net sig000001db
+ (joined
+ (portRef D (instanceRef blk00000023))
+ (portRef O (instanceRef blk00000549))
+ )
+ )
+ (net sig000001dc
+ (joined
+ (portRef Q (instanceRef blk00000023))
+ (portRef (member ADDRB 1) (instanceRef blk0000002b))
+ )
+ )
+ (net sig000001dd
+ (joined
+ (portRef D (instanceRef blk00000024))
+ (portRef Q (instanceRef blk000004d5))
+ (portRef I1 (instanceRef blk00000550))
+ (portRef I1 (instanceRef blk0000057d))
+ (portRef I1 (instanceRef blk0000057e))
+ (portRef I1 (instanceRef blk0000057f))
+ (portRef I1 (instanceRef blk00000580))
+ (portRef I1 (instanceRef blk00000581))
+ (portRef I1 (instanceRef blk00000582))
+ (portRef I1 (instanceRef blk00000583))
+ (portRef I1 (instanceRef blk00000584))
+ (portRef I1 (instanceRef blk00000585))
+ (portRef I1 (instanceRef blk00000586))
+ (portRef I1 (instanceRef blk00000587))
+ (portRef I1 (instanceRef blk00000588))
+ (portRef I1 (instanceRef blk00000589))
+ (portRef I1 (instanceRef blk0000058a))
+ (portRef I1 (instanceRef blk0000058b))
+ (portRef I1 (instanceRef blk0000058c))
+ (portRef I1 (instanceRef blk0000058d))
+ (portRef I1 (instanceRef blk0000058e))
+ (portRef I1 (instanceRef blk0000058f))
+ (portRef I1 (instanceRef blk00000590))
+ (portRef I1 (instanceRef blk00000591))
+ (portRef I1 (instanceRef blk00000592))
+ (portRef I1 (instanceRef blk00000593))
+ (portRef I1 (instanceRef blk00000594))
+ (portRef I1 (instanceRef blk00000595))
+ (portRef I1 (instanceRef blk00000596))
+ (portRef I1 (instanceRef blk00000597))
+ (portRef I1 (instanceRef blk00000598))
+ (portRef I1 (instanceRef blk00000599))
+ (portRef I1 (instanceRef blk0000059a))
+ (portRef I1 (instanceRef blk0000059b))
+ (portRef I1 (instanceRef blk0000059c))
+ (portRef I1 (instanceRef blk0000059d))
+ (portRef I1 (instanceRef blk0000059e))
+ (portRef I1 (instanceRef blk0000059f))
+ (portRef I1 (instanceRef blk000005a0))
+ (portRef I1 (instanceRef blk000005a1))
+ (portRef I1 (instanceRef blk000005a2))
+ (portRef I1 (instanceRef blk000005a3))
+ (portRef I1 (instanceRef blk000005a4))
+ (portRef I1 (instanceRef blk000005a5))
+ (portRef I1 (instanceRef blk000005a6))
+ (portRef I1 (instanceRef blk000005a7))
+ (portRef I1 (instanceRef blk000005a8))
+ (portRef I1 (instanceRef blk000005a9))
+ (portRef I1 (instanceRef blk000005aa))
+ (portRef I1 (instanceRef blk000005ab))
+ (portRef I1 (instanceRef blk000005ac))
+ (portRef I1 (instanceRef blk000005ad))
+ (portRef I1 (instanceRef blk000005ae))
+ (portRef I1 (instanceRef blk000005af))
+ (portRef I1 (instanceRef blk000005b0))
+ (portRef I1 (instanceRef blk000005b1))
+ (portRef I1 (instanceRef blk000005b2))
+ (portRef I1 (instanceRef blk000005b3))
+ (portRef I1 (instanceRef blk000005b4))
+ (portRef I1 (instanceRef blk000005b5))
+ (portRef I1 (instanceRef blk000005b6))
+ (portRef I1 (instanceRef blk000005b7))
+ (portRef I1 (instanceRef blk000005b8))
+ (portRef I1 (instanceRef blk000005b9))
+ (portRef I1 (instanceRef blk000005ba))
+ (portRef I1 (instanceRef blk000005bb))
+ (portRef I1 (instanceRef blk000005bc))
+ (portRef I1 (instanceRef blk000005bd))
+ (portRef I1 (instanceRef blk000005be))
+ (portRef I1 (instanceRef blk000005bf))
+ (portRef I1 (instanceRef blk000005c0))
+ (portRef I1 (instanceRef blk000005c1))
+ (portRef I1 (instanceRef blk000005c2))
+ (portRef I1 (instanceRef blk000005c3))
+ (portRef I1 (instanceRef blk000005c4))
+ (portRef I1 (instanceRef blk000005c5))
+ (portRef I1 (instanceRef blk000005c6))
+ (portRef I1 (instanceRef blk000005c7))
+ (portRef I1 (instanceRef blk000005c8))
+ (portRef I1 (instanceRef blk000005c9))
+ (portRef I1 (instanceRef blk000005ca))
+ (portRef I1 (instanceRef blk000005cb))
+ (portRef I1 (instanceRef blk000005cc))
+ (portRef I1 (instanceRef blk000005cd))
+ (portRef I1 (instanceRef blk000005ce))
+ (portRef I1 (instanceRef blk000005cf))
+ (portRef I1 (instanceRef blk000005d0))
+ (portRef I1 (instanceRef blk000005d1))
+ (portRef I1 (instanceRef blk000005d2))
+ (portRef I1 (instanceRef blk000005d3))
+ (portRef I1 (instanceRef blk000005d4))
+ (portRef I1 (instanceRef blk000005d5))
+ (portRef I1 (instanceRef blk000005d6))
+ (portRef I1 (instanceRef blk000005d7))
+ (portRef I1 (instanceRef blk000005d8))
+ (portRef I1 (instanceRef blk000005d9))
+ (portRef I1 (instanceRef blk000005da))
+ (portRef I0 (instanceRef blk000005db))
+ (portRef I2 (instanceRef blk000005dc))
+ )
+ )
+ (net sig000001de
+ (joined
+ (portRef R (instanceRef blk00000024))
+ (portRef R (instanceRef blk00000027))
+ (portRef O (instanceRef blk0000054e))
+ )
+ )
+ (net sig000001df
+ (joined
+ (portRef D (instanceRef blk00000025))
+ (portRef I1 (instanceRef blk0000057b))
+ (portRef Q (instanceRef blk00000629))
+ (portRef D (instanceRef blk0000071e))
+ )
+ )
+ (net sig000001e0
+ (joined
+ (portRef Q (instanceRef blk00000025))
+ (portRef D (instanceRef blk000000ae))
+ )
+ )
+ (net sig000001e1
+ (joined
+ (portRef D (instanceRef blk00000026))
+ (portRef Q (instanceRef blk00000028))
+ (portRef D (instanceRef blk00000628))
+ )
+ )
+ (net sig000001e2
+ (joined
+ (portRef Q (instanceRef blk00000026))
+ (portRef I2 (instanceRef blk00000541))
+ (portRef I1 (instanceRef blk00000549))
+ )
+ )
+ (net sig000001e3
+ (joined
+ (portRef D (instanceRef blk00000027))
+ (portRef O (instanceRef blk00000550))
+ )
+ )
+ (net sig000001e4
+ (joined
+ (portRef D (instanceRef blk00000028))
+ (portRef Q (instanceRef blk0000002a))
+ (portRef I0 (instanceRef blk00000542))
+ (portRef I3 (instanceRef blk00000543))
+ (portRef I1 (instanceRef blk00000544))
+ (portRef I2 (instanceRef blk0000054a))
+ )
+ )
+ (net sig000001e5
+ (joined
+ (portRef D (instanceRef blk0000002a))
+ (portRef O (instanceRef blk00000551))
+ )
+ )
+ (net sig000001e6
+ (joined
+ (portRef (member ADDRA 0) (instanceRef blk0000002b))
+ (portRef DI (instanceRef blk000000e0))
+ (portRef I1 (instanceRef blk0000056c))
+ (portRef I0 (instanceRef blk0000056f))
+ (portRef Q (instanceRef blk000005eb))
+ )
+ )
+ (net sig000001e7
+ (joined
+ (portRef (member ADDRA 1) (instanceRef blk0000002b))
+ (portRef DI (instanceRef blk000000e1))
+ (portRef I2 (instanceRef blk0000056c))
+ (portRef I3 (instanceRef blk0000056e))
+ (portRef Q (instanceRef blk000005ec))
+ )
+ )
+ (net sig000001e8
+ (joined
+ (portRef (member DB_OUT 0) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000065a))
+ (portRef D (instanceRef blk000006bc))
+ )
+ )
+ (net sig000001e9
+ (joined
+ (portRef (member DB_OUT 1) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000065c))
+ (portRef D (instanceRef blk000006be))
+ )
+ )
+ (net sig000001ea
+ (joined
+ (portRef (member DB_OUT 2) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000658))
+ (portRef D (instanceRef blk000006c2))
+ )
+ )
+ (net sig000001eb
+ (joined
+ (portRef (member DB_OUT 3) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000660))
+ (portRef D (instanceRef blk000006c4))
+ )
+ )
+ (net sig000001ec
+ (joined
+ (portRef (member DB_OUT 4) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000662))
+ (portRef D (instanceRef blk000006c0))
+ )
+ )
+ (net sig000001ed
+ (joined
+ (portRef (member DB_OUT 5) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000065e))
+ (portRef D (instanceRef blk000006c6))
+ )
+ )
+ (net sig000001ee
+ (joined
+ (portRef (member DB_OUT 6) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000664))
+ (portRef D (instanceRef blk000006c8))
+ )
+ )
+ (net sig000001ef
+ (joined
+ (portRef (member DB_OUT 7) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000666))
+ (portRef D (instanceRef blk000006cc))
+ )
+ )
+ (net sig000001f0
+ (joined
+ (portRef (member DB_OUT 8) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000066a))
+ (portRef D (instanceRef blk000006ce))
+ )
+ )
+ (net sig000001f1
+ (joined
+ (portRef (member DB_OUT 9) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000066c))
+ (portRef D (instanceRef blk000006ca))
+ )
+ )
+ (net sig000001f2
+ (joined
+ (portRef (member DB_OUT 10) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000668))
+ (portRef D (instanceRef blk000006d0))
+ )
+ )
+ (net sig000001f3
+ (joined
+ (portRef (member DB_OUT 11) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000670))
+ (portRef D (instanceRef blk000006d2))
+ )
+ )
+ (net sig000001f4
+ (joined
+ (portRef (member DB_OUT 12) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000672))
+ (portRef D (instanceRef blk000006d6))
+ )
+ )
+ (net sig000001f5
+ (joined
+ (portRef (member DB_OUT 13) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000066e))
+ (portRef D (instanceRef blk000006d8))
+ )
+ )
+ (net sig000001f6
+ (joined
+ (portRef (member DB_OUT 14) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000676))
+ (portRef D (instanceRef blk000006d4))
+ )
+ )
+ (net sig000001f7
+ (joined
+ (portRef (member DB_OUT 15) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000678))
+ (portRef D (instanceRef blk000006dc))
+ )
+ )
+ (net sig000001f8
+ (joined
+ (portRef (member DB_OUT 16) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000674))
+ (portRef D (instanceRef blk000006de))
+ )
+ )
+ (net sig000001f9
+ (joined
+ (portRef (member DB_OUT 17) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000067a))
+ (portRef D (instanceRef blk000006da))
+ )
+ )
+ (net sig000001fa
+ (joined
+ (portRef (member DB_OUT 18) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000067c))
+ (portRef D (instanceRef blk000006e2))
+ )
+ )
+ (net sig000001fb
+ (joined
+ (portRef (member DB_OUT 19) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000680))
+ (portRef D (instanceRef blk000006e4))
+ )
+ )
+ (net sig000001fc
+ (joined
+ (portRef (member DB_OUT 20) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000682))
+ (portRef D (instanceRef blk000006e0))
+ )
+ )
+ (net sig000001fd
+ (joined
+ (portRef (member DB_OUT 21) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000067e))
+ (portRef D (instanceRef blk000006e6))
+ )
+ )
+ (net sig000001fe
+ (joined
+ (portRef (member DB_OUT 22) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000686))
+ (portRef D (instanceRef blk000006e8))
+ )
+ )
+ (net sig000001ff
+ (joined
+ (portRef (member DB_OUT 23) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000688))
+ (portRef D (instanceRef blk000006ec))
+ )
+ )
+ (net sig00000200
+ (joined
+ (portRef (member DB_OUT 24) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000684))
+ (portRef D (instanceRef blk000006ee))
+ )
+ )
+ (net sig00000201
+ (joined
+ (portRef (member DB_OUT 25) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000068c))
+ (portRef D (instanceRef blk000006ea))
+ )
+ )
+ (net sig00000202
+ (joined
+ (portRef (member DB_OUT 26) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000068e))
+ (portRef D (instanceRef blk000006f2))
+ )
+ )
+ (net sig00000203
+ (joined
+ (portRef (member DB_OUT 27) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000068a))
+ (portRef D (instanceRef blk000006f4))
+ )
+ )
+ (net sig00000204
+ (joined
+ (portRef (member DB_OUT 28) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000690))
+ (portRef D (instanceRef blk000006f0))
+ )
+ )
+ (net sig00000205
+ (joined
+ (portRef (member DB_OUT 29) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000692))
+ (portRef D (instanceRef blk000006f8))
+ )
+ )
+ (net sig00000206
+ (joined
+ (portRef (member DB_OUT 30) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000696))
+ (portRef D (instanceRef blk000006fa))
+ )
+ )
+ (net sig00000207
+ (joined
+ (portRef (member DB_OUT 31) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000698))
+ (portRef D (instanceRef blk000006f6))
+ )
+ )
+ (net sig00000208
+ (joined
+ (portRef (member DB_OUT 32) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk00000694))
+ (portRef D (instanceRef blk000006fc))
+ )
+ )
+ (net sig00000209
+ (joined
+ (portRef (member DB_OUT 33) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000069c))
+ (portRef D (instanceRef blk000006fe))
+ )
+ )
+ (net sig0000020a
+ (joined
+ (portRef (member DB_OUT 34) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000069e))
+ (portRef D (instanceRef blk00000702))
+ )
+ )
+ (net sig0000020b
+ (joined
+ (portRef (member DB_OUT 35) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk0000069a))
+ (portRef D (instanceRef blk00000704))
+ )
+ )
+ (net sig0000020c
+ (joined
+ (portRef (member DB_OUT 36) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006a2))
+ (portRef D (instanceRef blk00000700))
+ )
+ )
+ (net sig0000020d
+ (joined
+ (portRef (member DB_OUT 37) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006a4))
+ (portRef D (instanceRef blk00000708))
+ )
+ )
+ (net sig0000020e
+ (joined
+ (portRef (member DB_OUT 38) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006a0))
+ (portRef D (instanceRef blk0000070a))
+ )
+ )
+ (net sig0000020f
+ (joined
+ (portRef (member DB_OUT 39) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006a6))
+ (portRef D (instanceRef blk00000706))
+ )
+ )
+ (net sig00000210
+ (joined
+ (portRef (member DB_OUT 40) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006a8))
+ (portRef D (instanceRef blk0000070e))
+ )
+ )
+ (net sig00000211
+ (joined
+ (portRef (member DB_OUT 41) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006ac))
+ (portRef D (instanceRef blk00000710))
+ )
+ )
+ (net sig00000212
+ (joined
+ (portRef (member DB_OUT 42) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006ae))
+ (portRef D (instanceRef blk0000070c))
+ )
+ )
+ (net sig00000213
+ (joined
+ (portRef (member DB_OUT 43) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006aa))
+ (portRef D (instanceRef blk00000712))
+ )
+ )
+ (net sig00000214
+ (joined
+ (portRef (member DB_OUT 44) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006b2))
+ (portRef D (instanceRef blk00000714))
+ )
+ )
+ (net sig00000215
+ (joined
+ (portRef (member DB_OUT 45) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006b4))
+ (portRef D (instanceRef blk00000718))
+ )
+ )
+ (net sig00000216
+ (joined
+ (portRef (member DB_OUT 46) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006b0))
+ (portRef D (instanceRef blk0000071a))
+ )
+ )
+ (net sig00000217
+ (joined
+ (portRef (member DB_OUT 47) (instanceRef blk0000002b))
+ (portRef D (instanceRef blk000006b8))
+ (portRef D (instanceRef blk00000716))
+ )
+ )
+ (net sig00000218
+ (joined
+ (portRef D (instanceRef blk0000008e))
+ (portRef O (instanceRef blk00000092))
+ )
+ )
+ (net sig00000219
+ (joined
+ (portRef Q (instanceRef blk0000008e))
+ (portRef DI (instanceRef blk000000d0))
+ (portRef I3 (instanceRef blk00000617))
+ )
+ )
+ (net sig0000021a
+ (joined
+ (portRef D (instanceRef blk0000008f))
+ (portRef LO (instanceRef blk00000091))
+ (portRef CI (instanceRef blk00000092))
+ )
+ )
+ (net sig0000021b
+ (joined
+ (portRef Q (instanceRef blk0000008f))
+ (portRef CI (instanceRef blk00000090))
+ (portRef D (instanceRef blk000000a3))
+ (portRef I (instanceRef blk00000620))
+ )
+ )
+ (net sig0000021c
+ (joined
+ (portRef DI (instanceRef blk00000090))
+ (portRef D (instanceRef blk0000009d))
+ (portRef D (instanceRef blk000000c3))
+ (portRef CI (instanceRef blk000000d5))
+ (portRef Q (instanceRef blk000000d6))
+ )
+ )
+ (net sig0000021d
+ (joined
+ (portRef S (instanceRef blk00000090))
+ (portRef O (instanceRef blk00000554))
+ )
+ )
+ (net sig0000021e
+ (joined
+ (portRef O (instanceRef blk00000090))
+ (portRef CI (instanceRef blk00000091))
+ )
+ )
+ (net sig0000021f
+ (joined
+ (portRef DI (instanceRef blk00000091))
+ (portRef DI (instanceRef blk000000c7))
+ (portRef DI (instanceRef blk000000c8))
+ (portRef Q (instanceRef blk000000d7))
+ )
+ )
+ (net sig00000220
+ (joined
+ (portRef S (instanceRef blk00000091))
+ (portRef O (instanceRef blk00000555))
+ )
+ )
+ (net sig00000221
+ (joined
+ (portRef CI (instanceRef blk00000093))
+ (portRef O (instanceRef blk00000094))
+ )
+ )
+ (net sig00000222
+ (joined
+ (portRef DI (instanceRef blk00000093))
+ (portRef Q (instanceRef blk0000009e))
+ (portRef I3 (instanceRef blk00000553))
+ (portRef I2 (instanceRef blk0000055e))
+ (portRef I3 (instanceRef blk0000055f))
+ (portRef I1 (instanceRef blk00000560))
+ )
+ )
+ (net sig00000223
+ (joined
+ (portRef S (instanceRef blk00000093))
+ (portRef O (instanceRef blk0000055a))
+ )
+ )
+ (net sig00000224
+ (joined
+ (portRef LO (instanceRef blk00000093))
+ (portRef D (instanceRef blk0000009e))
+ )
+ )
+ (net sig00000225
+ (joined
+ (portRef S (instanceRef blk00000094))
+ (portRef O (instanceRef blk00000556))
+ )
+ )
+ (net sig00000226
+ (joined
+ (portRef CI (instanceRef blk00000095))
+ (portRef O (instanceRef blk00000096))
+ )
+ )
+ (net sig00000227
+ (joined
+ (portRef DI (instanceRef blk00000095))
+ (portRef Q (instanceRef blk0000009f))
+ (portRef I2 (instanceRef blk00000553))
+ (portRef I1 (instanceRef blk0000055a))
+ (portRef I3 (instanceRef blk0000055e))
+ (portRef I2 (instanceRef blk0000055f))
+ (portRef I2 (instanceRef blk00000560))
+ )
+ )
+ (net sig00000228
+ (joined
+ (portRef LO (instanceRef blk00000095))
+ (portRef D (instanceRef blk0000009f))
+ )
+ )
+ (net sig00000229
+ (joined
+ (portRef S (instanceRef blk00000096))
+ (portRef O (instanceRef blk00000557))
+ )
+ )
+ (net sig0000022a
+ (joined
+ (portRef S (instanceRef blk00000097))
+ (portRef O (instanceRef blk00000601))
+ )
+ )
+ (net sig0000022b
+ (joined
+ (portRef O (instanceRef blk00000097))
+ (portRef CI (instanceRef blk00000098))
+ )
+ )
+ (net sig0000022c
+ (joined
+ (portRef LO (instanceRef blk00000097))
+ (portRef D (instanceRef blk000000a0))
+ )
+ )
+ (net sig0000022d
+ (joined
+ (portRef S (instanceRef blk00000098))
+ (portRef O (instanceRef blk00000558))
+ )
+ )
+ (net sig0000022e
+ (joined
+ (portRef LO (instanceRef blk00000098))
+ (portRef D (instanceRef blk000000a1))
+ )
+ )
+ (net sig0000022f
+ (joined
+ (portRef D (instanceRef blk00000099))
+ (portRef Q (instanceRef blk000000ab))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000230
+ (joined
+ (portRef Q (instanceRef blk00000099))
+ (portRef WEA (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000231
+ (joined
+ (portRef D (instanceRef blk0000009a))
+ (portRef Q (instanceRef blk000000ad))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000232
+ (joined
+ (portRef Q (instanceRef blk0000009a))
+ (portRef D (instanceRef blk00000103))
+ (portRef WEA (instanceRef blk0000037b))
+ )
+ )
+ (net sig00000233
+ (joined
+ (portRef D (instanceRef blk0000009b))
+ (portRef O (instanceRef blk00000552))
+ )
+ )
+ (net sig00000234
+ (joined
+ (portRef Q (instanceRef blk0000009b))
+ (portRef D (instanceRef blk000000a2))
+ (portRef I0 (instanceRef blk00000552))
+ (portRef I2 (instanceRef blk00000554))
+ (portRef I2 (instanceRef blk00000555))
+ (portRef I0 (instanceRef blk00000618))
+ (portRef I3 (instanceRef blk00000619))
+ (portRef I4 (instanceRef blk0000061a))
+ (portRef I1 (instanceRef blk0000061b))
+ )
+ )
+ (net sig00000235
+ (joined
+ (portRef D (instanceRef blk0000009c))
+ (portRef O (instanceRef blk00000553))
+ )
+ )
+ (net sig00000236
+ (joined
+ (portRef Q (instanceRef blk0000009c))
+ (portRef I1 (instanceRef blk00000552))
+ (portRef I0 (instanceRef blk00000553))
+ (portRef I1 (instanceRef blk00000554))
+ (portRef I2 (instanceRef blk00000559))
+ (portRef I1 (instanceRef blk00000618))
+ (portRef I1 (instanceRef blk00000619))
+ )
+ )
+ (net sig00000237
+ (joined
+ (portRef Q (instanceRef blk0000009d))
+ (portRef (member ADDRA 0) (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000238
+ (joined
+ (portRef Q (instanceRef blk000000a2))
+ (portRef I0 (instanceRef blk00000564))
+ )
+ )
+ (net sig00000239
+ (joined
+ (portRef Q (instanceRef blk000000a3))
+ (portRef DI (instanceRef blk000000c6))
+ )
+ )
+ (net sig0000023a
+ (joined
+ (portRef D (instanceRef blk000000a4))
+ (portRef Q (instanceRef blk000000a6))
+ (portRef I0 (instanceRef blk00000565))
+ (portRef I1 (instanceRef blk0000056a))
+ (portRef I2 (instanceRef blk00000616))
+ )
+ )
+ (net sig0000023b
+ (joined
+ (portRef Q (instanceRef blk000000a4))
+ (portRef I0 (instanceRef blk00000566))
+ (portRef I0 (instanceRef blk0000056b))
+ )
+ )
+ (net sig0000023c
+ (joined
+ (portRef D (instanceRef blk000000a5))
+ (portRef Q (instanceRef blk000000aa))
+ (portRef I0 (instanceRef blk00000562))
+ (portRef I2 (instanceRef blk00000617))
+ (portRef I1 (instanceRef blk0000061a))
+ )
+ )
+ (net sig0000023d
+ (joined
+ (portRef Q (instanceRef blk000000a5))
+ (portRef I0 (instanceRef blk00000563))
+ )
+ )
+ (net sig0000023e
+ (joined
+ (portRef D (instanceRef blk000000a6))
+ (portRef Q (instanceRef blk000000ae))
+ (portRef I1 (instanceRef blk00000562))
+ (portRef I1 (instanceRef blk00000563))
+ (portRef I2 (instanceRef blk00000564))
+ (portRef I1 (instanceRef blk00000565))
+ (portRef I1 (instanceRef blk00000566))
+ (portRef I1 (instanceRef blk00000567))
+ (portRef I1 (instanceRef blk00000569))
+ (portRef I (instanceRef blk00000621))
+ (portRef I (instanceRef blk00000627))
+ )
+ )
+ (net sig0000023f
+ (joined
+ (portRef D (instanceRef blk000000a7))
+ (portRef O (instanceRef blk0000061a))
+ )
+ )
+ (net sig00000240
+ (joined
+ (portRef Q (instanceRef blk000000a7))
+ (portRef I0 (instanceRef blk00000567))
+ (portRef I0 (instanceRef blk00000568))
+ )
+ )
+ (net sig00000241
+ (joined
+ (portRef D (instanceRef blk000000a8))
+ (portRef O (instanceRef blk0000055b))
+ )
+ )
+ (net sig00000242
+ (joined
+ (portRef Q (instanceRef blk000000a8))
+ (portRef I1 (instanceRef blk00000564))
+ (portRef I2 (instanceRef blk00000567))
+ (portRef I2 (instanceRef blk00000568))
+ (portRef I0 (instanceRef blk00000569))
+ (portRef I0 (instanceRef blk0000056a))
+ (portRef I1 (instanceRef blk0000056b))
+ (portRef I (instanceRef blk00000622))
+ )
+ )
+ (net sig00000243
+ (joined
+ (portRef D (instanceRef blk000000a9))
+ (portRef O (instanceRef blk00000620))
+ )
+ )
+ (net sig00000244
+ (joined
+ (portRef Q (instanceRef blk000000a9))
+ (portRef DI (instanceRef blk000000d1))
+ )
+ )
+ (net sig00000245
+ (joined
+ (portRef D (instanceRef blk000000aa))
+ (portRef O (instanceRef blk00000618))
+ )
+ )
+ (net sig00000246
+ (joined
+ (portRef D (instanceRef blk000000ab))
+ (portRef O (instanceRef blk00000619))
+ )
+ )
+ (net sig00000247
+ (joined
+ (portRef D (instanceRef blk000000ac))
+ (portRef O (instanceRef blk0000061b))
+ )
+ )
+ (net sig00000248
+ (joined
+ (portRef Q (instanceRef blk000000ac))
+ (portRef I2 (instanceRef blk00000562))
+ (portRef I1 (instanceRef blk00000568))
+ (portRef I0 (instanceRef blk0000061a))
+ )
+ )
+ (net sig00000249
+ (joined
+ (portRef D (instanceRef blk000000ad))
+ (portRef O (instanceRef blk00000559))
+ )
+ )
+ (net sig0000024a
+ (joined
+ (portRef Q (instanceRef blk000000af))
+ (portRef D (instanceRef blk000000c2))
+ )
+ )
+ (net sig0000024b
+ (joined
+ (portRef Q (instanceRef blk000000b0))
+ (portRef I1 (instanceRef blk00000555))
+ (portRef I1 (instanceRef blk0000055b))
+ (portRef I0 (instanceRef blk00000561))
+ (portRef I1 (instanceRef blk00000613))
+ (portRef I3 (instanceRef blk00000618))
+ (portRef I2 (instanceRef blk0000061a))
+ (portRef I0 (instanceRef blk0000061b))
+ )
+ )
+ (net sig0000024c
+ (joined
+ (portRef CI (instanceRef blk000000b1))
+ (portRef CI (instanceRef blk000000b2))
+ (portRef LO (instanceRef blk000000b4))
+ )
+ )
+ (net sig0000024d
+ (joined
+ (portRef LI (instanceRef blk000000b1))
+ (portRef S (instanceRef blk000000b2))
+ (portRef O (instanceRef blk00000602))
+ )
+ )
+ (net sig0000024e
+ (joined
+ (portRef O (instanceRef blk000000b1))
+ (portRef D (instanceRef blk000005e2))
+ )
+ )
+ (net sig0000024f
+ (joined
+ (portRef DI (instanceRef blk000000b2))
+ (portRef I0 (instanceRef blk00000557))
+ (portRef Q (instanceRef blk000005e2))
+ (portRef I0 (instanceRef blk00000601))
+ (portRef I0 (instanceRef blk00000602))
+ )
+ )
+ (net sig00000250
+ (joined
+ (portRef LI (instanceRef blk000000b3))
+ (portRef S (instanceRef blk000000b4))
+ (portRef O (instanceRef blk0000055c))
+ )
+ )
+ (net sig00000251
+ (joined
+ (portRef O (instanceRef blk000000b3))
+ (portRef D (instanceRef blk000005e3))
+ )
+ )
+ (net sig00000252
+ (joined
+ (portRef DI (instanceRef blk000000b4))
+ (portRef I1 (instanceRef blk00000557))
+ (portRef I0 (instanceRef blk0000055c))
+ (portRef Q (instanceRef blk000005e3))
+ (portRef I1 (instanceRef blk00000601))
+ )
+ )
+ (net sig00000253
+ (joined
+ (portRef CI (instanceRef blk000000b5))
+ (portRef CI (instanceRef blk000000b6))
+ (portRef LO (instanceRef blk000000b8))
+ )
+ )
+ (net sig00000254
+ (joined
+ (portRef LI (instanceRef blk000000b5))
+ (portRef S (instanceRef blk000000b6))
+ (portRef O (instanceRef blk00000603))
+ )
+ )
+ (net sig00000255
+ (joined
+ (portRef O (instanceRef blk000000b5))
+ (portRef D (instanceRef blk000005e4))
+ )
+ )
+ (net sig00000256
+ (joined
+ (portRef DI (instanceRef blk000000b6))
+ (portRef I0 (instanceRef blk0000054c))
+ (portRef Q (instanceRef blk000005e4))
+ (portRef I0 (instanceRef blk00000603))
+ )
+ )
+ (net sig00000257
+ (joined
+ (portRef LI (instanceRef blk000000b7))
+ (portRef S (instanceRef blk000000b8))
+ (portRef O (instanceRef blk0000055d))
+ )
+ )
+ (net sig00000258
+ (joined
+ (portRef O (instanceRef blk000000b7))
+ (portRef D (instanceRef blk000005e5))
+ )
+ )
+ (net sig00000259
+ (joined
+ (portRef DI (instanceRef blk000000b8))
+ (portRef I1 (instanceRef blk0000054c))
+ (portRef I0 (instanceRef blk0000055d))
+ (portRef Q (instanceRef blk000005e5))
+ )
+ )
+ (net sig0000025a
+ (joined
+ (portRef CI (instanceRef blk000000b9))
+ (portRef O (instanceRef blk000000bb))
+ (portRef CI (instanceRef blk000000bd))
+ )
+ )
+ (net sig0000025b
+ (joined
+ (portRef LI (instanceRef blk000000b9))
+ (portRef S (instanceRef blk000000bd))
+ (portRef O (instanceRef blk0000055e))
+ )
+ )
+ (net sig0000025c
+ (joined
+ (portRef O (instanceRef blk000000b9))
+ (portRef D (instanceRef blk000005e7))
+ )
+ )
+ (net sig0000025d
+ (joined
+ (portRef CI (instanceRef blk000000ba))
+ (portRef CI (instanceRef blk000000bc))
+ (portRef LO (instanceRef blk000000bd))
+ )
+ )
+ (net sig0000025e
+ (joined
+ (portRef LI (instanceRef blk000000ba))
+ (portRef S (instanceRef blk000000bc))
+ (portRef O (instanceRef blk0000055f))
+ )
+ )
+ (net sig0000025f
+ (joined
+ (portRef O (instanceRef blk000000ba))
+ (portRef D (instanceRef blk000005e6))
+ )
+ )
+ (net sig00000260
+ (joined
+ (portRef S (instanceRef blk000000bb))
+ (portRef O (instanceRef blk00000560))
+ )
+ )
+ (net sig00000261
+ (joined
+ (portRef DI (instanceRef blk000000bc))
+ (portRef I1 (instanceRef blk00000556))
+ (portRef I0 (instanceRef blk00000558))
+ (portRef I0 (instanceRef blk0000055f))
+ (portRef Q (instanceRef blk000005e6))
+ )
+ )
+ (net sig00000262
+ (joined
+ (portRef DI (instanceRef blk000000bd))
+ (portRef I0 (instanceRef blk00000556))
+ (portRef I1 (instanceRef blk00000558))
+ (portRef I1 (instanceRef blk0000055e))
+ (portRef Q (instanceRef blk000005e7))
+ )
+ )
+ (net sig00000263
+ (joined
+ (portRef CI (instanceRef blk000000be))
+ (portRef CI (instanceRef blk000000bf))
+ (portRef Q (instanceRef blk000000c2))
+ )
+ )
+ (net sig00000264
+ (joined
+ (portRef DI (instanceRef blk000000be))
+ (portRef D (instanceRef blk000000fd))
+ (portRef (member ADDRA 2) (instanceRef blk0000037b))
+ (portRef Q (instanceRef blk000005e8))
+ (portRef I0 (instanceRef blk00000604))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000265
+ (joined
+ (portRef S (instanceRef blk000000be))
+ (portRef LI (instanceRef blk000000bf))
+ (portRef O (instanceRef blk00000604))
+ )
+ )
+ (net sig00000266
+ (joined
+ (portRef LO (instanceRef blk000000be))
+ (portRef CI (instanceRef blk000000c0))
+ (portRef CI (instanceRef blk000000c1))
+ )
+ )
+ (net sig00000267
+ (joined
+ (portRef O (instanceRef blk000000bf))
+ (portRef D (instanceRef blk000005e8))
+ )
+ )
+ (net sig00000268
+ (joined
+ (portRef DI (instanceRef blk000000c0))
+ (portRef D (instanceRef blk000000fe))
+ (portRef (member ADDRA 1) (instanceRef blk0000037b))
+ (portRef Q (instanceRef blk000005e9))
+ (portRef I0 (instanceRef blk00000605))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000269
+ (joined
+ (portRef S (instanceRef blk000000c0))
+ (portRef LI (instanceRef blk000000c1))
+ (portRef O (instanceRef blk00000605))
+ )
+ )
+ (net sig0000026a
+ (joined
+ (portRef O (instanceRef blk000000c1))
+ (portRef D (instanceRef blk000005e9))
+ )
+ )
+ (net sig0000026b
+ (joined
+ (portRef Q (instanceRef blk000000c3))
+ (portRef D (instanceRef blk000000ff))
+ (portRef (member ADDRA 0) (instanceRef blk0000037b))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000026c
+ (joined
+ (portRef D (instanceRef blk000000c4))
+ (portRef O (instanceRef blk00000561))
+ )
+ )
+ (net sig0000026d
+ (joined
+ (portRef Q (instanceRef blk000000c4))
+ (portRef R (instanceRef blk000005e8))
+ (portRef R (instanceRef blk000005e9))
+ )
+ )
+ (net sig0000026e
+ (joined
+ (portRef CI (instanceRef blk000000c5))
+ (portRef LO (instanceRef blk000000c7))
+ (portRef D (instanceRef blk000000cd))
+ )
+ )
+ (net sig0000026f
+ (joined
+ (portRef O (instanceRef blk000000c5))
+ (portRef D (instanceRef blk000000ce))
+ )
+ )
+ (net sig00000270
+ (joined
+ (portRef CI (instanceRef blk000000c6))
+ (portRef O (instanceRef blk000000c8))
+ )
+ )
+ (net sig00000271
+ (joined
+ (portRef S (instanceRef blk000000c6))
+ (portRef O (instanceRef blk00000563))
+ )
+ )
+ (net sig00000272
+ (joined
+ (portRef O (instanceRef blk000000c6))
+ (portRef CI (instanceRef blk000000c7))
+ )
+ )
+ (net sig00000273
+ (joined
+ (portRef S (instanceRef blk000000c7))
+ (portRef O (instanceRef blk00000562))
+ )
+ )
+ (net sig00000274
+ (joined
+ (portRef CI (instanceRef blk000000c8))
+ (portRef O (instanceRef blk000000c9))
+ )
+ )
+ (net sig00000275
+ (joined
+ (portRef S (instanceRef blk000000c8))
+ (portRef O (instanceRef blk00000564))
+ )
+ )
+ (net sig00000276
+ (joined
+ (portRef CI (instanceRef blk000000c9))
+ (portRef O (instanceRef blk000000ca))
+ )
+ )
+ (net sig00000277
+ (joined
+ (portRef DI (instanceRef blk000000c9))
+ (portRef O (instanceRef blk00000626))
+ )
+ )
+ (net sig00000278
+ (joined
+ (portRef S (instanceRef blk000000c9))
+ (portRef O (instanceRef blk00000621))
+ )
+ )
+ (net sig00000279
+ (joined
+ (portRef CI (instanceRef blk000000ca))
+ (portRef O (instanceRef blk000000cb))
+ )
+ )
+ (net sig0000027a
+ (joined
+ (portRef S (instanceRef blk000000ca))
+ (portRef O (instanceRef blk00000565))
+ )
+ )
+ (net sig0000027b
+ (joined
+ (portRef CI (instanceRef blk000000cb))
+ (portRef O (instanceRef blk000000cc))
+ )
+ )
+ (net sig0000027c
+ (joined
+ (portRef S (instanceRef blk000000cb))
+ (portRef O (instanceRef blk00000566))
+ )
+ )
+ (net sig0000027d
+ (joined
+ (portRef DI (instanceRef blk000000cc))
+ (portRef O (instanceRef blk00000624))
+ )
+ )
+ (net sig0000027e
+ (joined
+ (portRef S (instanceRef blk000000cc))
+ (portRef O (instanceRef blk00000627))
+ )
+ )
+ (net sig0000027f
+ (joined
+ (portRef Q (instanceRef blk000000ce))
+ (portRef DI (instanceRef blk000000d3))
+ (portRef I3 (instanceRef blk00000616))
+ )
+ )
+ (net sig00000280
+ (joined
+ (portRef CI (instanceRef blk000000cf))
+ (portRef LO (instanceRef blk000000d0))
+ (portRef D (instanceRef blk000000d6))
+ )
+ )
+ (net sig00000281
+ (joined
+ (portRef O (instanceRef blk000000cf))
+ (portRef D (instanceRef blk000000d7))
+ )
+ )
+ (net sig00000282
+ (joined
+ (portRef CI (instanceRef blk000000d0))
+ (portRef O (instanceRef blk000000d1))
+ )
+ )
+ (net sig00000283
+ (joined
+ (portRef S (instanceRef blk000000d0))
+ (portRef O (instanceRef blk00000568))
+ )
+ )
+ (net sig00000284
+ (joined
+ (portRef CI (instanceRef blk000000d1))
+ (portRef O (instanceRef blk000000d2))
+ )
+ )
+ (net sig00000285
+ (joined
+ (portRef S (instanceRef blk000000d1))
+ (portRef O (instanceRef blk00000567))
+ )
+ )
+ (net sig00000286
+ (joined
+ (portRef CI (instanceRef blk000000d2))
+ (portRef O (instanceRef blk000000d3))
+ )
+ )
+ (net sig00000287
+ (joined
+ (portRef DI (instanceRef blk000000d2))
+ (portRef Q (instanceRef blk00000611))
+ (portRef I0 (instanceRef blk00000617))
+ (portRef I (instanceRef blk00000626))
+ )
+ )
+ (net sig00000288
+ (joined
+ (portRef S (instanceRef blk000000d2))
+ (portRef O (instanceRef blk00000569))
+ )
+ )
+ (net sig00000289
+ (joined
+ (portRef CI (instanceRef blk000000d3))
+ (portRef O (instanceRef blk000000d4))
+ )
+ )
+ (net sig0000028a
+ (joined
+ (portRef S (instanceRef blk000000d3))
+ (portRef O (instanceRef blk0000056a))
+ )
+ )
+ (net sig0000028b
+ (joined
+ (portRef CI (instanceRef blk000000d4))
+ (portRef O (instanceRef blk000000d5))
+ )
+ )
+ (net sig0000028c
+ (joined
+ (portRef DI (instanceRef blk000000d4))
+ (portRef O (instanceRef blk00000625))
+ )
+ )
+ (net sig0000028d
+ (joined
+ (portRef S (instanceRef blk000000d4))
+ (portRef O (instanceRef blk0000056b))
+ )
+ )
+ (net sig0000028e
+ (joined
+ (portRef DI (instanceRef blk000000d5))
+ (portRef Q (instanceRef blk00000610))
+ (portRef I0 (instanceRef blk00000616))
+ (portRef I (instanceRef blk00000624))
+ )
+ )
+ (net sig0000028f
+ (joined
+ (portRef S (instanceRef blk000000d5))
+ (portRef O (instanceRef blk00000622))
+ )
+ )
+ (net sig00000290
+ (joined
+ (portRef D (instanceRef blk000000d8))
+ (portRef LO (instanceRef blk000000d9))
+ )
+ )
+ (net sig00000291
+ (joined
+ (portRef Q (instanceRef blk000000d8))
+ (portRef DI (instanceRef blk000000d9))
+ (portRef I1 (instanceRef blk00000551))
+ (portRef I1 (instanceRef blk00000612))
+ )
+ )
+ (net sig00000292
+ (joined
+ (portRef CI (instanceRef blk000000d9))
+ (portRef O (instanceRef blk000000da))
+ )
+ )
+ (net sig00000293
+ (joined
+ (portRef S (instanceRef blk000000d9))
+ (portRef O (instanceRef blk0000056d))
+ )
+ )
+ (net sig00000294
+ (joined
+ (portRef O (instanceRef blk000000d9))
+ (portRef CI (instanceRef blk000000db))
+ )
+ )
+ (net sig00000295
+ (joined
+ (portRef S (instanceRef blk000000da))
+ (portRef O (instanceRef blk0000056c))
+ )
+ )
+ (net sig00000296
+ (joined
+ (portRef S (instanceRef blk000000db))
+ (portRef O (instanceRef blk00000606))
+ )
+ )
+ (net sig00000297
+ (joined
+ (portRef LO (instanceRef blk000000db))
+ (portRef D (instanceRef blk000000dc))
+ )
+ )
+ (net sig00000298
+ (joined
+ (portRef Q (instanceRef blk000000dc))
+ (portRef I1 (instanceRef blk0000056e))
+ (portRef I3 (instanceRef blk0000056f))
+ (portRef I1 (instanceRef blk00000570))
+ )
+ )
+ (net sig00000299
+ (joined
+ (portRef CI (instanceRef blk000000dd))
+ (portRef O (instanceRef blk000000df))
+ (portRef CI (instanceRef blk000000e1))
+ )
+ )
+ (net sig0000029a
+ (joined
+ (portRef LI (instanceRef blk000000dd))
+ (portRef S (instanceRef blk000000e1))
+ (portRef O (instanceRef blk0000056e))
+ )
+ )
+ (net sig0000029b
+ (joined
+ (portRef O (instanceRef blk000000dd))
+ (portRef D (instanceRef blk000005ec))
+ )
+ )
+ (net sig0000029c
+ (joined
+ (portRef CI (instanceRef blk000000de))
+ (portRef CI (instanceRef blk000000e0))
+ (portRef LO (instanceRef blk000000e1))
+ )
+ )
+ (net sig0000029d
+ (joined
+ (portRef LI (instanceRef blk000000de))
+ (portRef S (instanceRef blk000000e0))
+ (portRef O (instanceRef blk0000056f))
+ )
+ )
+ (net sig0000029e
+ (joined
+ (portRef O (instanceRef blk000000de))
+ (portRef D (instanceRef blk000005eb))
+ )
+ )
+ (net sig0000029f
+ (joined
+ (portRef S (instanceRef blk000000df))
+ (portRef O (instanceRef blk00000570))
+ )
+ )
+ (net sig000002a0
+ (joined
+ (portRef LI (instanceRef blk000000e2))
+ (portRef S (instanceRef blk000000e5))
+ (portRef O (instanceRef blk00000607))
+ )
+ )
+ (net sig000002a1
+ (joined
+ (portRef O (instanceRef blk000000e2))
+ (portRef D (instanceRef blk000005ee))
+ )
+ )
+ (net sig000002a2
+ (joined
+ (portRef CI (instanceRef blk000000e3))
+ (portRef CI (instanceRef blk000000e4))
+ (portRef LO (instanceRef blk000000e5))
+ )
+ )
+ (net sig000002a3
+ (joined
+ (portRef LI (instanceRef blk000000e3))
+ (portRef S (instanceRef blk000000e4))
+ (portRef O (instanceRef blk00000571))
+ )
+ )
+ (net sig000002a4
+ (joined
+ (portRef O (instanceRef blk000000e3))
+ (portRef D (instanceRef blk000005ed))
+ )
+ )
+ (net sig000002a5
+ (joined
+ (portRef DI (instanceRef blk000000e4))
+ (portRef I0 (instanceRef blk00000541))
+ (portRef I0 (instanceRef blk00000571))
+ (portRef Q (instanceRef blk000005ed))
+ )
+ )
+ (net sig000002a6
+ (joined
+ (portRef DI (instanceRef blk000000e5))
+ (portRef I1 (instanceRef blk00000541))
+ (portRef I0 (instanceRef blk00000549))
+ (portRef Q (instanceRef blk000005ee))
+ (portRef I0 (instanceRef blk00000607))
+ )
+ )
+ (net sig000002a7
+ (joined
+ (portRef D (instanceRef blk000000e6))
+ (portRef O (instanceRef blk00000572))
+ )
+ )
+ (net sig000002a8
+ (joined
+ (portRef Q (instanceRef blk000000e6))
+ (portRef D (instanceRef blk00000656))
+ )
+ )
+ (net sig000002a9
+ (joined
+ (portRef CI (instanceRef blk000000e7))
+ (portRef O (instanceRef blk000000e9))
+ (portRef CI (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002aa
+ (joined
+ (portRef LI (instanceRef blk000000e7))
+ (portRef S (instanceRef blk000000eb))
+ (portRef O (instanceRef blk00000573))
+ )
+ )
+ (net sig000002ab
+ (joined
+ (portRef O (instanceRef blk000000e7))
+ (portRef D (instanceRef blk000005f1))
+ )
+ )
+ (net sig000002ac
+ (joined
+ (portRef CI (instanceRef blk000000e8))
+ (portRef CI (instanceRef blk000000ea))
+ (portRef LO (instanceRef blk000000eb))
+ )
+ )
+ (net sig000002ad
+ (joined
+ (portRef LI (instanceRef blk000000e8))
+ (portRef S (instanceRef blk000000ea))
+ (portRef O (instanceRef blk00000574))
+ )
+ )
+ (net sig000002ae
+ (joined
+ (portRef O (instanceRef blk000000e8))
+ (portRef D (instanceRef blk000005f0))
+ )
+ )
+ (net sig000002af
+ (joined
+ (portRef S (instanceRef blk000000e9))
+ (portRef O (instanceRef blk00000575))
+ )
+ )
+ (net sig000002b0
+ (joined
+ (portRef DI (instanceRef blk000000ea))
+ (portRef D (instanceRef blk0000010e))
+ (portRef (member ADDR 0) (instanceRef blk000002af))
+ (portRef (member ADDR 0) (instanceRef blk00000315))
+ (portRef I0 (instanceRef blk00000574))
+ (portRef Q (instanceRef blk000005f0))
+ )
+ )
+ (net sig000002b1
+ (joined
+ (portRef DI (instanceRef blk000000eb))
+ (portRef D (instanceRef blk0000010f))
+ (portRef (member ADDR 1) (instanceRef blk000002af))
+ (portRef (member ADDR 1) (instanceRef blk00000315))
+ (portRef I2 (instanceRef blk00000573))
+ (portRef Q (instanceRef blk000005f1))
+ )
+ )
+ (net sig000002b2
+ (joined
+ (portRef LI (instanceRef blk000000ec))
+ (portRef S (instanceRef blk000000ef))
+ (portRef O (instanceRef blk00000576))
+ )
+ )
+ (net sig000002b3
+ (joined
+ (portRef O (instanceRef blk000000ec))
+ (portRef D (instanceRef blk000005f3))
+ )
+ )
+ (net sig000002b4
+ (joined
+ (portRef CI (instanceRef blk000000ed))
+ (portRef CI (instanceRef blk000000ee))
+ (portRef LO (instanceRef blk000000ef))
+ )
+ )
+ (net sig000002b5
+ (joined
+ (portRef LI (instanceRef blk000000ed))
+ (portRef S (instanceRef blk000000ee))
+ (portRef O (instanceRef blk00000577))
+ )
+ )
+ (net sig000002b6
+ (joined
+ (portRef O (instanceRef blk000000ed))
+ (portRef D (instanceRef blk000005f2))
+ )
+ )
+ (net sig000002b7
+ (joined
+ (portRef DI (instanceRef blk000000ee))
+ (portRef D (instanceRef blk00000115))
+ (portRef (member ADDR 0) (instanceRef blk000002e2))
+ (portRef (member ADDR 0) (instanceRef blk00000348))
+ (portRef I0 (instanceRef blk00000577))
+ (portRef Q (instanceRef blk000005f2))
+ )
+ )
+ (net sig000002b8
+ (joined
+ (portRef DI (instanceRef blk000000ef))
+ (portRef D (instanceRef blk00000116))
+ (portRef (member ADDR 1) (instanceRef blk000002e2))
+ (portRef (member ADDR 1) (instanceRef blk00000348))
+ (portRef I1 (instanceRef blk00000576))
+ (portRef Q (instanceRef blk000005f3))
+ )
+ )
+ (net sig000002b9
+ (joined
+ (portRef CI (instanceRef blk000000f0))
+ (portRef CI (instanceRef blk000000f1))
+ (portRef Q (instanceRef blk000000f4))
+ )
+ )
+ (net sig000002ba
+ (joined
+ (portRef DI (instanceRef blk000000f0))
+ (portRef D (instanceRef blk00000113))
+ (portRef (member ADDRB 2) (instanceRef blk0000037b))
+ (portRef Q (instanceRef blk000005f4))
+ (portRef I0 (instanceRef blk00000608))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002bb
+ (joined
+ (portRef S (instanceRef blk000000f0))
+ (portRef LI (instanceRef blk000000f1))
+ (portRef O (instanceRef blk00000608))
+ )
+ )
+ (net sig000002bc
+ (joined
+ (portRef LO (instanceRef blk000000f0))
+ (portRef CI (instanceRef blk000000f2))
+ (portRef CI (instanceRef blk000000f3))
+ )
+ )
+ (net sig000002bd
+ (joined
+ (portRef O (instanceRef blk000000f1))
+ (portRef D (instanceRef blk000005f4))
+ )
+ )
+ (net sig000002be
+ (joined
+ (portRef DI (instanceRef blk000000f2))
+ (portRef D (instanceRef blk00000112))
+ (portRef (member ADDRB 1) (instanceRef blk0000037b))
+ (portRef Q (instanceRef blk000005f5))
+ (portRef I0 (instanceRef blk00000609))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002bf
+ (joined
+ (portRef S (instanceRef blk000000f2))
+ (portRef LI (instanceRef blk000000f3))
+ (portRef O (instanceRef blk00000609))
+ )
+ )
+ (net sig000002c0
+ (joined
+ (portRef O (instanceRef blk000000f3))
+ (portRef D (instanceRef blk000005f5))
+ )
+ )
+ (net sig000002c1
+ (joined
+ (portRef D (instanceRef blk000000f4))
+ (portRef I1 (instanceRef blk00000573))
+ (portRef I1 (instanceRef blk00000574))
+ (portRef I0 (instanceRef blk00000575))
+ (portRef I0 (instanceRef blk00000576))
+ (portRef I1 (instanceRef blk00000577))
+ (portRef Q (instanceRef blk00000653))
+ )
+ )
+ (net sig000002c2
+ (joined
+ (portRef D (instanceRef blk000000f5))
+ (portRef R (instanceRef blk000005f0))
+ (portRef R (instanceRef blk000005f1))
+ (portRef S (instanceRef blk000005f2))
+ (portRef S (instanceRef blk000005f3))
+ (portRef Q (instanceRef blk00000657))
+ )
+ )
+ (net sig000002c3
+ (joined
+ (portRef Q (instanceRef blk000000f5))
+ (portRef R (instanceRef blk000005f4))
+ (portRef R (instanceRef blk000005f5))
+ )
+ )
+ (net sig000002c4
+ (joined
+ (portRef Q (instanceRef blk000000f6))
+ (portRef D (instanceRef blk00000111))
+ (portRef (member ADDRB 0) (instanceRef blk0000037b))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002c5
+ (joined
+ (portRef B_17_ (instanceRef blk000000f7))
+ (portRef B_17_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 0) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002c6
+ (joined
+ (portRef B_16_ (instanceRef blk000000f7))
+ (portRef B_16_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 1) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002c7
+ (joined
+ (portRef B_15_ (instanceRef blk000000f7))
+ (portRef B_15_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 2) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002c8
+ (joined
+ (portRef B_14_ (instanceRef blk000000f7))
+ (portRef B_14_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 3) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002c9
+ (joined
+ (portRef B_13_ (instanceRef blk000000f7))
+ (portRef B_13_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 4) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002ca
+ (joined
+ (portRef B_12_ (instanceRef blk000000f7))
+ (portRef B_12_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 5) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002cb
+ (joined
+ (portRef B_11_ (instanceRef blk000000f7))
+ (portRef B_11_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 6) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002cc
+ (joined
+ (portRef B_10_ (instanceRef blk000000f7))
+ (portRef B_10_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 7) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002cd
+ (joined
+ (portRef B_9_ (instanceRef blk000000f7))
+ (portRef B_9_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 8) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002ce
+ (joined
+ (portRef B_8_ (instanceRef blk000000f7))
+ (portRef B_8_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 9) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002cf
+ (joined
+ (portRef B_7_ (instanceRef blk000000f7))
+ (portRef B_7_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 10) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d0
+ (joined
+ (portRef B_6_ (instanceRef blk000000f7))
+ (portRef B_6_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 11) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d1
+ (joined
+ (portRef B_5_ (instanceRef blk000000f7))
+ (portRef B_5_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 12) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d2
+ (joined
+ (portRef B_4_ (instanceRef blk000000f7))
+ (portRef B_4_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 13) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d3
+ (joined
+ (portRef B_3_ (instanceRef blk000000f7))
+ (portRef B_3_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 14) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d4
+ (joined
+ (portRef B_2_ (instanceRef blk000000f7))
+ (portRef B_2_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 15) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d5
+ (joined
+ (portRef B_1_ (instanceRef blk000000f7))
+ (portRef B_1_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 16) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d6
+ (joined
+ (portRef B_0_ (instanceRef blk000000f7))
+ (portRef B_0_ (instanceRef blk000000f8))
+ (portRef (member DB_OUT 17) (instanceRef blk0000037b))
+ )
+ )
+ (net sig000002d7
+ (joined
+ (portRef D_24_ (instanceRef blk000000f7))
+ (portRef D_23_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 0) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 0) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002d8
+ (joined
+ (portRef D_22_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 1) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 1) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002d9
+ (joined
+ (portRef D_21_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 2) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 2) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002da
+ (joined
+ (portRef D_20_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 3) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 3) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002db
+ (joined
+ (portRef D_19_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 4) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 4) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002dc
+ (joined
+ (portRef D_18_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 5) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 5) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002dd
+ (joined
+ (portRef D_17_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 6) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 6) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002de
+ (joined
+ (portRef D_16_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 7) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 7) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002df
+ (joined
+ (portRef D_15_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 8) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 8) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e0
+ (joined
+ (portRef D_14_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 9) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 9) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e1
+ (joined
+ (portRef D_13_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 10) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 10) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e2
+ (joined
+ (portRef D_12_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 11) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 11) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e3
+ (joined
+ (portRef D_11_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 12) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 12) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e4
+ (joined
+ (portRef D_10_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 13) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 13) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e5
+ (joined
+ (portRef D_9_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 14) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 14) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e6
+ (joined
+ (portRef D_8_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 15) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 15) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e7
+ (joined
+ (portRef D_7_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 16) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 16) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e8
+ (joined
+ (portRef D_6_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 17) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 17) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002e9
+ (joined
+ (portRef D_5_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 18) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 18) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002ea
+ (joined
+ (portRef D_4_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 19) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 19) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002eb
+ (joined
+ (portRef D_3_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 20) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 20) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002ec
+ (joined
+ (portRef D_2_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 21) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 21) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002ed
+ (joined
+ (portRef D_1_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 22) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 22) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002ee
+ (joined
+ (portRef D_0_ (instanceRef blk000000f7))
+ (portRef (member DATA_IN 23) (instanceRef blk000001e3))
+ (portRef (member DATA_OUT 23) (instanceRef blk000002af))
+ )
+ )
+ (net sig000002ef
+ (joined
+ (portRef A_29_ (instanceRef blk000000f7))
+ (portRef A_28_ (instanceRef blk000000f7))
+ (portRef A_27_ (instanceRef blk000000f7))
+ (portRef A_26_ (instanceRef blk000000f7))
+ (portRef A_25_ (instanceRef blk000000f7))
+ (portRef A_24_ (instanceRef blk000000f7))
+ (portRef A_23_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 0) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f0
+ (joined
+ (portRef A_22_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 1) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f1
+ (joined
+ (portRef A_21_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 2) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f2
+ (joined
+ (portRef A_20_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 3) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f3
+ (joined
+ (portRef A_19_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 4) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f4
+ (joined
+ (portRef A_18_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 5) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f5
+ (joined
+ (portRef A_17_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 6) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f6
+ (joined
+ (portRef A_16_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 7) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f7
+ (joined
+ (portRef A_15_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 8) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f8
+ (joined
+ (portRef A_14_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 9) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002f9
+ (joined
+ (portRef A_13_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 10) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002fa
+ (joined
+ (portRef A_12_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 11) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002fb
+ (joined
+ (portRef A_11_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 12) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002fc
+ (joined
+ (portRef A_10_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 13) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002fd
+ (joined
+ (portRef A_9_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 14) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002fe
+ (joined
+ (portRef A_8_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 15) (instanceRef blk000002e2))
+ )
+ )
+ (net sig000002ff
+ (joined
+ (portRef A_7_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 16) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000300
+ (joined
+ (portRef A_6_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 17) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000301
+ (joined
+ (portRef A_5_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 18) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000302
+ (joined
+ (portRef A_4_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 19) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000303
+ (joined
+ (portRef A_3_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 20) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000304
+ (joined
+ (portRef A_2_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 21) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000305
+ (joined
+ (portRef A_1_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 22) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000306
+ (joined
+ (portRef A_0_ (instanceRef blk000000f7))
+ (portRef (member DATA_OUT 23) (instanceRef blk000002e2))
+ )
+ )
+ (net sig00000307
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000f7))
+ (portRef PCIN_47_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000308
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000f7))
+ (portRef PCIN_46_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000309
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000f7))
+ (portRef PCIN_45_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000030a
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000f7))
+ (portRef PCIN_44_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000030b
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000f7))
+ (portRef PCIN_43_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000030c
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000f7))
+ (portRef PCIN_42_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000030d
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000f7))
+ (portRef PCIN_41_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000030e
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000f7))
+ (portRef PCIN_40_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000030f
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000f7))
+ (portRef PCIN_39_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000310
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000f7))
+ (portRef PCIN_38_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000311
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000f7))
+ (portRef PCIN_37_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000312
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000f7))
+ (portRef PCIN_36_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000313
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000f7))
+ (portRef PCIN_35_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000314
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000f7))
+ (portRef PCIN_34_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000315
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000f7))
+ (portRef PCIN_33_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000316
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000f7))
+ (portRef PCIN_32_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000317
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000f7))
+ (portRef PCIN_31_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000318
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000f7))
+ (portRef PCIN_30_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000319
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000f7))
+ (portRef PCIN_29_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000031a
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000f7))
+ (portRef PCIN_28_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000031b
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000f7))
+ (portRef PCIN_27_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000031c
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000f7))
+ (portRef PCIN_26_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000031d
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000f7))
+ (portRef PCIN_25_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000031e
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000f7))
+ (portRef PCIN_24_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000031f
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000f7))
+ (portRef PCIN_23_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000320
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000f7))
+ (portRef PCIN_22_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000321
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000f7))
+ (portRef PCIN_21_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000322
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000f7))
+ (portRef PCIN_20_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000323
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000f7))
+ (portRef PCIN_19_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000324
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000f7))
+ (portRef PCIN_18_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000325
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000f7))
+ (portRef PCIN_17_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000326
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000f7))
+ (portRef PCIN_16_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000327
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000f7))
+ (portRef PCIN_15_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000328
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000f7))
+ (portRef PCIN_14_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000329
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000f7))
+ (portRef PCIN_13_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000032a
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000f7))
+ (portRef PCIN_12_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000032b
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000f7))
+ (portRef PCIN_11_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000032c
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000f7))
+ (portRef PCIN_10_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000032d
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000f7))
+ (portRef PCIN_9_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000032e
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000f7))
+ (portRef PCIN_8_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000032f
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000f7))
+ (portRef PCIN_7_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000330
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000f7))
+ (portRef PCIN_6_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000331
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000f7))
+ (portRef PCIN_5_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000332
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000f7))
+ (portRef PCIN_4_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000333
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000f7))
+ (portRef PCIN_3_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000334
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000f7))
+ (portRef PCIN_2_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000335
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000f7))
+ (portRef PCIN_1_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000336
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000f7))
+ (portRef PCIN_0_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000337
+ (joined
+ (portRef D_24_ (instanceRef blk000000f8))
+ (portRef D_23_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 0) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000338
+ (joined
+ (portRef D_22_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 1) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000339
+ (joined
+ (portRef D_21_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 2) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000033a
+ (joined
+ (portRef D_20_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 3) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000033b
+ (joined
+ (portRef D_19_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 4) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000033c
+ (joined
+ (portRef D_18_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 5) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000033d
+ (joined
+ (portRef D_17_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 6) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000033e
+ (joined
+ (portRef D_16_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 7) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000033f
+ (joined
+ (portRef D_15_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 8) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000340
+ (joined
+ (portRef D_14_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 9) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000341
+ (joined
+ (portRef D_13_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 10) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000342
+ (joined
+ (portRef D_12_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 11) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000343
+ (joined
+ (portRef D_11_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 12) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000344
+ (joined
+ (portRef D_10_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 13) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000345
+ (joined
+ (portRef D_9_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 14) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000346
+ (joined
+ (portRef D_8_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 15) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000347
+ (joined
+ (portRef D_7_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 16) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000348
+ (joined
+ (portRef D_6_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 17) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000315))
+ )
+ )
+ (net sig00000349
+ (joined
+ (portRef D_5_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 18) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000034a
+ (joined
+ (portRef D_4_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 19) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000034b
+ (joined
+ (portRef D_3_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 20) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000034c
+ (joined
+ (portRef D_2_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 21) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000034d
+ (joined
+ (portRef D_1_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 22) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000034e
+ (joined
+ (portRef D_0_ (instanceRef blk000000f8))
+ (portRef (member DATA_IN 23) (instanceRef blk00000249))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000315))
+ )
+ )
+ (net sig0000034f
+ (joined
+ (portRef A_29_ (instanceRef blk000000f8))
+ (portRef A_28_ (instanceRef blk000000f8))
+ (portRef A_27_ (instanceRef blk000000f8))
+ (portRef A_26_ (instanceRef blk000000f8))
+ (portRef A_25_ (instanceRef blk000000f8))
+ (portRef A_24_ (instanceRef blk000000f8))
+ (portRef A_23_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000350
+ (joined
+ (portRef A_22_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000351
+ (joined
+ (portRef A_21_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000352
+ (joined
+ (portRef A_20_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000353
+ (joined
+ (portRef A_19_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000354
+ (joined
+ (portRef A_18_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000355
+ (joined
+ (portRef A_17_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000356
+ (joined
+ (portRef A_16_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000357
+ (joined
+ (portRef A_15_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000358
+ (joined
+ (portRef A_14_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000359
+ (joined
+ (portRef A_13_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000348))
+ )
+ )
+ (net sig0000035a
+ (joined
+ (portRef A_12_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000348))
+ )
+ )
+ (net sig0000035b
+ (joined
+ (portRef A_11_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000348))
+ )
+ )
+ (net sig0000035c
+ (joined
+ (portRef A_10_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000348))
+ )
+ )
+ (net sig0000035d
+ (joined
+ (portRef A_9_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000348))
+ )
+ )
+ (net sig0000035e
+ (joined
+ (portRef A_8_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000348))
+ )
+ )
+ (net sig0000035f
+ (joined
+ (portRef A_7_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000360
+ (joined
+ (portRef A_6_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000361
+ (joined
+ (portRef A_5_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000362
+ (joined
+ (portRef A_4_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000363
+ (joined
+ (portRef A_3_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000364
+ (joined
+ (portRef A_2_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000365
+ (joined
+ (portRef A_1_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000366
+ (joined
+ (portRef A_0_ (instanceRef blk000000f8))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000348))
+ )
+ )
+ (net sig00000367
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk000000f8))
+ (portRef PCIN_47_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000368
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk000000f8))
+ (portRef PCIN_46_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000369
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk000000f8))
+ (portRef PCIN_45_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000036a
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk000000f8))
+ (portRef PCIN_44_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000036b
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk000000f8))
+ (portRef PCIN_43_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000036c
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk000000f8))
+ (portRef PCIN_42_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000036d
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk000000f8))
+ (portRef PCIN_41_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000036e
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk000000f8))
+ (portRef PCIN_40_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000036f
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk000000f8))
+ (portRef PCIN_39_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000370
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk000000f8))
+ (portRef PCIN_38_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000371
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk000000f8))
+ (portRef PCIN_37_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000372
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk000000f8))
+ (portRef PCIN_36_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000373
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk000000f8))
+ (portRef PCIN_35_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000374
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk000000f8))
+ (portRef PCIN_34_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000375
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk000000f8))
+ (portRef PCIN_33_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000376
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk000000f8))
+ (portRef PCIN_32_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000377
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk000000f8))
+ (portRef PCIN_31_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000378
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk000000f8))
+ (portRef PCIN_30_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000379
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk000000f8))
+ (portRef PCIN_29_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000037a
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk000000f8))
+ (portRef PCIN_28_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000037b
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk000000f8))
+ (portRef PCIN_27_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000037c
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk000000f8))
+ (portRef PCIN_26_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000037d
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk000000f8))
+ (portRef PCIN_25_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000037e
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk000000f8))
+ (portRef PCIN_24_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000037f
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk000000f8))
+ (portRef PCIN_23_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000380
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk000000f8))
+ (portRef PCIN_22_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000381
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk000000f8))
+ (portRef PCIN_21_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000382
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk000000f8))
+ (portRef PCIN_20_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000383
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk000000f8))
+ (portRef PCIN_19_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000384
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk000000f8))
+ (portRef PCIN_18_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000385
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk000000f8))
+ (portRef PCIN_17_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000386
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk000000f8))
+ (portRef PCIN_16_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000387
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk000000f8))
+ (portRef PCIN_15_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000388
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk000000f8))
+ (portRef PCIN_14_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000389
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk000000f8))
+ (portRef PCIN_13_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000038a
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk000000f8))
+ (portRef PCIN_12_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000038b
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk000000f8))
+ (portRef PCIN_11_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000038c
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk000000f8))
+ (portRef PCIN_10_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000038d
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk000000f8))
+ (portRef PCIN_9_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000038e
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk000000f8))
+ (portRef PCIN_8_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000038f
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk000000f8))
+ (portRef PCIN_7_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000390
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk000000f8))
+ (portRef PCIN_6_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000391
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk000000f8))
+ (portRef PCIN_5_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000392
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk000000f8))
+ (portRef PCIN_4_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000393
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk000000f8))
+ (portRef PCIN_3_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000394
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk000000f8))
+ (portRef PCIN_2_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000395
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk000000f8))
+ (portRef PCIN_1_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000396
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk000000f8))
+ (portRef PCIN_0_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000397
+ (joined
+ (portRef PCIN_47_ (instanceRef blk000000f9))
+ (portRef PCOUT_47_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000398
+ (joined
+ (portRef PCIN_46_ (instanceRef blk000000f9))
+ (portRef PCOUT_46_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig00000399
+ (joined
+ (portRef PCIN_45_ (instanceRef blk000000f9))
+ (portRef PCOUT_45_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000039a
+ (joined
+ (portRef PCIN_44_ (instanceRef blk000000f9))
+ (portRef PCOUT_44_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000039b
+ (joined
+ (portRef PCIN_43_ (instanceRef blk000000f9))
+ (portRef PCOUT_43_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000039c
+ (joined
+ (portRef PCIN_42_ (instanceRef blk000000f9))
+ (portRef PCOUT_42_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000039d
+ (joined
+ (portRef PCIN_41_ (instanceRef blk000000f9))
+ (portRef PCOUT_41_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000039e
+ (joined
+ (portRef PCIN_40_ (instanceRef blk000000f9))
+ (portRef PCOUT_40_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig0000039f
+ (joined
+ (portRef PCIN_39_ (instanceRef blk000000f9))
+ (portRef PCOUT_39_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a0
+ (joined
+ (portRef PCIN_38_ (instanceRef blk000000f9))
+ (portRef PCOUT_38_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a1
+ (joined
+ (portRef PCIN_37_ (instanceRef blk000000f9))
+ (portRef PCOUT_37_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a2
+ (joined
+ (portRef PCIN_36_ (instanceRef blk000000f9))
+ (portRef PCOUT_36_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a3
+ (joined
+ (portRef PCIN_35_ (instanceRef blk000000f9))
+ (portRef PCOUT_35_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a4
+ (joined
+ (portRef PCIN_34_ (instanceRef blk000000f9))
+ (portRef PCOUT_34_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a5
+ (joined
+ (portRef PCIN_33_ (instanceRef blk000000f9))
+ (portRef PCOUT_33_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a6
+ (joined
+ (portRef PCIN_32_ (instanceRef blk000000f9))
+ (portRef PCOUT_32_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a7
+ (joined
+ (portRef PCIN_31_ (instanceRef blk000000f9))
+ (portRef PCOUT_31_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a8
+ (joined
+ (portRef PCIN_30_ (instanceRef blk000000f9))
+ (portRef PCOUT_30_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003a9
+ (joined
+ (portRef PCIN_29_ (instanceRef blk000000f9))
+ (portRef PCOUT_29_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003aa
+ (joined
+ (portRef PCIN_28_ (instanceRef blk000000f9))
+ (portRef PCOUT_28_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003ab
+ (joined
+ (portRef PCIN_27_ (instanceRef blk000000f9))
+ (portRef PCOUT_27_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003ac
+ (joined
+ (portRef PCIN_26_ (instanceRef blk000000f9))
+ (portRef PCOUT_26_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003ad
+ (joined
+ (portRef PCIN_25_ (instanceRef blk000000f9))
+ (portRef PCOUT_25_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003ae
+ (joined
+ (portRef PCIN_24_ (instanceRef blk000000f9))
+ (portRef PCOUT_24_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003af
+ (joined
+ (portRef PCIN_23_ (instanceRef blk000000f9))
+ (portRef PCOUT_23_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b0
+ (joined
+ (portRef PCIN_22_ (instanceRef blk000000f9))
+ (portRef PCOUT_22_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b1
+ (joined
+ (portRef PCIN_21_ (instanceRef blk000000f9))
+ (portRef PCOUT_21_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b2
+ (joined
+ (portRef PCIN_20_ (instanceRef blk000000f9))
+ (portRef PCOUT_20_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b3
+ (joined
+ (portRef PCIN_19_ (instanceRef blk000000f9))
+ (portRef PCOUT_19_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b4
+ (joined
+ (portRef PCIN_18_ (instanceRef blk000000f9))
+ (portRef PCOUT_18_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b5
+ (joined
+ (portRef PCIN_17_ (instanceRef blk000000f9))
+ (portRef PCOUT_17_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b6
+ (joined
+ (portRef PCIN_16_ (instanceRef blk000000f9))
+ (portRef PCOUT_16_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b7
+ (joined
+ (portRef PCIN_15_ (instanceRef blk000000f9))
+ (portRef PCOUT_15_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b8
+ (joined
+ (portRef PCIN_14_ (instanceRef blk000000f9))
+ (portRef PCOUT_14_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003b9
+ (joined
+ (portRef PCIN_13_ (instanceRef blk000000f9))
+ (portRef PCOUT_13_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003ba
+ (joined
+ (portRef PCIN_12_ (instanceRef blk000000f9))
+ (portRef PCOUT_12_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003bb
+ (joined
+ (portRef PCIN_11_ (instanceRef blk000000f9))
+ (portRef PCOUT_11_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003bc
+ (joined
+ (portRef PCIN_10_ (instanceRef blk000000f9))
+ (portRef PCOUT_10_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003bd
+ (joined
+ (portRef PCIN_9_ (instanceRef blk000000f9))
+ (portRef PCOUT_9_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003be
+ (joined
+ (portRef PCIN_8_ (instanceRef blk000000f9))
+ (portRef PCOUT_8_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003bf
+ (joined
+ (portRef PCIN_7_ (instanceRef blk000000f9))
+ (portRef PCOUT_7_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c0
+ (joined
+ (portRef PCIN_6_ (instanceRef blk000000f9))
+ (portRef PCOUT_6_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c1
+ (joined
+ (portRef PCIN_5_ (instanceRef blk000000f9))
+ (portRef PCOUT_5_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c2
+ (joined
+ (portRef PCIN_4_ (instanceRef blk000000f9))
+ (portRef PCOUT_4_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c3
+ (joined
+ (portRef PCIN_3_ (instanceRef blk000000f9))
+ (portRef PCOUT_3_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c4
+ (joined
+ (portRef PCIN_2_ (instanceRef blk000000f9))
+ (portRef PCOUT_2_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c5
+ (joined
+ (portRef PCIN_1_ (instanceRef blk000000f9))
+ (portRef PCOUT_1_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c6
+ (joined
+ (portRef PCIN_0_ (instanceRef blk000000f9))
+ (portRef PCOUT_0_ (instanceRef blk000000fb))
+ )
+ )
+ (net sig000003c7
+ (joined
+ (portRef B_17_ (instanceRef blk000000f9))
+ (portRef B_17_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 0) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003c8
+ (joined
+ (portRef B_16_ (instanceRef blk000000f9))
+ (portRef B_16_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 1) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003c9
+ (joined
+ (portRef B_15_ (instanceRef blk000000f9))
+ (portRef B_15_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 2) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003ca
+ (joined
+ (portRef B_14_ (instanceRef blk000000f9))
+ (portRef B_14_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 3) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003cb
+ (joined
+ (portRef B_13_ (instanceRef blk000000f9))
+ (portRef B_13_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 4) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003cc
+ (joined
+ (portRef B_12_ (instanceRef blk000000f9))
+ (portRef B_12_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 5) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003cd
+ (joined
+ (portRef B_11_ (instanceRef blk000000f9))
+ (portRef B_11_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 6) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003ce
+ (joined
+ (portRef B_10_ (instanceRef blk000000f9))
+ (portRef B_10_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 7) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003cf
+ (joined
+ (portRef B_9_ (instanceRef blk000000f9))
+ (portRef B_9_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 8) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d0
+ (joined
+ (portRef B_8_ (instanceRef blk000000f9))
+ (portRef B_8_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 9) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d1
+ (joined
+ (portRef B_7_ (instanceRef blk000000f9))
+ (portRef B_7_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 10) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d2
+ (joined
+ (portRef B_6_ (instanceRef blk000000f9))
+ (portRef B_6_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 11) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d3
+ (joined
+ (portRef B_5_ (instanceRef blk000000f9))
+ (portRef B_5_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 12) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d4
+ (joined
+ (portRef B_4_ (instanceRef blk000000f9))
+ (portRef B_4_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 13) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d5
+ (joined
+ (portRef B_3_ (instanceRef blk000000f9))
+ (portRef B_3_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 14) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d6
+ (joined
+ (portRef B_2_ (instanceRef blk000000f9))
+ (portRef B_2_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 15) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d7
+ (joined
+ (portRef B_1_ (instanceRef blk000000f9))
+ (portRef B_1_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 16) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d8
+ (joined
+ (portRef B_0_ (instanceRef blk000000f9))
+ (portRef B_0_ (instanceRef blk000000fa))
+ (portRef (member DB_OUT 17) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000003d9
+ (joined
+ (portRef D_24_ (instanceRef blk000000f9))
+ (portRef D_23_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000048c))
+ )
+ )
+ (net sig000003da
+ (joined
+ (portRef D_22_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000048d))
+ )
+ )
+ (net sig000003db
+ (joined
+ (portRef D_21_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000048e))
+ )
+ )
+ (net sig000003dc
+ (joined
+ (portRef D_20_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000048f))
+ )
+ )
+ (net sig000003dd
+ (joined
+ (portRef D_19_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000490))
+ )
+ )
+ (net sig000003de
+ (joined
+ (portRef D_18_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000491))
+ )
+ )
+ (net sig000003df
+ (joined
+ (portRef D_17_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000492))
+ )
+ )
+ (net sig000003e0
+ (joined
+ (portRef D_16_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000493))
+ )
+ )
+ (net sig000003e1
+ (joined
+ (portRef D_15_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000494))
+ )
+ )
+ (net sig000003e2
+ (joined
+ (portRef D_14_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000495))
+ )
+ )
+ (net sig000003e3
+ (joined
+ (portRef D_13_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000496))
+ )
+ )
+ (net sig000003e4
+ (joined
+ (portRef D_12_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000497))
+ )
+ )
+ (net sig000003e5
+ (joined
+ (portRef D_11_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000498))
+ )
+ )
+ (net sig000003e6
+ (joined
+ (portRef D_10_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000117))
+ (portRef D (instanceRef blk00000499))
+ )
+ )
+ (net sig000003e7
+ (joined
+ (portRef D_9_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000049a))
+ )
+ )
+ (net sig000003e8
+ (joined
+ (portRef D_8_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000049b))
+ )
+ )
+ (net sig000003e9
+ (joined
+ (portRef D_7_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000049c))
+ )
+ )
+ (net sig000003ea
+ (joined
+ (portRef D_6_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000049d))
+ )
+ )
+ (net sig000003eb
+ (joined
+ (portRef D_5_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000049e))
+ )
+ )
+ (net sig000003ec
+ (joined
+ (portRef D_4_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000117))
+ (portRef D (instanceRef blk0000049f))
+ )
+ )
+ (net sig000003ed
+ (joined
+ (portRef D_3_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000117))
+ (portRef D (instanceRef blk000004a0))
+ )
+ )
+ (net sig000003ee
+ (joined
+ (portRef D_2_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000117))
+ (portRef D (instanceRef blk000004a1))
+ )
+ )
+ (net sig000003ef
+ (joined
+ (portRef D_1_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000117))
+ (portRef D (instanceRef blk000004a2))
+ )
+ )
+ (net sig000003f0
+ (joined
+ (portRef D_0_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000117))
+ (portRef D (instanceRef blk000004a3))
+ )
+ )
+ (net sig000003f1
+ (joined
+ (portRef A_29_ (instanceRef blk000000f9))
+ (portRef A_28_ (instanceRef blk000000f9))
+ (portRef A_27_ (instanceRef blk000000f9))
+ (portRef A_26_ (instanceRef blk000000f9))
+ (portRef A_25_ (instanceRef blk000000f9))
+ (portRef A_24_ (instanceRef blk000000f9))
+ (portRef A_23_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000041d))
+ )
+ )
+ (net sig000003f2
+ (joined
+ (portRef A_22_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000041e))
+ )
+ )
+ (net sig000003f3
+ (joined
+ (portRef A_21_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000041f))
+ )
+ )
+ (net sig000003f4
+ (joined
+ (portRef A_20_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000420))
+ )
+ )
+ (net sig000003f5
+ (joined
+ (portRef A_19_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000421))
+ )
+ )
+ (net sig000003f6
+ (joined
+ (portRef A_18_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000422))
+ )
+ )
+ (net sig000003f7
+ (joined
+ (portRef A_17_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000423))
+ )
+ )
+ (net sig000003f8
+ (joined
+ (portRef A_16_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000424))
+ )
+ )
+ (net sig000003f9
+ (joined
+ (portRef A_15_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000425))
+ )
+ )
+ (net sig000003fa
+ (joined
+ (portRef A_14_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000426))
+ )
+ )
+ (net sig000003fb
+ (joined
+ (portRef A_13_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000427))
+ )
+ )
+ (net sig000003fc
+ (joined
+ (portRef A_12_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000428))
+ )
+ )
+ (net sig000003fd
+ (joined
+ (portRef A_11_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000429))
+ )
+ )
+ (net sig000003fe
+ (joined
+ (portRef A_10_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000042a))
+ )
+ )
+ (net sig000003ff
+ (joined
+ (portRef A_9_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000042b))
+ )
+ )
+ (net sig00000400
+ (joined
+ (portRef A_8_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000042c))
+ )
+ )
+ (net sig00000401
+ (joined
+ (portRef A_7_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000042d))
+ )
+ )
+ (net sig00000402
+ (joined
+ (portRef A_6_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000042e))
+ )
+ )
+ (net sig00000403
+ (joined
+ (portRef A_5_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk0000042f))
+ )
+ )
+ (net sig00000404
+ (joined
+ (portRef A_4_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000430))
+ )
+ )
+ (net sig00000405
+ (joined
+ (portRef A_3_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000431))
+ )
+ )
+ (net sig00000406
+ (joined
+ (portRef A_2_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000432))
+ )
+ )
+ (net sig00000407
+ (joined
+ (portRef A_1_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000433))
+ )
+ )
+ (net sig00000408
+ (joined
+ (portRef A_0_ (instanceRef blk000000f9))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000014a))
+ (portRef D (instanceRef blk00000434))
+ )
+ )
+ (net sig00000409
+ (joined
+ (portRef PCIN_47_ (instanceRef blk000000fa))
+ (portRef PCOUT_47_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000040a
+ (joined
+ (portRef PCIN_46_ (instanceRef blk000000fa))
+ (portRef PCOUT_46_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000040b
+ (joined
+ (portRef PCIN_45_ (instanceRef blk000000fa))
+ (portRef PCOUT_45_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000040c
+ (joined
+ (portRef PCIN_44_ (instanceRef blk000000fa))
+ (portRef PCOUT_44_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000040d
+ (joined
+ (portRef PCIN_43_ (instanceRef blk000000fa))
+ (portRef PCOUT_43_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000040e
+ (joined
+ (portRef PCIN_42_ (instanceRef blk000000fa))
+ (portRef PCOUT_42_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000040f
+ (joined
+ (portRef PCIN_41_ (instanceRef blk000000fa))
+ (portRef PCOUT_41_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000410
+ (joined
+ (portRef PCIN_40_ (instanceRef blk000000fa))
+ (portRef PCOUT_40_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000411
+ (joined
+ (portRef PCIN_39_ (instanceRef blk000000fa))
+ (portRef PCOUT_39_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000412
+ (joined
+ (portRef PCIN_38_ (instanceRef blk000000fa))
+ (portRef PCOUT_38_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000413
+ (joined
+ (portRef PCIN_37_ (instanceRef blk000000fa))
+ (portRef PCOUT_37_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000414
+ (joined
+ (portRef PCIN_36_ (instanceRef blk000000fa))
+ (portRef PCOUT_36_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000415
+ (joined
+ (portRef PCIN_35_ (instanceRef blk000000fa))
+ (portRef PCOUT_35_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000416
+ (joined
+ (portRef PCIN_34_ (instanceRef blk000000fa))
+ (portRef PCOUT_34_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000417
+ (joined
+ (portRef PCIN_33_ (instanceRef blk000000fa))
+ (portRef PCOUT_33_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000418
+ (joined
+ (portRef PCIN_32_ (instanceRef blk000000fa))
+ (portRef PCOUT_32_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000419
+ (joined
+ (portRef PCIN_31_ (instanceRef blk000000fa))
+ (portRef PCOUT_31_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000041a
+ (joined
+ (portRef PCIN_30_ (instanceRef blk000000fa))
+ (portRef PCOUT_30_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000041b
+ (joined
+ (portRef PCIN_29_ (instanceRef blk000000fa))
+ (portRef PCOUT_29_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000041c
+ (joined
+ (portRef PCIN_28_ (instanceRef blk000000fa))
+ (portRef PCOUT_28_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000041d
+ (joined
+ (portRef PCIN_27_ (instanceRef blk000000fa))
+ (portRef PCOUT_27_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000041e
+ (joined
+ (portRef PCIN_26_ (instanceRef blk000000fa))
+ (portRef PCOUT_26_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000041f
+ (joined
+ (portRef PCIN_25_ (instanceRef blk000000fa))
+ (portRef PCOUT_25_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000420
+ (joined
+ (portRef PCIN_24_ (instanceRef blk000000fa))
+ (portRef PCOUT_24_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000421
+ (joined
+ (portRef PCIN_23_ (instanceRef blk000000fa))
+ (portRef PCOUT_23_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000422
+ (joined
+ (portRef PCIN_22_ (instanceRef blk000000fa))
+ (portRef PCOUT_22_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000423
+ (joined
+ (portRef PCIN_21_ (instanceRef blk000000fa))
+ (portRef PCOUT_21_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000424
+ (joined
+ (portRef PCIN_20_ (instanceRef blk000000fa))
+ (portRef PCOUT_20_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000425
+ (joined
+ (portRef PCIN_19_ (instanceRef blk000000fa))
+ (portRef PCOUT_19_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000426
+ (joined
+ (portRef PCIN_18_ (instanceRef blk000000fa))
+ (portRef PCOUT_18_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000427
+ (joined
+ (portRef PCIN_17_ (instanceRef blk000000fa))
+ (portRef PCOUT_17_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000428
+ (joined
+ (portRef PCIN_16_ (instanceRef blk000000fa))
+ (portRef PCOUT_16_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000429
+ (joined
+ (portRef PCIN_15_ (instanceRef blk000000fa))
+ (portRef PCOUT_15_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000042a
+ (joined
+ (portRef PCIN_14_ (instanceRef blk000000fa))
+ (portRef PCOUT_14_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000042b
+ (joined
+ (portRef PCIN_13_ (instanceRef blk000000fa))
+ (portRef PCOUT_13_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000042c
+ (joined
+ (portRef PCIN_12_ (instanceRef blk000000fa))
+ (portRef PCOUT_12_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000042d
+ (joined
+ (portRef PCIN_11_ (instanceRef blk000000fa))
+ (portRef PCOUT_11_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000042e
+ (joined
+ (portRef PCIN_10_ (instanceRef blk000000fa))
+ (portRef PCOUT_10_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig0000042f
+ (joined
+ (portRef PCIN_9_ (instanceRef blk000000fa))
+ (portRef PCOUT_9_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000430
+ (joined
+ (portRef PCIN_8_ (instanceRef blk000000fa))
+ (portRef PCOUT_8_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000431
+ (joined
+ (portRef PCIN_7_ (instanceRef blk000000fa))
+ (portRef PCOUT_7_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000432
+ (joined
+ (portRef PCIN_6_ (instanceRef blk000000fa))
+ (portRef PCOUT_6_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000433
+ (joined
+ (portRef PCIN_5_ (instanceRef blk000000fa))
+ (portRef PCOUT_5_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000434
+ (joined
+ (portRef PCIN_4_ (instanceRef blk000000fa))
+ (portRef PCOUT_4_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000435
+ (joined
+ (portRef PCIN_3_ (instanceRef blk000000fa))
+ (portRef PCOUT_3_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000436
+ (joined
+ (portRef PCIN_2_ (instanceRef blk000000fa))
+ (portRef PCOUT_2_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000437
+ (joined
+ (portRef PCIN_1_ (instanceRef blk000000fa))
+ (portRef PCOUT_1_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000438
+ (joined
+ (portRef PCIN_0_ (instanceRef blk000000fa))
+ (portRef PCOUT_0_ (instanceRef blk000000fc))
+ )
+ )
+ (net sig00000439
+ (joined
+ (portRef D_24_ (instanceRef blk000000fa))
+ (portRef D_23_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000474))
+ )
+ )
+ (net sig0000043a
+ (joined
+ (portRef D_22_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000475))
+ )
+ )
+ (net sig0000043b
+ (joined
+ (portRef D_21_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000476))
+ )
+ )
+ (net sig0000043c
+ (joined
+ (portRef D_20_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000477))
+ )
+ )
+ (net sig0000043d
+ (joined
+ (portRef D_19_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000478))
+ )
+ )
+ (net sig0000043e
+ (joined
+ (portRef D_18_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000479))
+ )
+ )
+ (net sig0000043f
+ (joined
+ (portRef D_17_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000047a))
+ )
+ )
+ (net sig00000440
+ (joined
+ (portRef D_16_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000047b))
+ )
+ )
+ (net sig00000441
+ (joined
+ (portRef D_15_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000047c))
+ )
+ )
+ (net sig00000442
+ (joined
+ (portRef D_14_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000047d))
+ )
+ )
+ (net sig00000443
+ (joined
+ (portRef D_13_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000047e))
+ )
+ )
+ (net sig00000444
+ (joined
+ (portRef D_12_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000047f))
+ )
+ )
+ (net sig00000445
+ (joined
+ (portRef D_11_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000480))
+ )
+ )
+ (net sig00000446
+ (joined
+ (portRef D_10_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000481))
+ )
+ )
+ (net sig00000447
+ (joined
+ (portRef D_9_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000482))
+ )
+ )
+ (net sig00000448
+ (joined
+ (portRef D_8_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000483))
+ )
+ )
+ (net sig00000449
+ (joined
+ (portRef D_7_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000484))
+ )
+ )
+ (net sig0000044a
+ (joined
+ (portRef D_6_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000485))
+ )
+ )
+ (net sig0000044b
+ (joined
+ (portRef D_5_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000486))
+ )
+ )
+ (net sig0000044c
+ (joined
+ (portRef D_4_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000487))
+ )
+ )
+ (net sig0000044d
+ (joined
+ (portRef D_3_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000488))
+ )
+ )
+ (net sig0000044e
+ (joined
+ (portRef D_2_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk00000489))
+ )
+ )
+ (net sig0000044f
+ (joined
+ (portRef D_1_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000048a))
+ )
+ )
+ (net sig00000450
+ (joined
+ (portRef D_0_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000017d))
+ (portRef D (instanceRef blk0000048b))
+ )
+ )
+ (net sig00000451
+ (joined
+ (portRef A_29_ (instanceRef blk000000fa))
+ (portRef A_28_ (instanceRef blk000000fa))
+ (portRef A_27_ (instanceRef blk000000fa))
+ (portRef A_26_ (instanceRef blk000000fa))
+ (portRef A_25_ (instanceRef blk000000fa))
+ (portRef A_24_ (instanceRef blk000000fa))
+ (portRef A_23_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 0) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003ed))
+ )
+ )
+ (net sig00000452
+ (joined
+ (portRef A_22_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 1) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003ee))
+ )
+ )
+ (net sig00000453
+ (joined
+ (portRef A_21_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 2) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003ef))
+ )
+ )
+ (net sig00000454
+ (joined
+ (portRef A_20_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 3) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f0))
+ )
+ )
+ (net sig00000455
+ (joined
+ (portRef A_19_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 4) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f1))
+ )
+ )
+ (net sig00000456
+ (joined
+ (portRef A_18_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 5) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f2))
+ )
+ )
+ (net sig00000457
+ (joined
+ (portRef A_17_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 6) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f3))
+ )
+ )
+ (net sig00000458
+ (joined
+ (portRef A_16_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 7) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f4))
+ )
+ )
+ (net sig00000459
+ (joined
+ (portRef A_15_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 8) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f5))
+ )
+ )
+ (net sig0000045a
+ (joined
+ (portRef A_14_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 9) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f6))
+ )
+ )
+ (net sig0000045b
+ (joined
+ (portRef A_13_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 10) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f7))
+ )
+ )
+ (net sig0000045c
+ (joined
+ (portRef A_12_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 11) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f8))
+ )
+ )
+ (net sig0000045d
+ (joined
+ (portRef A_11_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 12) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003f9))
+ )
+ )
+ (net sig0000045e
+ (joined
+ (portRef A_10_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 13) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003fa))
+ )
+ )
+ (net sig0000045f
+ (joined
+ (portRef A_9_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 14) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003fb))
+ )
+ )
+ (net sig00000460
+ (joined
+ (portRef A_8_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 15) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003fc))
+ )
+ )
+ (net sig00000461
+ (joined
+ (portRef A_7_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 16) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003fd))
+ )
+ )
+ (net sig00000462
+ (joined
+ (portRef A_6_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 17) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003fe))
+ )
+ )
+ (net sig00000463
+ (joined
+ (portRef A_5_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 18) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk000003ff))
+ )
+ )
+ (net sig00000464
+ (joined
+ (portRef A_4_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 19) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk00000400))
+ )
+ )
+ (net sig00000465
+ (joined
+ (portRef A_3_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 20) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk00000401))
+ )
+ )
+ (net sig00000466
+ (joined
+ (portRef A_2_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 21) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk00000402))
+ )
+ )
+ (net sig00000467
+ (joined
+ (portRef A_1_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 22) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk00000403))
+ )
+ )
+ (net sig00000468
+ (joined
+ (portRef A_0_ (instanceRef blk000000fa))
+ (portRef (member DATA_OUT 23) (instanceRef blk000001b0))
+ (portRef D (instanceRef blk00000404))
+ )
+ )
+ (net sig00000469
+ (joined
+ (portRef B_17_ (instanceRef blk000000fb))
+ (portRef B_17_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 0) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000046a
+ (joined
+ (portRef B_16_ (instanceRef blk000000fb))
+ (portRef B_16_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 1) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000046b
+ (joined
+ (portRef B_15_ (instanceRef blk000000fb))
+ (portRef B_15_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 2) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000046c
+ (joined
+ (portRef B_14_ (instanceRef blk000000fb))
+ (portRef B_14_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 3) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000046d
+ (joined
+ (portRef B_13_ (instanceRef blk000000fb))
+ (portRef B_13_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 4) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000046e
+ (joined
+ (portRef B_12_ (instanceRef blk000000fb))
+ (portRef B_12_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 5) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000046f
+ (joined
+ (portRef B_11_ (instanceRef blk000000fb))
+ (portRef B_11_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 6) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000470
+ (joined
+ (portRef B_10_ (instanceRef blk000000fb))
+ (portRef B_10_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 7) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000471
+ (joined
+ (portRef B_9_ (instanceRef blk000000fb))
+ (portRef B_9_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 8) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000472
+ (joined
+ (portRef B_8_ (instanceRef blk000000fb))
+ (portRef B_8_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 9) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000473
+ (joined
+ (portRef B_7_ (instanceRef blk000000fb))
+ (portRef B_7_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 10) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000474
+ (joined
+ (portRef B_6_ (instanceRef blk000000fb))
+ (portRef B_6_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 11) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000475
+ (joined
+ (portRef B_5_ (instanceRef blk000000fb))
+ (portRef B_5_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 12) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000476
+ (joined
+ (portRef B_4_ (instanceRef blk000000fb))
+ (portRef B_4_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 13) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000477
+ (joined
+ (portRef B_3_ (instanceRef blk000000fb))
+ (portRef B_3_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 14) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000478
+ (joined
+ (portRef B_2_ (instanceRef blk000000fb))
+ (portRef B_2_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 15) (instanceRef blk000003b4))
+ )
+ )
+ (net sig00000479
+ (joined
+ (portRef B_1_ (instanceRef blk000000fb))
+ (portRef B_1_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 16) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000047a
+ (joined
+ (portRef B_0_ (instanceRef blk000000fb))
+ (portRef B_0_ (instanceRef blk000000fc))
+ (portRef (member DB_OUT 17) (instanceRef blk000003b4))
+ )
+ )
+ (net sig0000047b
+ (joined
+ (portRef D_24_ (instanceRef blk000000fb))
+ (portRef D_23_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 0) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 0) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000047c
+ (joined
+ (portRef D_22_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 1) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 1) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000047d
+ (joined
+ (portRef D_21_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 2) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 2) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000047e
+ (joined
+ (portRef D_20_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 3) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 3) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000047f
+ (joined
+ (portRef D_19_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 4) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 4) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000480
+ (joined
+ (portRef D_18_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 5) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 5) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000481
+ (joined
+ (portRef D_17_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 6) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 6) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000482
+ (joined
+ (portRef D_16_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 7) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 7) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000483
+ (joined
+ (portRef D_15_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 8) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 8) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000484
+ (joined
+ (portRef D_14_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 9) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 9) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000485
+ (joined
+ (portRef D_13_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 10) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 10) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000486
+ (joined
+ (portRef D_12_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 11) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 11) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000487
+ (joined
+ (portRef D_11_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 12) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 12) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000488
+ (joined
+ (portRef D_10_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 13) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 13) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000489
+ (joined
+ (portRef D_9_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 14) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 14) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000048a
+ (joined
+ (portRef D_8_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 15) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 15) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000048b
+ (joined
+ (portRef D_7_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 16) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 16) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000048c
+ (joined
+ (portRef D_6_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 17) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 17) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000048d
+ (joined
+ (portRef D_5_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 18) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 18) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000048e
+ (joined
+ (portRef D_4_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 19) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 19) (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000048f
+ (joined
+ (portRef D_3_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 20) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 20) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000490
+ (joined
+ (portRef D_2_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 21) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 21) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000491
+ (joined
+ (portRef D_1_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 22) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 22) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000492
+ (joined
+ (portRef D_0_ (instanceRef blk000000fb))
+ (portRef (member DATA_IN 23) (instanceRef blk00000117))
+ (portRef (member DATA_OUT 23) (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000493
+ (joined
+ (portRef A_29_ (instanceRef blk000000fb))
+ (portRef A_28_ (instanceRef blk000000fb))
+ (portRef A_27_ (instanceRef blk000000fb))
+ (portRef A_26_ (instanceRef blk000000fb))
+ (portRef A_25_ (instanceRef blk000000fb))
+ (portRef A_24_ (instanceRef blk000000fb))
+ (portRef A_23_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000435))
+ )
+ )
+ (net sig00000494
+ (joined
+ (portRef A_22_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000436))
+ )
+ )
+ (net sig00000495
+ (joined
+ (portRef A_21_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000437))
+ )
+ )
+ (net sig00000496
+ (joined
+ (portRef A_20_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000438))
+ )
+ )
+ (net sig00000497
+ (joined
+ (portRef A_19_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000439))
+ )
+ )
+ (net sig00000498
+ (joined
+ (portRef A_18_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000043a))
+ )
+ )
+ (net sig00000499
+ (joined
+ (portRef A_17_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000043b))
+ )
+ )
+ (net sig0000049a
+ (joined
+ (portRef A_16_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000043c))
+ )
+ )
+ (net sig0000049b
+ (joined
+ (portRef A_15_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000043d))
+ )
+ )
+ (net sig0000049c
+ (joined
+ (portRef A_14_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000043e))
+ )
+ )
+ (net sig0000049d
+ (joined
+ (portRef A_13_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000043f))
+ )
+ )
+ (net sig0000049e
+ (joined
+ (portRef A_12_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000440))
+ )
+ )
+ (net sig0000049f
+ (joined
+ (portRef A_11_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000441))
+ )
+ )
+ (net sig000004a0
+ (joined
+ (portRef A_10_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000442))
+ )
+ )
+ (net sig000004a1
+ (joined
+ (portRef A_9_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000443))
+ )
+ )
+ (net sig000004a2
+ (joined
+ (portRef A_8_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000444))
+ )
+ )
+ (net sig000004a3
+ (joined
+ (portRef A_7_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000445))
+ )
+ )
+ (net sig000004a4
+ (joined
+ (portRef A_6_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000446))
+ )
+ )
+ (net sig000004a5
+ (joined
+ (portRef A_5_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000447))
+ )
+ )
+ (net sig000004a6
+ (joined
+ (portRef A_4_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000448))
+ )
+ )
+ (net sig000004a7
+ (joined
+ (portRef A_3_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000216))
+ (portRef D (instanceRef blk00000449))
+ )
+ )
+ (net sig000004a8
+ (joined
+ (portRef A_2_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000044a))
+ )
+ )
+ (net sig000004a9
+ (joined
+ (portRef A_1_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000044b))
+ )
+ )
+ (net sig000004aa
+ (joined
+ (portRef A_0_ (instanceRef blk000000fb))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000216))
+ (portRef D (instanceRef blk0000044c))
+ )
+ )
+ (net sig000004ab
+ (joined
+ (portRef D_24_ (instanceRef blk000000fc))
+ (portRef D_23_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 0) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004ac
+ (joined
+ (portRef D_22_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 1) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004ad
+ (joined
+ (portRef D_21_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 2) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004ae
+ (joined
+ (portRef D_20_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 3) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004af
+ (joined
+ (portRef D_19_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 4) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b0
+ (joined
+ (portRef D_18_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 5) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b1
+ (joined
+ (portRef D_17_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 6) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b2
+ (joined
+ (portRef D_16_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 7) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b3
+ (joined
+ (portRef D_15_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 8) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b4
+ (joined
+ (portRef D_14_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 9) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b5
+ (joined
+ (portRef D_13_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 10) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b6
+ (joined
+ (portRef D_12_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 11) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b7
+ (joined
+ (portRef D_11_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 12) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b8
+ (joined
+ (portRef D_10_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 13) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004b9
+ (joined
+ (portRef D_9_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 14) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004ba
+ (joined
+ (portRef D_8_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 15) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004bb
+ (joined
+ (portRef D_7_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 16) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004bc
+ (joined
+ (portRef D_6_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 17) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004bd
+ (joined
+ (portRef D_5_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 18) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004be
+ (joined
+ (portRef D_4_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 19) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004bf
+ (joined
+ (portRef D_3_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 20) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004c0
+ (joined
+ (portRef D_2_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 21) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004c1
+ (joined
+ (portRef D_1_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 22) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004c2
+ (joined
+ (portRef D_0_ (instanceRef blk000000fc))
+ (portRef (member DATA_IN 23) (instanceRef blk0000017d))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004c3
+ (joined
+ (portRef A_29_ (instanceRef blk000000fc))
+ (portRef A_28_ (instanceRef blk000000fc))
+ (portRef A_27_ (instanceRef blk000000fc))
+ (portRef A_26_ (instanceRef blk000000fc))
+ (portRef A_25_ (instanceRef blk000000fc))
+ (portRef A_24_ (instanceRef blk000000fc))
+ (portRef A_23_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000405))
+ )
+ )
+ (net sig000004c4
+ (joined
+ (portRef A_22_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000406))
+ )
+ )
+ (net sig000004c5
+ (joined
+ (portRef A_21_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000407))
+ )
+ )
+ (net sig000004c6
+ (joined
+ (portRef A_20_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000408))
+ )
+ )
+ (net sig000004c7
+ (joined
+ (portRef A_19_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000409))
+ )
+ )
+ (net sig000004c8
+ (joined
+ (portRef A_18_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000040a))
+ )
+ )
+ (net sig000004c9
+ (joined
+ (portRef A_17_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000040b))
+ )
+ )
+ (net sig000004ca
+ (joined
+ (portRef A_16_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000040c))
+ )
+ )
+ (net sig000004cb
+ (joined
+ (portRef A_15_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000040d))
+ )
+ )
+ (net sig000004cc
+ (joined
+ (portRef A_14_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000040e))
+ )
+ )
+ (net sig000004cd
+ (joined
+ (portRef A_13_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000040f))
+ )
+ )
+ (net sig000004ce
+ (joined
+ (portRef A_12_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000410))
+ )
+ )
+ (net sig000004cf
+ (joined
+ (portRef A_11_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000411))
+ )
+ )
+ (net sig000004d0
+ (joined
+ (portRef A_10_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000412))
+ )
+ )
+ (net sig000004d1
+ (joined
+ (portRef A_9_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000413))
+ )
+ )
+ (net sig000004d2
+ (joined
+ (portRef A_8_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000414))
+ )
+ )
+ (net sig000004d3
+ (joined
+ (portRef A_7_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000415))
+ )
+ )
+ (net sig000004d4
+ (joined
+ (portRef A_6_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000416))
+ )
+ )
+ (net sig000004d5
+ (joined
+ (portRef A_5_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000417))
+ )
+ )
+ (net sig000004d6
+ (joined
+ (portRef A_4_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000418))
+ )
+ )
+ (net sig000004d7
+ (joined
+ (portRef A_3_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk00000419))
+ )
+ )
+ (net sig000004d8
+ (joined
+ (portRef A_2_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000041a))
+ )
+ )
+ (net sig000004d9
+ (joined
+ (portRef A_1_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000041b))
+ )
+ )
+ (net sig000004da
+ (joined
+ (portRef A_0_ (instanceRef blk000000fc))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000027c))
+ (portRef D (instanceRef blk0000041c))
+ )
+ )
+ (net sig000004db
+ (joined
+ (portRef Q (instanceRef blk000000fd))
+ (portRef D (instanceRef blk00000100))
+ (portRef (member ADDRA 2) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004dc
+ (joined
+ (portRef Q (instanceRef blk000000fe))
+ (portRef D (instanceRef blk00000101))
+ (portRef (member ADDRA 1) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004dd
+ (joined
+ (portRef Q (instanceRef blk000000ff))
+ (portRef D (instanceRef blk00000102))
+ (portRef (member ADDRA 0) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004de
+ (joined
+ (portRef Q (instanceRef blk00000100))
+ (portRef (member ADDRA 2) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004df
+ (joined
+ (portRef Q (instanceRef blk00000101))
+ (portRef (member ADDRA 1) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004e0
+ (joined
+ (portRef Q (instanceRef blk00000102))
+ (portRef (member ADDRA 0) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004e1
+ (joined
+ (portRef Q (instanceRef blk00000103))
+ (portRef D (instanceRef blk00000104))
+ (portRef WEA (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004e2
+ (joined
+ (portRef Q (instanceRef blk00000104))
+ (portRef WEA (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004e3
+ (joined
+ (portRef D (instanceRef blk00000105))
+ (portRef Q (instanceRef blk00000110))
+ (portRef WE (instanceRef blk00000216))
+ (portRef WE (instanceRef blk0000027c))
+ )
+ )
+ (net sig000004e4
+ (joined
+ (portRef Q (instanceRef blk00000105))
+ (portRef WE (instanceRef blk0000014a))
+ (portRef WE (instanceRef blk000001b0))
+ (portRef I1 (instanceRef blk0000057a))
+ )
+ )
+ (net sig000004e5
+ (joined
+ (portRef D (instanceRef blk00000106))
+ (portRef Q (instanceRef blk00000114))
+ (portRef WE (instanceRef blk000001e3))
+ (portRef WE (instanceRef blk00000249))
+ (portRef D (instanceRef blk000006ba))
+ )
+ )
+ (net sig000004e6
+ (joined
+ (portRef Q (instanceRef blk00000106))
+ (portRef WE (instanceRef blk00000117))
+ (portRef WE (instanceRef blk0000017d))
+ (portRef D (instanceRef blk000006b6))
+ )
+ )
+ (net sig000004e7
+ (joined
+ (portRef D (instanceRef blk00000107))
+ (portRef Q (instanceRef blk00000111))
+ (portRef (member ADDRB 0) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004e8
+ (joined
+ (portRef Q (instanceRef blk00000107))
+ (portRef (member ADDRB 0) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004e9
+ (joined
+ (portRef D (instanceRef blk00000108))
+ (portRef Q (instanceRef blk00000112))
+ (portRef (member ADDRB 1) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004ea
+ (joined
+ (portRef Q (instanceRef blk00000108))
+ (portRef (member ADDRB 1) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004eb
+ (joined
+ (portRef D (instanceRef blk00000109))
+ (portRef Q (instanceRef blk00000113))
+ (portRef (member ADDRB 2) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000004ec
+ (joined
+ (portRef Q (instanceRef blk00000109))
+ (portRef (member ADDRB 2) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000004ed
+ (joined
+ (portRef D (instanceRef blk0000010a))
+ (portRef Q (instanceRef blk00000115))
+ (portRef (member ADDR 0) (instanceRef blk00000216))
+ (portRef (member ADDR 0) (instanceRef blk0000027c))
+ )
+ )
+ (net sig000004ee
+ (joined
+ (portRef Q (instanceRef blk0000010a))
+ (portRef (member ADDR 0) (instanceRef blk0000014a))
+ (portRef (member ADDR 0) (instanceRef blk000001b0))
+ )
+ )
+ (net sig000004ef
+ (joined
+ (portRef D (instanceRef blk0000010b))
+ (portRef Q (instanceRef blk00000116))
+ (portRef (member ADDR 1) (instanceRef blk00000216))
+ (portRef (member ADDR 1) (instanceRef blk0000027c))
+ )
+ )
+ (net sig000004f0
+ (joined
+ (portRef Q (instanceRef blk0000010b))
+ (portRef (member ADDR 1) (instanceRef blk0000014a))
+ (portRef (member ADDR 1) (instanceRef blk000001b0))
+ )
+ )
+ (net sig000004f1
+ (joined
+ (portRef D (instanceRef blk0000010c))
+ (portRef Q (instanceRef blk0000010e))
+ (portRef (member ADDR 0) (instanceRef blk000001e3))
+ (portRef (member ADDR 0) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004f2
+ (joined
+ (portRef Q (instanceRef blk0000010c))
+ (portRef (member ADDR 0) (instanceRef blk00000117))
+ (portRef (member ADDR 0) (instanceRef blk0000017d))
+ )
+ )
+ (net sig000004f3
+ (joined
+ (portRef D (instanceRef blk0000010d))
+ (portRef Q (instanceRef blk0000010f))
+ (portRef (member ADDR 1) (instanceRef blk000001e3))
+ (portRef (member ADDR 1) (instanceRef blk00000249))
+ )
+ )
+ (net sig000004f4
+ (joined
+ (portRef Q (instanceRef blk0000010d))
+ (portRef (member ADDR 1) (instanceRef blk00000117))
+ (portRef (member ADDR 1) (instanceRef blk0000017d))
+ )
+ )
+ (net sig000004f5
+ (joined
+ (portRef D (instanceRef blk00000110))
+ (portRef WE (instanceRef blk000002e2))
+ (portRef WE (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000062d))
+ (portRef D (instanceRef blk00000780))
+ )
+ )
+ (net sig000004f6
+ (joined
+ (portRef D (instanceRef blk00000114))
+ (portRef WE (instanceRef blk000002af))
+ (portRef WE (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000071f))
+ (portRef D (instanceRef blk00000782))
+ )
+ )
+ (net sig000004f7
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000048c))
+ )
+ )
+ (net sig000004f8
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000048d))
+ )
+ )
+ (net sig000004f9
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000048e))
+ )
+ )
+ (net sig000004fa
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000048f))
+ )
+ )
+ (net sig000004fb
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000490))
+ )
+ )
+ (net sig000004fc
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000491))
+ )
+ )
+ (net sig000004fd
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000492))
+ )
+ )
+ (net sig000004fe
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000493))
+ )
+ )
+ (net sig000004ff
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000494))
+ )
+ )
+ (net sig00000500
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000495))
+ )
+ )
+ (net sig00000501
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000496))
+ )
+ )
+ (net sig00000502
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000497))
+ )
+ )
+ (net sig00000503
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000498))
+ )
+ )
+ (net sig00000504
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk00000499))
+ )
+ )
+ (net sig00000505
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000049a))
+ )
+ )
+ (net sig00000506
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000049b))
+ )
+ )
+ (net sig00000507
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000049c))
+ )
+ )
+ (net sig00000508
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000049d))
+ )
+ )
+ (net sig00000509
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000049e))
+ )
+ )
+ (net sig0000050a
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk0000049f))
+ )
+ )
+ (net sig0000050b
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk000004a0))
+ )
+ )
+ (net sig0000050c
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk000004a1))
+ )
+ )
+ (net sig0000050d
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk000004a2))
+ )
+ )
+ (net sig0000050e
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000014a))
+ (portRef Q (instanceRef blk000004a3))
+ )
+ )
+ (net sig0000050f
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000474))
+ )
+ )
+ (net sig00000510
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000475))
+ )
+ )
+ (net sig00000511
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000476))
+ )
+ )
+ (net sig00000512
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000477))
+ )
+ )
+ (net sig00000513
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000478))
+ )
+ )
+ (net sig00000514
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000479))
+ )
+ )
+ (net sig00000515
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000047a))
+ )
+ )
+ (net sig00000516
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000047b))
+ )
+ )
+ (net sig00000517
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000047c))
+ )
+ )
+ (net sig00000518
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000047d))
+ )
+ )
+ (net sig00000519
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000047e))
+ )
+ )
+ (net sig0000051a
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000047f))
+ )
+ )
+ (net sig0000051b
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000480))
+ )
+ )
+ (net sig0000051c
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000481))
+ )
+ )
+ (net sig0000051d
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000482))
+ )
+ )
+ (net sig0000051e
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000483))
+ )
+ )
+ (net sig0000051f
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000484))
+ )
+ )
+ (net sig00000520
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000485))
+ )
+ )
+ (net sig00000521
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000486))
+ )
+ )
+ (net sig00000522
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000487))
+ )
+ )
+ (net sig00000523
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000488))
+ )
+ )
+ (net sig00000524
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk00000489))
+ )
+ )
+ (net sig00000525
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000048a))
+ )
+ )
+ (net sig00000526
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000001b0))
+ (portRef Q (instanceRef blk0000048b))
+ )
+ )
+ (net sig00000527
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000041d))
+ )
+ )
+ (net sig00000528
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000041e))
+ )
+ )
+ (net sig00000529
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000041f))
+ )
+ )
+ (net sig0000052a
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000420))
+ )
+ )
+ (net sig0000052b
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000421))
+ )
+ )
+ (net sig0000052c
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000422))
+ )
+ )
+ (net sig0000052d
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000423))
+ )
+ )
+ (net sig0000052e
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000424))
+ )
+ )
+ (net sig0000052f
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000425))
+ )
+ )
+ (net sig00000530
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000426))
+ )
+ )
+ (net sig00000531
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000427))
+ )
+ )
+ (net sig00000532
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000428))
+ )
+ )
+ (net sig00000533
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000429))
+ )
+ )
+ (net sig00000534
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000042a))
+ )
+ )
+ (net sig00000535
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000042b))
+ )
+ )
+ (net sig00000536
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000042c))
+ )
+ )
+ (net sig00000537
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000042d))
+ )
+ )
+ (net sig00000538
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000042e))
+ )
+ )
+ (net sig00000539
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk0000042f))
+ )
+ )
+ (net sig0000053a
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000430))
+ )
+ )
+ (net sig0000053b
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000431))
+ )
+ )
+ (net sig0000053c
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000432))
+ )
+ )
+ (net sig0000053d
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000433))
+ )
+ )
+ (net sig0000053e
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000216))
+ (portRef Q (instanceRef blk00000434))
+ )
+ )
+ (net sig0000053f
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003ed))
+ )
+ )
+ (net sig00000540
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003ee))
+ )
+ )
+ (net sig00000541
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003ef))
+ )
+ )
+ (net sig00000542
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f0))
+ )
+ )
+ (net sig00000543
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f1))
+ )
+ )
+ (net sig00000544
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f2))
+ )
+ )
+ (net sig00000545
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f3))
+ )
+ )
+ (net sig00000546
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f4))
+ )
+ )
+ (net sig00000547
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f5))
+ )
+ )
+ (net sig00000548
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f6))
+ )
+ )
+ (net sig00000549
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f7))
+ )
+ )
+ (net sig0000054a
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f8))
+ )
+ )
+ (net sig0000054b
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003f9))
+ )
+ )
+ (net sig0000054c
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003fa))
+ )
+ )
+ (net sig0000054d
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003fb))
+ )
+ )
+ (net sig0000054e
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003fc))
+ )
+ )
+ (net sig0000054f
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003fd))
+ )
+ )
+ (net sig00000550
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003fe))
+ )
+ )
+ (net sig00000551
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk000003ff))
+ )
+ )
+ (net sig00000552
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk00000400))
+ )
+ )
+ (net sig00000553
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk00000401))
+ )
+ )
+ (net sig00000554
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk00000402))
+ )
+ )
+ (net sig00000555
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk00000403))
+ )
+ )
+ (net sig00000556
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000027c))
+ (portRef Q (instanceRef blk00000404))
+ )
+ )
+ (net sig00000557
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk00000685))
+ )
+ )
+ (net sig00000558
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk0000068d))
+ )
+ )
+ (net sig00000559
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk0000068f))
+ )
+ )
+ (net sig0000055a
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk0000068b))
+ )
+ )
+ (net sig0000055b
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk00000691))
+ )
+ )
+ (net sig0000055c
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk00000693))
+ )
+ )
+ (net sig0000055d
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk00000697))
+ )
+ )
+ (net sig0000055e
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk00000699))
+ )
+ )
+ (net sig0000055f
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk00000695))
+ )
+ )
+ (net sig00000560
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk0000069d))
+ )
+ )
+ (net sig00000561
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk0000069f))
+ )
+ )
+ (net sig00000562
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk0000069b))
+ )
+ )
+ (net sig00000563
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006a3))
+ )
+ )
+ (net sig00000564
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006a5))
+ )
+ )
+ (net sig00000565
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006a1))
+ )
+ )
+ (net sig00000566
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006a7))
+ )
+ )
+ (net sig00000567
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006a9))
+ )
+ )
+ (net sig00000568
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006ad))
+ )
+ )
+ (net sig00000569
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006af))
+ )
+ )
+ (net sig0000056a
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006ab))
+ )
+ )
+ (net sig0000056b
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006b3))
+ )
+ )
+ (net sig0000056c
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006b5))
+ )
+ )
+ (net sig0000056d
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006b1))
+ )
+ )
+ (net sig0000056e
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000002af))
+ (portRef Q (instanceRef blk000006b9))
+ )
+ )
+ (net sig0000056f
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000435))
+ )
+ )
+ (net sig00000570
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000436))
+ )
+ )
+ (net sig00000571
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000437))
+ )
+ )
+ (net sig00000572
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000438))
+ )
+ )
+ (net sig00000573
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000439))
+ )
+ )
+ (net sig00000574
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000043a))
+ )
+ )
+ (net sig00000575
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000043b))
+ )
+ )
+ (net sig00000576
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000043c))
+ )
+ )
+ (net sig00000577
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000043d))
+ )
+ )
+ (net sig00000578
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000043e))
+ )
+ )
+ (net sig00000579
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000043f))
+ )
+ )
+ (net sig0000057a
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000440))
+ )
+ )
+ (net sig0000057b
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000441))
+ )
+ )
+ (net sig0000057c
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000442))
+ )
+ )
+ (net sig0000057d
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000443))
+ )
+ )
+ (net sig0000057e
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000444))
+ )
+ )
+ (net sig0000057f
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000445))
+ )
+ )
+ (net sig00000580
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000446))
+ )
+ )
+ (net sig00000581
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000447))
+ )
+ )
+ (net sig00000582
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000448))
+ )
+ )
+ (net sig00000583
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk00000449))
+ )
+ )
+ (net sig00000584
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000044a))
+ )
+ )
+ (net sig00000585
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000044b))
+ )
+ )
+ (net sig00000586
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000002e2))
+ (portRef Q (instanceRef blk0000044c))
+ )
+ )
+ (net sig00000587
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000065b))
+ )
+ )
+ (net sig00000588
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000065d))
+ )
+ )
+ (net sig00000589
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000659))
+ )
+ )
+ (net sig0000058a
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000661))
+ )
+ )
+ (net sig0000058b
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000663))
+ )
+ )
+ (net sig0000058c
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000065f))
+ )
+ )
+ (net sig0000058d
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000665))
+ )
+ )
+ (net sig0000058e
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000667))
+ )
+ )
+ (net sig0000058f
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000066b))
+ )
+ )
+ (net sig00000590
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000066d))
+ )
+ )
+ (net sig00000591
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000669))
+ )
+ )
+ (net sig00000592
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000671))
+ )
+ )
+ (net sig00000593
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000673))
+ )
+ )
+ (net sig00000594
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000066f))
+ )
+ )
+ (net sig00000595
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000677))
+ )
+ )
+ (net sig00000596
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000679))
+ )
+ )
+ (net sig00000597
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000675))
+ )
+ )
+ (net sig00000598
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000067b))
+ )
+ )
+ (net sig00000599
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000067d))
+ )
+ )
+ (net sig0000059a
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000681))
+ )
+ )
+ (net sig0000059b
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000683))
+ )
+ )
+ (net sig0000059c
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk0000067f))
+ )
+ )
+ (net sig0000059d
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000687))
+ )
+ )
+ (net sig0000059e
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000315))
+ (portRef Q (instanceRef blk00000689))
+ )
+ )
+ (net sig0000059f
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000405))
+ )
+ )
+ (net sig000005a0
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000406))
+ )
+ )
+ (net sig000005a1
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000407))
+ )
+ )
+ (net sig000005a2
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000408))
+ )
+ )
+ (net sig000005a3
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000409))
+ )
+ )
+ (net sig000005a4
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000040a))
+ )
+ )
+ (net sig000005a5
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000040b))
+ )
+ )
+ (net sig000005a6
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000040c))
+ )
+ )
+ (net sig000005a7
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000040d))
+ )
+ )
+ (net sig000005a8
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000040e))
+ )
+ )
+ (net sig000005a9
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000040f))
+ )
+ )
+ (net sig000005aa
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000410))
+ )
+ )
+ (net sig000005ab
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000411))
+ )
+ )
+ (net sig000005ac
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000412))
+ )
+ )
+ (net sig000005ad
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000413))
+ )
+ )
+ (net sig000005ae
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000414))
+ )
+ )
+ (net sig000005af
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000415))
+ )
+ )
+ (net sig000005b0
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000416))
+ )
+ )
+ (net sig000005b1
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000417))
+ )
+ )
+ (net sig000005b2
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000418))
+ )
+ )
+ (net sig000005b3
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk00000419))
+ )
+ )
+ (net sig000005b4
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000041a))
+ )
+ )
+ (net sig000005b5
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000041b))
+ )
+ )
+ (net sig000005b6
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000348))
+ (portRef Q (instanceRef blk0000041c))
+ )
+ )
+ (net sig000005b7
+ (joined
+ (portRef (member DA_IN 0) (instanceRef blk0000037b))
+ (portRef (member DA_IN 0) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000631))
+ )
+ )
+ (net sig000005b8
+ (joined
+ (portRef (member DA_IN 1) (instanceRef blk0000037b))
+ (portRef (member DA_IN 1) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000062f))
+ )
+ )
+ (net sig000005b9
+ (joined
+ (portRef (member DA_IN 2) (instanceRef blk0000037b))
+ (portRef (member DA_IN 2) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000635))
+ )
+ )
+ (net sig000005ba
+ (joined
+ (portRef (member DA_IN 3) (instanceRef blk0000037b))
+ (portRef (member DA_IN 3) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000637))
+ )
+ )
+ (net sig000005bb
+ (joined
+ (portRef (member DA_IN 4) (instanceRef blk0000037b))
+ (portRef (member DA_IN 4) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000633))
+ )
+ )
+ (net sig000005bc
+ (joined
+ (portRef (member DA_IN 5) (instanceRef blk0000037b))
+ (portRef (member DA_IN 5) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000639))
+ )
+ )
+ (net sig000005bd
+ (joined
+ (portRef (member DA_IN 6) (instanceRef blk0000037b))
+ (portRef (member DA_IN 6) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000063b))
+ )
+ )
+ (net sig000005be
+ (joined
+ (portRef (member DA_IN 7) (instanceRef blk0000037b))
+ (portRef (member DA_IN 7) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000063f))
+ )
+ )
+ (net sig000005bf
+ (joined
+ (portRef (member DA_IN 8) (instanceRef blk0000037b))
+ (portRef (member DA_IN 8) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000641))
+ )
+ )
+ (net sig000005c0
+ (joined
+ (portRef (member DA_IN 9) (instanceRef blk0000037b))
+ (portRef (member DA_IN 9) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000063d))
+ )
+ )
+ (net sig000005c1
+ (joined
+ (portRef (member DA_IN 10) (instanceRef blk0000037b))
+ (portRef (member DA_IN 10) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000645))
+ )
+ )
+ (net sig000005c2
+ (joined
+ (portRef (member DA_IN 11) (instanceRef blk0000037b))
+ (portRef (member DA_IN 11) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000647))
+ )
+ )
+ (net sig000005c3
+ (joined
+ (portRef (member DA_IN 12) (instanceRef blk0000037b))
+ (portRef (member DA_IN 12) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000643))
+ )
+ )
+ (net sig000005c4
+ (joined
+ (portRef (member DA_IN 13) (instanceRef blk0000037b))
+ (portRef (member DA_IN 13) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000064b))
+ )
+ )
+ (net sig000005c5
+ (joined
+ (portRef (member DA_IN 14) (instanceRef blk0000037b))
+ (portRef (member DA_IN 14) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000064d))
+ )
+ )
+ (net sig000005c6
+ (joined
+ (portRef (member DA_IN 15) (instanceRef blk0000037b))
+ (portRef (member DA_IN 15) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000649))
+ )
+ )
+ (net sig000005c7
+ (joined
+ (portRef (member DA_IN 16) (instanceRef blk0000037b))
+ (portRef (member DA_IN 16) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000064f))
+ )
+ )
+ (net sig000005c8
+ (joined
+ (portRef (member DA_IN 17) (instanceRef blk0000037b))
+ (portRef (member DA_IN 17) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk00000651))
+ )
+ )
+ (net sig000005c9
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk0000037b))
+ (portRef (member DA_IN 0) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005ca
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk0000037b))
+ (portRef (member DA_IN 1) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005cb
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk0000037b))
+ (portRef (member DA_IN 2) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005cc
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk0000037b))
+ (portRef (member DA_IN 3) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005cd
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk0000037b))
+ (portRef (member DA_IN 4) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005ce
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk0000037b))
+ (portRef (member DA_IN 5) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005cf
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk0000037b))
+ (portRef (member DA_IN 6) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d0
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk0000037b))
+ (portRef (member DA_IN 7) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d1
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk0000037b))
+ (portRef (member DA_IN 8) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d2
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk0000037b))
+ (portRef (member DA_IN 9) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d3
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk0000037b))
+ (portRef (member DA_IN 10) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d4
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk0000037b))
+ (portRef (member DA_IN 11) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d5
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk0000037b))
+ (portRef (member DA_IN 12) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d6
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk0000037b))
+ (portRef (member DA_IN 13) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d7
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk0000037b))
+ (portRef (member DA_IN 14) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d8
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk0000037b))
+ (portRef (member DA_IN 15) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005d9
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk0000037b))
+ (portRef (member DA_IN 16) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005da
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk0000037b))
+ (portRef (member DA_IN 17) (instanceRef blk000003b4))
+ )
+ )
+ (net sig000005db
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk000003b4))
+ (portRef (member DA_IN 0) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005dc
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk000003b4))
+ (portRef (member DA_IN 1) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005dd
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk000003b4))
+ (portRef (member DA_IN 2) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005de
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk000003b4))
+ (portRef (member DA_IN 3) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005df
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk000003b4))
+ (portRef (member DA_IN 4) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e0
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk000003b4))
+ (portRef (member DA_IN 5) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e1
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk000003b4))
+ (portRef (member DA_IN 6) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e2
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk000003b4))
+ (portRef (member DA_IN 7) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e3
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk000003b4))
+ (portRef (member DA_IN 8) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e4
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk000003b4))
+ (portRef (member DA_IN 9) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e5
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk000003b4))
+ (portRef (member DA_IN 10) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e6
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk000003b4))
+ (portRef (member DA_IN 11) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e7
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk000003b4))
+ (portRef (member DA_IN 12) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e8
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk000003b4))
+ (portRef (member DA_IN 13) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005e9
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk000003b4))
+ (portRef (member DA_IN 14) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005ea
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk000003b4))
+ (portRef (member DA_IN 15) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005eb
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk000003b4))
+ (portRef (member DA_IN 16) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005ec
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk000003b4))
+ (portRef (member DA_IN 17) (instanceRef blk0000044d))
+ )
+ )
+ (net sig000005ed
+ (joined
+ (portRef CE (instanceRef blk000003ed))
+ (portRef CE (instanceRef blk000003ee))
+ (portRef CE (instanceRef blk000003ef))
+ (portRef CE (instanceRef blk000003f0))
+ (portRef CE (instanceRef blk000003f1))
+ (portRef CE (instanceRef blk000003f2))
+ (portRef CE (instanceRef blk000003f3))
+ (portRef CE (instanceRef blk000003f4))
+ (portRef CE (instanceRef blk000003f5))
+ (portRef CE (instanceRef blk000003f6))
+ (portRef CE (instanceRef blk000003f7))
+ (portRef CE (instanceRef blk000003f8))
+ (portRef CE (instanceRef blk000003f9))
+ (portRef CE (instanceRef blk000003fa))
+ (portRef CE (instanceRef blk000003fb))
+ (portRef CE (instanceRef blk000003fc))
+ (portRef CE (instanceRef blk000003fd))
+ (portRef CE (instanceRef blk000003fe))
+ (portRef CE (instanceRef blk000003ff))
+ (portRef CE (instanceRef blk00000400))
+ (portRef CE (instanceRef blk00000401))
+ (portRef CE (instanceRef blk00000402))
+ (portRef CE (instanceRef blk00000403))
+ (portRef CE (instanceRef blk00000404))
+ (portRef CE (instanceRef blk0000041d))
+ (portRef CE (instanceRef blk0000041e))
+ (portRef CE (instanceRef blk0000041f))
+ (portRef CE (instanceRef blk00000420))
+ (portRef CE (instanceRef blk00000421))
+ (portRef CE (instanceRef blk00000422))
+ (portRef CE (instanceRef blk00000423))
+ (portRef CE (instanceRef blk00000424))
+ (portRef CE (instanceRef blk00000425))
+ (portRef CE (instanceRef blk00000426))
+ (portRef CE (instanceRef blk00000427))
+ (portRef CE (instanceRef blk00000428))
+ (portRef CE (instanceRef blk00000429))
+ (portRef CE (instanceRef blk0000042a))
+ (portRef CE (instanceRef blk0000042b))
+ (portRef CE (instanceRef blk0000042c))
+ (portRef CE (instanceRef blk0000042d))
+ (portRef CE (instanceRef blk0000042e))
+ (portRef CE (instanceRef blk0000042f))
+ (portRef CE (instanceRef blk00000430))
+ (portRef CE (instanceRef blk00000431))
+ (portRef CE (instanceRef blk00000432))
+ (portRef CE (instanceRef blk00000433))
+ (portRef CE (instanceRef blk00000434))
+ (portRef O (instanceRef blk00000578))
+ )
+ )
+ (net sig000005ee
+ (joined
+ (portRef CE (instanceRef blk00000405))
+ (portRef CE (instanceRef blk00000406))
+ (portRef CE (instanceRef blk00000407))
+ (portRef CE (instanceRef blk00000408))
+ (portRef CE (instanceRef blk00000409))
+ (portRef CE (instanceRef blk0000040a))
+ (portRef CE (instanceRef blk0000040b))
+ (portRef CE (instanceRef blk0000040c))
+ (portRef CE (instanceRef blk0000040d))
+ (portRef CE (instanceRef blk0000040e))
+ (portRef CE (instanceRef blk0000040f))
+ (portRef CE (instanceRef blk00000410))
+ (portRef CE (instanceRef blk00000411))
+ (portRef CE (instanceRef blk00000412))
+ (portRef CE (instanceRef blk00000413))
+ (portRef CE (instanceRef blk00000414))
+ (portRef CE (instanceRef blk00000415))
+ (portRef CE (instanceRef blk00000416))
+ (portRef CE (instanceRef blk00000417))
+ (portRef CE (instanceRef blk00000418))
+ (portRef CE (instanceRef blk00000419))
+ (portRef CE (instanceRef blk0000041a))
+ (portRef CE (instanceRef blk0000041b))
+ (portRef CE (instanceRef blk0000041c))
+ (portRef CE (instanceRef blk00000435))
+ (portRef CE (instanceRef blk00000436))
+ (portRef CE (instanceRef blk00000437))
+ (portRef CE (instanceRef blk00000438))
+ (portRef CE (instanceRef blk00000439))
+ (portRef CE (instanceRef blk0000043a))
+ (portRef CE (instanceRef blk0000043b))
+ (portRef CE (instanceRef blk0000043c))
+ (portRef CE (instanceRef blk0000043d))
+ (portRef CE (instanceRef blk0000043e))
+ (portRef CE (instanceRef blk0000043f))
+ (portRef CE (instanceRef blk00000440))
+ (portRef CE (instanceRef blk00000441))
+ (portRef CE (instanceRef blk00000442))
+ (portRef CE (instanceRef blk00000443))
+ (portRef CE (instanceRef blk00000444))
+ (portRef CE (instanceRef blk00000445))
+ (portRef CE (instanceRef blk00000446))
+ (portRef CE (instanceRef blk00000447))
+ (portRef CE (instanceRef blk00000448))
+ (portRef CE (instanceRef blk00000449))
+ (portRef CE (instanceRef blk0000044a))
+ (portRef CE (instanceRef blk0000044b))
+ (portRef CE (instanceRef blk0000044c))
+ (portRef O (instanceRef blk00000579))
+ )
+ )
+ (net sig000005ef
+ (joined
+ (portRef CE (instanceRef blk00000474))
+ (portRef CE (instanceRef blk00000475))
+ (portRef CE (instanceRef blk00000476))
+ (portRef CE (instanceRef blk00000477))
+ (portRef CE (instanceRef blk00000478))
+ (portRef CE (instanceRef blk00000479))
+ (portRef CE (instanceRef blk0000047a))
+ (portRef CE (instanceRef blk0000047b))
+ (portRef CE (instanceRef blk0000047c))
+ (portRef CE (instanceRef blk0000047d))
+ (portRef CE (instanceRef blk0000047e))
+ (portRef CE (instanceRef blk0000047f))
+ (portRef CE (instanceRef blk00000480))
+ (portRef CE (instanceRef blk00000481))
+ (portRef CE (instanceRef blk00000482))
+ (portRef CE (instanceRef blk00000483))
+ (portRef CE (instanceRef blk00000484))
+ (portRef CE (instanceRef blk00000485))
+ (portRef CE (instanceRef blk00000486))
+ (portRef CE (instanceRef blk00000487))
+ (portRef CE (instanceRef blk00000488))
+ (portRef CE (instanceRef blk00000489))
+ (portRef CE (instanceRef blk0000048a))
+ (portRef CE (instanceRef blk0000048b))
+ (portRef CE (instanceRef blk0000048c))
+ (portRef CE (instanceRef blk0000048d))
+ (portRef CE (instanceRef blk0000048e))
+ (portRef CE (instanceRef blk0000048f))
+ (portRef CE (instanceRef blk00000490))
+ (portRef CE (instanceRef blk00000491))
+ (portRef CE (instanceRef blk00000492))
+ (portRef CE (instanceRef blk00000493))
+ (portRef CE (instanceRef blk00000494))
+ (portRef CE (instanceRef blk00000495))
+ (portRef CE (instanceRef blk00000496))
+ (portRef CE (instanceRef blk00000497))
+ (portRef CE (instanceRef blk00000498))
+ (portRef CE (instanceRef blk00000499))
+ (portRef CE (instanceRef blk0000049a))
+ (portRef CE (instanceRef blk0000049b))
+ (portRef CE (instanceRef blk0000049c))
+ (portRef CE (instanceRef blk0000049d))
+ (portRef CE (instanceRef blk0000049e))
+ (portRef CE (instanceRef blk0000049f))
+ (portRef CE (instanceRef blk000004a0))
+ (portRef CE (instanceRef blk000004a1))
+ (portRef CE (instanceRef blk000004a2))
+ (portRef CE (instanceRef blk000004a3))
+ (portRef O (instanceRef blk0000057a))
+ )
+ )
+ (net sig000005f0
+ (joined
+ (portRef (member ADDRB 0) (instanceRef blk000004a4))
+ (portRef Q (instanceRef blk0000062b))
+ )
+ )
+ (net sig000005f1
+ (joined
+ (portRef LI (instanceRef blk000004cb))
+ (portRef S (instanceRef blk000004d4))
+ (portRef O (instanceRef blk0000057c))
+ )
+ )
+ (net sig000005f2
+ (joined
+ (portRef O (instanceRef blk000004cb))
+ (portRef D (instanceRef blk000005fa))
+ )
+ )
+ (net sig000005f3
+ (joined
+ (portRef CI (instanceRef blk000004cc))
+ (portRef CI (instanceRef blk000004d0))
+ (portRef LO (instanceRef blk000004d1))
+ )
+ )
+ (net sig000005f4
+ (joined
+ (portRef LI (instanceRef blk000004cc))
+ (portRef S (instanceRef blk000004d0))
+ (portRef O (instanceRef blk0000060a))
+ )
+ )
+ (net sig000005f5
+ (joined
+ (portRef O (instanceRef blk000004cc))
+ (portRef D (instanceRef blk000005f6))
+ )
+ )
+ (net sig000005f6
+ (joined
+ (portRef CI (instanceRef blk000004cd))
+ (portRef CI (instanceRef blk000004d1))
+ (portRef LO (instanceRef blk000004d2))
+ )
+ )
+ (net sig000005f7
+ (joined
+ (portRef LI (instanceRef blk000004cd))
+ (portRef S (instanceRef blk000004d1))
+ (portRef O (instanceRef blk0000060b))
+ )
+ )
+ (net sig000005f8
+ (joined
+ (portRef O (instanceRef blk000004cd))
+ (portRef D (instanceRef blk000005f7))
+ )
+ )
+ (net sig000005f9
+ (joined
+ (portRef CI (instanceRef blk000004ce))
+ (portRef CI (instanceRef blk000004d2))
+ (portRef LO (instanceRef blk000004d3))
+ )
+ )
+ (net sig000005fa
+ (joined
+ (portRef LI (instanceRef blk000004ce))
+ (portRef S (instanceRef blk000004d2))
+ (portRef O (instanceRef blk0000060c))
+ )
+ )
+ (net sig000005fb
+ (joined
+ (portRef O (instanceRef blk000004ce))
+ (portRef D (instanceRef blk000005f8))
+ )
+ )
+ (net sig000005fc
+ (joined
+ (portRef CI (instanceRef blk000004cf))
+ (portRef CI (instanceRef blk000004d3))
+ (portRef LO (instanceRef blk000004d4))
+ )
+ )
+ (net sig000005fd
+ (joined
+ (portRef LI (instanceRef blk000004cf))
+ (portRef S (instanceRef blk000004d3))
+ (portRef O (instanceRef blk0000060d))
+ )
+ )
+ (net sig000005fe
+ (joined
+ (portRef O (instanceRef blk000004cf))
+ (portRef D (instanceRef blk000005f9))
+ )
+ )
+ (net sig000005ff
+ (joined
+ (portRef DI (instanceRef blk000004d0))
+ (portRef I2 (instanceRef blk0000054e))
+ (portRef I1 (instanceRef blk0000054f))
+ (portRef I1 (instanceRef blk0000057c))
+ (portRef I2 (instanceRef blk000005db))
+ (portRef I1 (instanceRef blk000005dc))
+ (portRef I1 (instanceRef blk000005dd))
+ (portRef I1 (instanceRef blk000005de))
+ (portRef I1 (instanceRef blk000005df))
+ (portRef I1 (instanceRef blk000005e0))
+ (portRef Q (instanceRef blk000005f6))
+ (portRef I0 (instanceRef blk0000060a))
+ (portRef I (instanceRef blk00000623))
+ )
+ )
+ (net sig00000600
+ (joined
+ (portRef DI (instanceRef blk000004d1))
+ (portRef Q (instanceRef blk000005f7))
+ (portRef I0 (instanceRef blk0000060b))
+ )
+ )
+ (net sig00000601
+ (joined
+ (portRef DI (instanceRef blk000004d2))
+ (portRef Q (instanceRef blk000005f8))
+ (portRef I0 (instanceRef blk0000060c))
+ )
+ )
+ (net sig00000602
+ (joined
+ (portRef DI (instanceRef blk000004d3))
+ (portRef Q (instanceRef blk000005f9))
+ (portRef I0 (instanceRef blk0000060d))
+ )
+ )
+ (net sig00000603
+ (joined
+ (portRef DI (instanceRef blk000004d4))
+ (portRef I0 (instanceRef blk0000057c))
+ (portRef Q (instanceRef blk000005fa))
+ )
+ )
+ (net sig00000604
+ (joined
+ (portRef D (instanceRef blk000004d5))
+ (portRef D (instanceRef blk0000061c))
+ (portRef Q (instanceRef blk00000781))
+ )
+ )
+ (net sig00000605
+ (joined
+ (portRef D (instanceRef blk000004d6))
+ (portRef O (instanceRef blk0000057d))
+ )
+ )
+ (net sig00000606
+ (joined
+ (portRef D (instanceRef blk000004d7))
+ (portRef O (instanceRef blk0000057f))
+ )
+ )
+ (net sig00000607
+ (joined
+ (portRef D (instanceRef blk000004d8))
+ (portRef O (instanceRef blk0000057e))
+ )
+ )
+ (net sig00000608
+ (joined
+ (portRef D (instanceRef blk000004d9))
+ (portRef O (instanceRef blk00000580))
+ )
+ )
+ (net sig00000609
+ (joined
+ (portRef D (instanceRef blk000004da))
+ (portRef O (instanceRef blk00000582))
+ )
+ )
+ (net sig0000060a
+ (joined
+ (portRef D (instanceRef blk000004db))
+ (portRef O (instanceRef blk00000581))
+ )
+ )
+ (net sig0000060b
+ (joined
+ (portRef D (instanceRef blk000004dc))
+ (portRef O (instanceRef blk00000583))
+ )
+ )
+ (net sig0000060c
+ (joined
+ (portRef D (instanceRef blk000004dd))
+ (portRef O (instanceRef blk00000585))
+ )
+ )
+ (net sig0000060d
+ (joined
+ (portRef D (instanceRef blk000004de))
+ (portRef O (instanceRef blk00000584))
+ )
+ )
+ (net sig0000060e
+ (joined
+ (portRef D (instanceRef blk000004df))
+ (portRef O (instanceRef blk00000586))
+ )
+ )
+ (net sig0000060f
+ (joined
+ (portRef D (instanceRef blk000004e0))
+ (portRef O (instanceRef blk00000588))
+ )
+ )
+ (net sig00000610
+ (joined
+ (portRef D (instanceRef blk000004e1))
+ (portRef O (instanceRef blk00000587))
+ )
+ )
+ (net sig00000611
+ (joined
+ (portRef D (instanceRef blk000004e2))
+ (portRef O (instanceRef blk00000589))
+ )
+ )
+ (net sig00000612
+ (joined
+ (portRef D (instanceRef blk000004e3))
+ (portRef O (instanceRef blk0000058b))
+ )
+ )
+ (net sig00000613
+ (joined
+ (portRef D (instanceRef blk000004e4))
+ (portRef O (instanceRef blk0000058a))
+ )
+ )
+ (net sig00000614
+ (joined
+ (portRef D (instanceRef blk000004e5))
+ (portRef O (instanceRef blk0000058c))
+ )
+ )
+ (net sig00000615
+ (joined
+ (portRef D (instanceRef blk000004e6))
+ (portRef O (instanceRef blk0000058e))
+ )
+ )
+ (net sig00000616
+ (joined
+ (portRef D (instanceRef blk000004e7))
+ (portRef O (instanceRef blk0000058d))
+ )
+ )
+ (net sig00000617
+ (joined
+ (portRef D (instanceRef blk000004e8))
+ (portRef O (instanceRef blk0000058f))
+ )
+ )
+ (net sig00000618
+ (joined
+ (portRef D (instanceRef blk000004e9))
+ (portRef O (instanceRef blk00000591))
+ )
+ )
+ (net sig00000619
+ (joined
+ (portRef D (instanceRef blk000004ea))
+ (portRef O (instanceRef blk00000590))
+ )
+ )
+ (net sig0000061a
+ (joined
+ (portRef D (instanceRef blk000004eb))
+ (portRef O (instanceRef blk00000592))
+ )
+ )
+ (net sig0000061b
+ (joined
+ (portRef D (instanceRef blk000004ec))
+ (portRef O (instanceRef blk00000594))
+ )
+ )
+ (net sig0000061c
+ (joined
+ (portRef D (instanceRef blk000004ed))
+ (portRef O (instanceRef blk00000593))
+ )
+ )
+ (net sig0000061d
+ (joined
+ (portRef D (instanceRef blk000004ee))
+ (portRef O (instanceRef blk00000595))
+ )
+ )
+ (net sig0000061e
+ (joined
+ (portRef D (instanceRef blk000004ef))
+ (portRef O (instanceRef blk00000597))
+ )
+ )
+ (net sig0000061f
+ (joined
+ (portRef D (instanceRef blk000004f0))
+ (portRef O (instanceRef blk00000596))
+ )
+ )
+ (net sig00000620
+ (joined
+ (portRef D (instanceRef blk000004f1))
+ (portRef O (instanceRef blk00000598))
+ )
+ )
+ (net sig00000621
+ (joined
+ (portRef D (instanceRef blk000004f2))
+ (portRef O (instanceRef blk0000059a))
+ )
+ )
+ (net sig00000622
+ (joined
+ (portRef D (instanceRef blk000004f3))
+ (portRef O (instanceRef blk00000599))
+ )
+ )
+ (net sig00000623
+ (joined
+ (portRef D (instanceRef blk000004f4))
+ (portRef O (instanceRef blk0000059b))
+ )
+ )
+ (net sig00000624
+ (joined
+ (portRef D (instanceRef blk000004f5))
+ (portRef O (instanceRef blk0000059d))
+ )
+ )
+ (net sig00000625
+ (joined
+ (portRef D (instanceRef blk000004f6))
+ (portRef O (instanceRef blk0000059c))
+ )
+ )
+ (net sig00000626
+ (joined
+ (portRef D (instanceRef blk000004f7))
+ (portRef O (instanceRef blk0000059e))
+ )
+ )
+ (net sig00000627
+ (joined
+ (portRef D (instanceRef blk000004f8))
+ (portRef O (instanceRef blk000005a0))
+ )
+ )
+ (net sig00000628
+ (joined
+ (portRef D (instanceRef blk000004f9))
+ (portRef O (instanceRef blk0000059f))
+ )
+ )
+ (net sig00000629
+ (joined
+ (portRef D (instanceRef blk000004fa))
+ (portRef O (instanceRef blk000005a1))
+ )
+ )
+ (net sig0000062a
+ (joined
+ (portRef D (instanceRef blk000004fb))
+ (portRef O (instanceRef blk000005a3))
+ )
+ )
+ (net sig0000062b
+ (joined
+ (portRef D (instanceRef blk000004fc))
+ (portRef O (instanceRef blk000005a2))
+ )
+ )
+ (net sig0000062c
+ (joined
+ (portRef D (instanceRef blk000004fd))
+ (portRef O (instanceRef blk000005a4))
+ )
+ )
+ (net sig0000062d
+ (joined
+ (portRef D (instanceRef blk000004fe))
+ (portRef O (instanceRef blk000005a6))
+ )
+ )
+ (net sig0000062e
+ (joined
+ (portRef D (instanceRef blk000004ff))
+ (portRef O (instanceRef blk000005a5))
+ )
+ )
+ (net sig0000062f
+ (joined
+ (portRef D (instanceRef blk00000500))
+ (portRef O (instanceRef blk000005a7))
+ )
+ )
+ (net sig00000630
+ (joined
+ (portRef D (instanceRef blk00000501))
+ (portRef O (instanceRef blk000005a9))
+ )
+ )
+ (net sig00000631
+ (joined
+ (portRef D (instanceRef blk00000502))
+ (portRef O (instanceRef blk000005a8))
+ )
+ )
+ (net sig00000632
+ (joined
+ (portRef D (instanceRef blk00000503))
+ (portRef O (instanceRef blk000005aa))
+ )
+ )
+ (net sig00000633
+ (joined
+ (portRef D (instanceRef blk00000504))
+ (portRef O (instanceRef blk000005ac))
+ )
+ )
+ (net sig00000634
+ (joined
+ (portRef D (instanceRef blk00000505))
+ (portRef O (instanceRef blk000005ab))
+ )
+ )
+ (net sig00000635
+ (joined
+ (portRef D (instanceRef blk00000506))
+ (portRef O (instanceRef blk000005ad))
+ )
+ )
+ (net sig00000636
+ (joined
+ (portRef D (instanceRef blk00000507))
+ (portRef O (instanceRef blk000005ae))
+ )
+ )
+ (net sig00000637
+ (joined
+ (portRef D (instanceRef blk00000508))
+ (portRef O (instanceRef blk000005b0))
+ )
+ )
+ (net sig00000638
+ (joined
+ (portRef D (instanceRef blk00000509))
+ (portRef O (instanceRef blk000005af))
+ )
+ )
+ (net sig00000639
+ (joined
+ (portRef D (instanceRef blk0000050a))
+ (portRef O (instanceRef blk000005b1))
+ )
+ )
+ (net sig0000063a
+ (joined
+ (portRef D (instanceRef blk0000050b))
+ (portRef O (instanceRef blk000005b3))
+ )
+ )
+ (net sig0000063b
+ (joined
+ (portRef D (instanceRef blk0000050c))
+ (portRef O (instanceRef blk000005b2))
+ )
+ )
+ (net sig0000063c
+ (joined
+ (portRef D (instanceRef blk0000050d))
+ (portRef O (instanceRef blk000005b4))
+ )
+ )
+ (net sig0000063d
+ (joined
+ (portRef D (instanceRef blk0000050e))
+ (portRef O (instanceRef blk000005b6))
+ )
+ )
+ (net sig0000063e
+ (joined
+ (portRef D (instanceRef blk0000050f))
+ (portRef O (instanceRef blk000005b5))
+ )
+ )
+ (net sig0000063f
+ (joined
+ (portRef D (instanceRef blk00000510))
+ (portRef O (instanceRef blk000005b7))
+ )
+ )
+ (net sig00000640
+ (joined
+ (portRef D (instanceRef blk00000511))
+ (portRef O (instanceRef blk000005b9))
+ )
+ )
+ (net sig00000641
+ (joined
+ (portRef D (instanceRef blk00000512))
+ (portRef O (instanceRef blk000005b8))
+ )
+ )
+ (net sig00000642
+ (joined
+ (portRef D (instanceRef blk00000513))
+ (portRef O (instanceRef blk000005ba))
+ )
+ )
+ (net sig00000643
+ (joined
+ (portRef D (instanceRef blk00000514))
+ (portRef O (instanceRef blk000005bc))
+ )
+ )
+ (net sig00000644
+ (joined
+ (portRef D (instanceRef blk00000515))
+ (portRef O (instanceRef blk000005bb))
+ )
+ )
+ (net sig00000645
+ (joined
+ (portRef D (instanceRef blk00000516))
+ (portRef O (instanceRef blk000005bd))
+ )
+ )
+ (net sig00000646
+ (joined
+ (portRef D (instanceRef blk00000517))
+ (portRef O (instanceRef blk000005bf))
+ )
+ )
+ (net sig00000647
+ (joined
+ (portRef D (instanceRef blk00000518))
+ (portRef O (instanceRef blk000005be))
+ )
+ )
+ (net sig00000648
+ (joined
+ (portRef D (instanceRef blk00000519))
+ (portRef O (instanceRef blk000005c0))
+ )
+ )
+ (net sig00000649
+ (joined
+ (portRef D (instanceRef blk0000051a))
+ (portRef O (instanceRef blk000005c2))
+ )
+ )
+ (net sig0000064a
+ (joined
+ (portRef D (instanceRef blk0000051b))
+ (portRef O (instanceRef blk000005c1))
+ )
+ )
+ (net sig0000064b
+ (joined
+ (portRef D (instanceRef blk0000051c))
+ (portRef O (instanceRef blk000005c3))
+ )
+ )
+ (net sig0000064c
+ (joined
+ (portRef D (instanceRef blk0000051d))
+ (portRef O (instanceRef blk000005c5))
+ )
+ )
+ (net sig0000064d
+ (joined
+ (portRef D (instanceRef blk0000051e))
+ (portRef O (instanceRef blk000005c4))
+ )
+ )
+ (net sig0000064e
+ (joined
+ (portRef D (instanceRef blk0000051f))
+ (portRef O (instanceRef blk000005c6))
+ )
+ )
+ (net sig0000064f
+ (joined
+ (portRef D (instanceRef blk00000520))
+ (portRef O (instanceRef blk000005c8))
+ )
+ )
+ (net sig00000650
+ (joined
+ (portRef D (instanceRef blk00000521))
+ (portRef O (instanceRef blk000005c7))
+ )
+ )
+ (net sig00000651
+ (joined
+ (portRef D (instanceRef blk00000522))
+ (portRef O (instanceRef blk000005c9))
+ )
+ )
+ (net sig00000652
+ (joined
+ (portRef D (instanceRef blk00000523))
+ (portRef O (instanceRef blk000005cb))
+ )
+ )
+ (net sig00000653
+ (joined
+ (portRef D (instanceRef blk00000524))
+ (portRef O (instanceRef blk000005ca))
+ )
+ )
+ (net sig00000654
+ (joined
+ (portRef D (instanceRef blk00000525))
+ (portRef O (instanceRef blk000005cc))
+ )
+ )
+ (net sig00000655
+ (joined
+ (portRef D (instanceRef blk00000526))
+ (portRef O (instanceRef blk000005ce))
+ )
+ )
+ (net sig00000656
+ (joined
+ (portRef D (instanceRef blk00000527))
+ (portRef O (instanceRef blk000005cd))
+ )
+ )
+ (net sig00000657
+ (joined
+ (portRef D (instanceRef blk00000528))
+ (portRef O (instanceRef blk000005cf))
+ )
+ )
+ (net sig00000658
+ (joined
+ (portRef D (instanceRef blk00000529))
+ (portRef O (instanceRef blk000005d1))
+ )
+ )
+ (net sig00000659
+ (joined
+ (portRef D (instanceRef blk0000052a))
+ (portRef O (instanceRef blk000005d0))
+ )
+ )
+ (net sig0000065a
+ (joined
+ (portRef D (instanceRef blk0000052b))
+ (portRef O (instanceRef blk000005d2))
+ )
+ )
+ (net sig0000065b
+ (joined
+ (portRef D (instanceRef blk0000052c))
+ (portRef O (instanceRef blk000005d4))
+ )
+ )
+ (net sig0000065c
+ (joined
+ (portRef D (instanceRef blk0000052d))
+ (portRef O (instanceRef blk000005d3))
+ )
+ )
+ (net sig0000065d
+ (joined
+ (portRef D (instanceRef blk0000052e))
+ (portRef O (instanceRef blk000005d5))
+ )
+ )
+ (net sig0000065e
+ (joined
+ (portRef D (instanceRef blk0000052f))
+ (portRef O (instanceRef blk000005d7))
+ )
+ )
+ (net sig0000065f
+ (joined
+ (portRef D (instanceRef blk00000530))
+ (portRef O (instanceRef blk000005d6))
+ )
+ )
+ (net sig00000660
+ (joined
+ (portRef D (instanceRef blk00000531))
+ (portRef O (instanceRef blk000005d8))
+ )
+ )
+ (net sig00000661
+ (joined
+ (portRef D (instanceRef blk00000532))
+ (portRef O (instanceRef blk000005da))
+ )
+ )
+ (net sig00000662
+ (joined
+ (portRef D (instanceRef blk00000533))
+ (portRef O (instanceRef blk000005d9))
+ )
+ )
+ (net sig00000663
+ (joined
+ (portRef D (instanceRef blk00000534))
+ (portRef O (instanceRef blk000005db))
+ )
+ )
+ (net sig00000664
+ (joined
+ (portRef CI (instanceRef blk00000535))
+ (portRef CI (instanceRef blk0000053a))
+ (portRef LO (instanceRef blk0000053b))
+ )
+ )
+ (net sig00000665
+ (joined
+ (portRef LI (instanceRef blk00000535))
+ (portRef S (instanceRef blk0000053a))
+ (portRef O (instanceRef blk000005e0))
+ )
+ )
+ (net sig00000666
+ (joined
+ (portRef O (instanceRef blk00000535))
+ (portRef D (instanceRef blk000005fb))
+ )
+ )
+ (net sig00000667
+ (joined
+ (portRef CI (instanceRef blk00000536))
+ (portRef CI (instanceRef blk0000053b))
+ (portRef LO (instanceRef blk0000053c))
+ )
+ )
+ (net sig00000668
+ (joined
+ (portRef LI (instanceRef blk00000536))
+ (portRef S (instanceRef blk0000053b))
+ (portRef O (instanceRef blk000005df))
+ )
+ )
+ (net sig00000669
+ (joined
+ (portRef O (instanceRef blk00000536))
+ (portRef D (instanceRef blk000005fc))
+ )
+ )
+ (net sig0000066a
+ (joined
+ (portRef CI (instanceRef blk00000537))
+ (portRef CI (instanceRef blk0000053c))
+ (portRef LO (instanceRef blk0000053d))
+ )
+ )
+ (net sig0000066b
+ (joined
+ (portRef LI (instanceRef blk00000537))
+ (portRef S (instanceRef blk0000053c))
+ (portRef O (instanceRef blk000005de))
+ )
+ )
+ (net sig0000066c
+ (joined
+ (portRef O (instanceRef blk00000537))
+ (portRef D (instanceRef blk000005fd))
+ )
+ )
+ (net sig0000066d
+ (joined
+ (portRef CI (instanceRef blk00000538))
+ (portRef CI (instanceRef blk0000053d))
+ (portRef LO (instanceRef blk0000053e))
+ )
+ )
+ (net sig0000066e
+ (joined
+ (portRef LI (instanceRef blk00000538))
+ (portRef S (instanceRef blk0000053d))
+ (portRef O (instanceRef blk000005dd))
+ )
+ )
+ (net sig0000066f
+ (joined
+ (portRef O (instanceRef blk00000538))
+ (portRef D (instanceRef blk000005fe))
+ )
+ )
+ (net sig00000670
+ (joined
+ (portRef CI (instanceRef blk00000539))
+ (portRef CI (instanceRef blk0000053e))
+ (portRef O (instanceRef blk0000053f))
+ )
+ )
+ (net sig00000671
+ (joined
+ (portRef LI (instanceRef blk00000539))
+ (portRef S (instanceRef blk0000053e))
+ (portRef O (instanceRef blk000005dc))
+ )
+ )
+ (net sig00000672
+ (joined
+ (portRef O (instanceRef blk00000539))
+ (portRef D (instanceRef blk000005ff))
+ )
+ )
+ (net sig00000673
+ (joined
+ (portRef DI (instanceRef blk0000053a))
+ (portRef I0 (instanceRef blk0000054b))
+ (portRef I0 (instanceRef blk000005e0))
+ (portRef Q (instanceRef blk000005fb))
+ )
+ )
+ (net sig00000674
+ (joined
+ (portRef DI (instanceRef blk0000053b))
+ (portRef I1 (instanceRef blk0000054b))
+ (portRef I0 (instanceRef blk000005df))
+ (portRef Q (instanceRef blk000005fc))
+ )
+ )
+ (net sig00000675
+ (joined
+ (portRef DI (instanceRef blk0000053c))
+ (portRef I2 (instanceRef blk0000054b))
+ (portRef I0 (instanceRef blk000005de))
+ (portRef Q (instanceRef blk000005fd))
+ )
+ )
+ (net sig00000676
+ (joined
+ (portRef DI (instanceRef blk0000053d))
+ (portRef I3 (instanceRef blk0000054b))
+ (portRef I0 (instanceRef blk000005dd))
+ (portRef Q (instanceRef blk000005fe))
+ )
+ )
+ (net sig00000677
+ (joined
+ (portRef DI (instanceRef blk0000053e))
+ (portRef I4 (instanceRef blk0000054b))
+ (portRef I0 (instanceRef blk000005dc))
+ (portRef Q (instanceRef blk000005ff))
+ )
+ )
+ (net sig00000678
+ (joined
+ (portRef S (instanceRef blk0000053f))
+ (portRef O (instanceRef blk00000623))
+ )
+ )
+ (net sig00000679
+ (joined
+ (portRef I1 (instanceRef blk00000540))
+ (portRef I1 (instanceRef blk00000547))
+ (portRef I1 (instanceRef blk00000548))
+ (portRef Q (instanceRef blk00000783))
+ )
+ )
+ (net sig0000067a
+ (joined
+ (portRef I2 (instanceRef blk00000540))
+ (portRef Q (instanceRef blk0000071d))
+ )
+ )
+ (net sig0000067b
+ (joined
+ (portRef I1 (instanceRef blk00000543))
+ (portRef Q (instanceRef blk0000060e))
+ (portRef I0 (instanceRef blk00000615))
+ )
+ )
+ (net sig0000067c
+ (joined
+ (portRef I2 (instanceRef blk0000054f))
+ (portRef Q (instanceRef blk0000061c))
+ )
+ )
+ (net sig0000067d
+ (joined
+ (portRef I1 (instanceRef blk00000561))
+ (portRef Q (instanceRef blk000005ea))
+ (portRef I2 (instanceRef blk00000613))
+ )
+ )
+ (net sig0000067e
+ (joined
+ (portRef I0 (instanceRef blk0000056c))
+ (portRef I0 (instanceRef blk00000606))
+ (portRef Q (instanceRef blk0000060f))
+ (portRef I0 (instanceRef blk00000612))
+ )
+ )
+ (net sig0000067f
+ (joined
+ (portRef I1 (instanceRef blk00000572))
+ (portRef Q (instanceRef blk000005ef))
+ (portRef I2 (instanceRef blk00000614))
+ )
+ )
+ (net sig00000680
+ (joined
+ (portRef I0 (instanceRef blk00000573))
+ (portRef I2 (instanceRef blk00000574))
+ (portRef I1 (instanceRef blk00000575))
+ (portRef Q (instanceRef blk00000655))
+ )
+ )
+ (net sig00000681
+ (joined
+ (portRef I1 (instanceRef blk00000578))
+ (portRef Q (instanceRef blk000006b7))
+ )
+ )
+ (net sig00000682
+ (joined
+ (portRef I1 (instanceRef blk00000579))
+ (portRef Q (instanceRef blk000006bb))
+ )
+ )
+ (net sig00000683
+ (joined
+ (portRef O (instanceRef blk0000057b))
+ (portRef CE (instanceRef blk000006bc))
+ (portRef CE (instanceRef blk000006bd))
+ (portRef CE (instanceRef blk000006be))
+ (portRef CE (instanceRef blk000006bf))
+ (portRef CE (instanceRef blk000006c0))
+ (portRef CE (instanceRef blk000006c1))
+ (portRef CE (instanceRef blk000006c2))
+ (portRef CE (instanceRef blk000006c3))
+ (portRef CE (instanceRef blk000006c4))
+ (portRef CE (instanceRef blk000006c5))
+ (portRef CE (instanceRef blk000006c6))
+ (portRef CE (instanceRef blk000006c7))
+ (portRef CE (instanceRef blk000006c8))
+ (portRef CE (instanceRef blk000006c9))
+ (portRef CE (instanceRef blk000006ca))
+ (portRef CE (instanceRef blk000006cb))
+ (portRef CE (instanceRef blk000006cc))
+ (portRef CE (instanceRef blk000006cd))
+ (portRef CE (instanceRef blk000006ce))
+ (portRef CE (instanceRef blk000006cf))
+ (portRef CE (instanceRef blk000006d0))
+ (portRef CE (instanceRef blk000006d1))
+ (portRef CE (instanceRef blk000006d2))
+ (portRef CE (instanceRef blk000006d3))
+ (portRef CE (instanceRef blk000006d4))
+ (portRef CE (instanceRef blk000006d5))
+ (portRef CE (instanceRef blk000006d6))
+ (portRef CE (instanceRef blk000006d7))
+ (portRef CE (instanceRef blk000006d8))
+ (portRef CE (instanceRef blk000006d9))
+ (portRef CE (instanceRef blk000006da))
+ (portRef CE (instanceRef blk000006db))
+ (portRef CE (instanceRef blk000006dc))
+ (portRef CE (instanceRef blk000006dd))
+ (portRef CE (instanceRef blk000006de))
+ (portRef CE (instanceRef blk000006df))
+ (portRef CE (instanceRef blk000006e0))
+ (portRef CE (instanceRef blk000006e1))
+ (portRef CE (instanceRef blk000006e2))
+ (portRef CE (instanceRef blk000006e3))
+ (portRef CE (instanceRef blk000006e4))
+ (portRef CE (instanceRef blk000006e5))
+ (portRef CE (instanceRef blk000006e6))
+ (portRef CE (instanceRef blk000006e7))
+ (portRef CE (instanceRef blk000006e8))
+ (portRef CE (instanceRef blk000006e9))
+ (portRef CE (instanceRef blk000006ea))
+ (portRef CE (instanceRef blk000006eb))
+ (portRef CE (instanceRef blk000006ec))
+ (portRef CE (instanceRef blk000006ed))
+ (portRef CE (instanceRef blk000006ee))
+ (portRef CE (instanceRef blk000006ef))
+ (portRef CE (instanceRef blk000006f0))
+ (portRef CE (instanceRef blk000006f1))
+ (portRef CE (instanceRef blk000006f2))
+ (portRef CE (instanceRef blk000006f3))
+ (portRef CE (instanceRef blk000006f4))
+ (portRef CE (instanceRef blk000006f5))
+ (portRef CE (instanceRef blk000006f6))
+ (portRef CE (instanceRef blk000006f7))
+ (portRef CE (instanceRef blk000006f8))
+ (portRef CE (instanceRef blk000006f9))
+ (portRef CE (instanceRef blk000006fa))
+ (portRef CE (instanceRef blk000006fb))
+ (portRef CE (instanceRef blk000006fc))
+ (portRef CE (instanceRef blk000006fd))
+ (portRef CE (instanceRef blk000006fe))
+ (portRef CE (instanceRef blk000006ff))
+ (portRef CE (instanceRef blk00000700))
+ (portRef CE (instanceRef blk00000701))
+ (portRef CE (instanceRef blk00000702))
+ (portRef CE (instanceRef blk00000703))
+ (portRef CE (instanceRef blk00000704))
+ (portRef CE (instanceRef blk00000705))
+ (portRef CE (instanceRef blk00000706))
+ (portRef CE (instanceRef blk00000707))
+ (portRef CE (instanceRef blk00000708))
+ (portRef CE (instanceRef blk00000709))
+ (portRef CE (instanceRef blk0000070a))
+ (portRef CE (instanceRef blk0000070b))
+ (portRef CE (instanceRef blk0000070c))
+ (portRef CE (instanceRef blk0000070d))
+ (portRef CE (instanceRef blk0000070e))
+ (portRef CE (instanceRef blk0000070f))
+ (portRef CE (instanceRef blk00000710))
+ (portRef CE (instanceRef blk00000711))
+ (portRef CE (instanceRef blk00000712))
+ (portRef CE (instanceRef blk00000713))
+ (portRef CE (instanceRef blk00000714))
+ (portRef CE (instanceRef blk00000715))
+ (portRef CE (instanceRef blk00000716))
+ (portRef CE (instanceRef blk00000717))
+ (portRef CE (instanceRef blk00000718))
+ (portRef CE (instanceRef blk00000719))
+ (portRef CE (instanceRef blk0000071a))
+ (portRef CE (instanceRef blk0000071b))
+ )
+ )
+ (net sig00000684
+ (joined
+ (portRef D (instanceRef blk000005ea))
+ (portRef O (instanceRef blk00000613))
+ )
+ )
+ (net sig00000685
+ (joined
+ (portRef D (instanceRef blk000005ef))
+ (portRef O (instanceRef blk00000614))
+ )
+ )
+ (net sig00000686
+ (joined
+ (portRef D (instanceRef blk0000060e))
+ (portRef O (instanceRef blk00000615))
+ )
+ )
+ (net sig00000687
+ (joined
+ (portRef D (instanceRef blk0000060f))
+ (portRef O (instanceRef blk00000612))
+ )
+ )
+ (net sig00000688
+ (joined
+ (portRef D (instanceRef blk00000610))
+ (portRef O (instanceRef blk00000616))
+ )
+ )
+ (net sig00000689
+ (joined
+ (portRef D (instanceRef blk00000611))
+ (portRef O (instanceRef blk00000617))
+ )
+ )
+ (net sig0000068a
+ (joined
+ (portRef Q (instanceRef blk00000628))
+ (portRef D (instanceRef blk00000629))
+ )
+ )
+ (net sig0000068b
+ (joined
+ (portRef Q (instanceRef blk0000062a))
+ (portRef D (instanceRef blk0000062b))
+ )
+ )
+ (net sig0000068c
+ (joined
+ (portRef Q (instanceRef blk0000062c))
+ (portRef D (instanceRef blk0000062d))
+ )
+ )
+ (net sig0000068d
+ (joined
+ (portRef Q (instanceRef blk0000062e))
+ (portRef D (instanceRef blk0000062f))
+ )
+ )
+ (net sig0000068e
+ (joined
+ (portRef Q (instanceRef blk00000630))
+ (portRef D (instanceRef blk00000631))
+ )
+ )
+ (net sig0000068f
+ (joined
+ (portRef Q (instanceRef blk00000632))
+ (portRef D (instanceRef blk00000633))
+ )
+ )
+ (net sig00000690
+ (joined
+ (portRef Q (instanceRef blk00000634))
+ (portRef D (instanceRef blk00000635))
+ )
+ )
+ (net sig00000691
+ (joined
+ (portRef Q (instanceRef blk00000636))
+ (portRef D (instanceRef blk00000637))
+ )
+ )
+ (net sig00000692
+ (joined
+ (portRef Q (instanceRef blk00000638))
+ (portRef D (instanceRef blk00000639))
+ )
+ )
+ (net sig00000693
+ (joined
+ (portRef Q (instanceRef blk0000063a))
+ (portRef D (instanceRef blk0000063b))
+ )
+ )
+ (net sig00000694
+ (joined
+ (portRef Q (instanceRef blk0000063c))
+ (portRef D (instanceRef blk0000063d))
+ )
+ )
+ (net sig00000695
+ (joined
+ (portRef Q (instanceRef blk0000063e))
+ (portRef D (instanceRef blk0000063f))
+ )
+ )
+ (net sig00000696
+ (joined
+ (portRef Q (instanceRef blk00000640))
+ (portRef D (instanceRef blk00000641))
+ )
+ )
+ (net sig00000697
+ (joined
+ (portRef Q (instanceRef blk00000642))
+ (portRef D (instanceRef blk00000643))
+ )
+ )
+ (net sig00000698
+ (joined
+ (portRef Q (instanceRef blk00000644))
+ (portRef D (instanceRef blk00000645))
+ )
+ )
+ (net sig00000699
+ (joined
+ (portRef Q (instanceRef blk00000646))
+ (portRef D (instanceRef blk00000647))
+ )
+ )
+ (net sig0000069a
+ (joined
+ (portRef Q (instanceRef blk00000648))
+ (portRef D (instanceRef blk00000649))
+ )
+ )
+ (net sig0000069b
+ (joined
+ (portRef Q (instanceRef blk0000064a))
+ (portRef D (instanceRef blk0000064b))
+ )
+ )
+ (net sig0000069c
+ (joined
+ (portRef Q (instanceRef blk0000064c))
+ (portRef D (instanceRef blk0000064d))
+ )
+ )
+ (net sig0000069d
+ (joined
+ (portRef Q (instanceRef blk0000064e))
+ (portRef D (instanceRef blk0000064f))
+ )
+ )
+ (net sig0000069e
+ (joined
+ (portRef Q (instanceRef blk00000650))
+ (portRef D (instanceRef blk00000651))
+ )
+ )
+ (net sig0000069f
+ (joined
+ (portRef Q (instanceRef blk00000652))
+ (portRef D (instanceRef blk00000653))
+ )
+ )
+ (net sig000006a0
+ (joined
+ (portRef Q (instanceRef blk00000654))
+ (portRef D (instanceRef blk00000655))
+ )
+ )
+ (net sig000006a1
+ (joined
+ (portRef Q (instanceRef blk00000656))
+ (portRef D (instanceRef blk00000657))
+ )
+ )
+ (net sig000006a2
+ (joined
+ (portRef Q (instanceRef blk00000658))
+ (portRef D (instanceRef blk00000659))
+ )
+ )
+ (net sig000006a3
+ (joined
+ (portRef Q (instanceRef blk0000065a))
+ (portRef D (instanceRef blk0000065b))
+ )
+ )
+ (net sig000006a4
+ (joined
+ (portRef Q (instanceRef blk0000065c))
+ (portRef D (instanceRef blk0000065d))
+ )
+ )
+ (net sig000006a5
+ (joined
+ (portRef Q (instanceRef blk0000065e))
+ (portRef D (instanceRef blk0000065f))
+ )
+ )
+ (net sig000006a6
+ (joined
+ (portRef Q (instanceRef blk00000660))
+ (portRef D (instanceRef blk00000661))
+ )
+ )
+ (net sig000006a7
+ (joined
+ (portRef Q (instanceRef blk00000662))
+ (portRef D (instanceRef blk00000663))
+ )
+ )
+ (net sig000006a8
+ (joined
+ (portRef Q (instanceRef blk00000664))
+ (portRef D (instanceRef blk00000665))
+ )
+ )
+ (net sig000006a9
+ (joined
+ (portRef Q (instanceRef blk00000666))
+ (portRef D (instanceRef blk00000667))
+ )
+ )
+ (net sig000006aa
+ (joined
+ (portRef Q (instanceRef blk00000668))
+ (portRef D (instanceRef blk00000669))
+ )
+ )
+ (net sig000006ab
+ (joined
+ (portRef Q (instanceRef blk0000066a))
+ (portRef D (instanceRef blk0000066b))
+ )
+ )
+ (net sig000006ac
+ (joined
+ (portRef Q (instanceRef blk0000066c))
+ (portRef D (instanceRef blk0000066d))
+ )
+ )
+ (net sig000006ad
+ (joined
+ (portRef Q (instanceRef blk0000066e))
+ (portRef D (instanceRef blk0000066f))
+ )
+ )
+ (net sig000006ae
+ (joined
+ (portRef Q (instanceRef blk00000670))
+ (portRef D (instanceRef blk00000671))
+ )
+ )
+ (net sig000006af
+ (joined
+ (portRef Q (instanceRef blk00000672))
+ (portRef D (instanceRef blk00000673))
+ )
+ )
+ (net sig000006b0
+ (joined
+ (portRef Q (instanceRef blk00000674))
+ (portRef D (instanceRef blk00000675))
+ )
+ )
+ (net sig000006b1
+ (joined
+ (portRef Q (instanceRef blk00000676))
+ (portRef D (instanceRef blk00000677))
+ )
+ )
+ (net sig000006b2
+ (joined
+ (portRef Q (instanceRef blk00000678))
+ (portRef D (instanceRef blk00000679))
+ )
+ )
+ (net sig000006b3
+ (joined
+ (portRef Q (instanceRef blk0000067a))
+ (portRef D (instanceRef blk0000067b))
+ )
+ )
+ (net sig000006b4
+ (joined
+ (portRef Q (instanceRef blk0000067c))
+ (portRef D (instanceRef blk0000067d))
+ )
+ )
+ (net sig000006b5
+ (joined
+ (portRef Q (instanceRef blk0000067e))
+ (portRef D (instanceRef blk0000067f))
+ )
+ )
+ (net sig000006b6
+ (joined
+ (portRef Q (instanceRef blk00000680))
+ (portRef D (instanceRef blk00000681))
+ )
+ )
+ (net sig000006b7
+ (joined
+ (portRef Q (instanceRef blk00000682))
+ (portRef D (instanceRef blk00000683))
+ )
+ )
+ (net sig000006b8
+ (joined
+ (portRef Q (instanceRef blk00000684))
+ (portRef D (instanceRef blk00000685))
+ )
+ )
+ (net sig000006b9
+ (joined
+ (portRef Q (instanceRef blk00000686))
+ (portRef D (instanceRef blk00000687))
+ )
+ )
+ (net sig000006ba
+ (joined
+ (portRef Q (instanceRef blk00000688))
+ (portRef D (instanceRef blk00000689))
+ )
+ )
+ (net sig000006bb
+ (joined
+ (portRef Q (instanceRef blk0000068a))
+ (portRef D (instanceRef blk0000068b))
+ )
+ )
+ (net sig000006bc
+ (joined
+ (portRef Q (instanceRef blk0000068c))
+ (portRef D (instanceRef blk0000068d))
+ )
+ )
+ (net sig000006bd
+ (joined
+ (portRef Q (instanceRef blk0000068e))
+ (portRef D (instanceRef blk0000068f))
+ )
+ )
+ (net sig000006be
+ (joined
+ (portRef Q (instanceRef blk00000690))
+ (portRef D (instanceRef blk00000691))
+ )
+ )
+ (net sig000006bf
+ (joined
+ (portRef Q (instanceRef blk00000692))
+ (portRef D (instanceRef blk00000693))
+ )
+ )
+ (net sig000006c0
+ (joined
+ (portRef Q (instanceRef blk00000694))
+ (portRef D (instanceRef blk00000695))
+ )
+ )
+ (net sig000006c1
+ (joined
+ (portRef Q (instanceRef blk00000696))
+ (portRef D (instanceRef blk00000697))
+ )
+ )
+ (net sig000006c2
+ (joined
+ (portRef Q (instanceRef blk00000698))
+ (portRef D (instanceRef blk00000699))
+ )
+ )
+ (net sig000006c3
+ (joined
+ (portRef Q (instanceRef blk0000069a))
+ (portRef D (instanceRef blk0000069b))
+ )
+ )
+ (net sig000006c4
+ (joined
+ (portRef Q (instanceRef blk0000069c))
+ (portRef D (instanceRef blk0000069d))
+ )
+ )
+ (net sig000006c5
+ (joined
+ (portRef Q (instanceRef blk0000069e))
+ (portRef D (instanceRef blk0000069f))
+ )
+ )
+ (net sig000006c6
+ (joined
+ (portRef Q (instanceRef blk000006a0))
+ (portRef D (instanceRef blk000006a1))
+ )
+ )
+ (net sig000006c7
+ (joined
+ (portRef Q (instanceRef blk000006a2))
+ (portRef D (instanceRef blk000006a3))
+ )
+ )
+ (net sig000006c8
+ (joined
+ (portRef Q (instanceRef blk000006a4))
+ (portRef D (instanceRef blk000006a5))
+ )
+ )
+ (net sig000006c9
+ (joined
+ (portRef Q (instanceRef blk000006a6))
+ (portRef D (instanceRef blk000006a7))
+ )
+ )
+ (net sig000006ca
+ (joined
+ (portRef Q (instanceRef blk000006a8))
+ (portRef D (instanceRef blk000006a9))
+ )
+ )
+ (net sig000006cb
+ (joined
+ (portRef Q (instanceRef blk000006aa))
+ (portRef D (instanceRef blk000006ab))
+ )
+ )
+ (net sig000006cc
+ (joined
+ (portRef Q (instanceRef blk000006ac))
+ (portRef D (instanceRef blk000006ad))
+ )
+ )
+ (net sig000006cd
+ (joined
+ (portRef Q (instanceRef blk000006ae))
+ (portRef D (instanceRef blk000006af))
+ )
+ )
+ (net sig000006ce
+ (joined
+ (portRef Q (instanceRef blk000006b0))
+ (portRef D (instanceRef blk000006b1))
+ )
+ )
+ (net sig000006cf
+ (joined
+ (portRef Q (instanceRef blk000006b2))
+ (portRef D (instanceRef blk000006b3))
+ )
+ )
+ (net sig000006d0
+ (joined
+ (portRef Q (instanceRef blk000006b4))
+ (portRef D (instanceRef blk000006b5))
+ )
+ )
+ (net sig000006d1
+ (joined
+ (portRef Q (instanceRef blk000006b6))
+ (portRef D (instanceRef blk000006b7))
+ )
+ )
+ (net sig000006d2
+ (joined
+ (portRef Q (instanceRef blk000006b8))
+ (portRef D (instanceRef blk000006b9))
+ )
+ )
+ (net sig000006d3
+ (joined
+ (portRef Q (instanceRef blk000006ba))
+ (portRef D (instanceRef blk000006bb))
+ )
+ )
+ (net sig000006d4
+ (joined
+ (portRef Q (instanceRef blk000006bc))
+ (portRef D (instanceRef blk000006bd))
+ )
+ )
+ (net sig000006d5
+ (joined
+ (portRef Q (instanceRef blk000006bd))
+ (portRef D (instanceRef blk00000722))
+ )
+ )
+ (net sig000006d6
+ (joined
+ (portRef Q (instanceRef blk000006be))
+ (portRef D (instanceRef blk000006bf))
+ )
+ )
+ (net sig000006d7
+ (joined
+ (portRef Q (instanceRef blk000006bf))
+ (portRef D (instanceRef blk00000724))
+ )
+ )
+ (net sig000006d8
+ (joined
+ (portRef Q (instanceRef blk000006c0))
+ (portRef D (instanceRef blk000006c1))
+ )
+ )
+ (net sig000006d9
+ (joined
+ (portRef Q (instanceRef blk000006c1))
+ (portRef D (instanceRef blk0000072a))
+ )
+ )
+ (net sig000006da
+ (joined
+ (portRef Q (instanceRef blk000006c2))
+ (portRef D (instanceRef blk000006c3))
+ )
+ )
+ (net sig000006db
+ (joined
+ (portRef Q (instanceRef blk000006c3))
+ (portRef D (instanceRef blk00000720))
+ )
+ )
+ (net sig000006dc
+ (joined
+ (portRef Q (instanceRef blk000006c4))
+ (portRef D (instanceRef blk000006c5))
+ )
+ )
+ (net sig000006dd
+ (joined
+ (portRef Q (instanceRef blk000006c5))
+ (portRef D (instanceRef blk00000728))
+ )
+ )
+ (net sig000006de
+ (joined
+ (portRef Q (instanceRef blk000006c6))
+ (portRef D (instanceRef blk000006c7))
+ )
+ )
+ (net sig000006df
+ (joined
+ (portRef Q (instanceRef blk000006c7))
+ (portRef D (instanceRef blk00000726))
+ )
+ )
+ (net sig000006e0
+ (joined
+ (portRef Q (instanceRef blk000006c8))
+ (portRef D (instanceRef blk000006c9))
+ )
+ )
+ (net sig000006e1
+ (joined
+ (portRef Q (instanceRef blk000006c9))
+ (portRef D (instanceRef blk0000072c))
+ )
+ )
+ (net sig000006e2
+ (joined
+ (portRef Q (instanceRef blk000006ca))
+ (portRef D (instanceRef blk000006cb))
+ )
+ )
+ (net sig000006e3
+ (joined
+ (portRef Q (instanceRef blk000006cb))
+ (portRef D (instanceRef blk00000734))
+ )
+ )
+ (net sig000006e4
+ (joined
+ (portRef Q (instanceRef blk000006cc))
+ (portRef D (instanceRef blk000006cd))
+ )
+ )
+ (net sig000006e5
+ (joined
+ (portRef Q (instanceRef blk000006cd))
+ (portRef D (instanceRef blk0000072e))
+ )
+ )
+ (net sig000006e6
+ (joined
+ (portRef Q (instanceRef blk000006ce))
+ (portRef D (instanceRef blk000006cf))
+ )
+ )
+ (net sig000006e7
+ (joined
+ (portRef Q (instanceRef blk000006cf))
+ (portRef D (instanceRef blk00000732))
+ )
+ )
+ (net sig000006e8
+ (joined
+ (portRef Q (instanceRef blk000006d0))
+ (portRef D (instanceRef blk000006d1))
+ )
+ )
+ (net sig000006e9
+ (joined
+ (portRef Q (instanceRef blk000006d1))
+ (portRef D (instanceRef blk00000730))
+ )
+ )
+ (net sig000006ea
+ (joined
+ (portRef Q (instanceRef blk000006d2))
+ (portRef D (instanceRef blk000006d3))
+ )
+ )
+ (net sig000006eb
+ (joined
+ (portRef Q (instanceRef blk000006d3))
+ (portRef D (instanceRef blk00000738))
+ )
+ )
+ (net sig000006ec
+ (joined
+ (portRef Q (instanceRef blk000006d4))
+ (portRef D (instanceRef blk000006d5))
+ )
+ )
+ (net sig000006ed
+ (joined
+ (portRef Q (instanceRef blk000006d5))
+ (portRef D (instanceRef blk0000073e))
+ )
+ )
+ (net sig000006ee
+ (joined
+ (portRef Q (instanceRef blk000006d6))
+ (portRef D (instanceRef blk000006d7))
+ )
+ )
+ (net sig000006ef
+ (joined
+ (portRef Q (instanceRef blk000006d7))
+ (portRef D (instanceRef blk0000073a))
+ )
+ )
+ (net sig000006f0
+ (joined
+ (portRef Q (instanceRef blk000006d8))
+ (portRef D (instanceRef blk000006d9))
+ )
+ )
+ (net sig000006f1
+ (joined
+ (portRef Q (instanceRef blk000006d9))
+ (portRef D (instanceRef blk00000736))
+ )
+ )
+ (net sig000006f2
+ (joined
+ (portRef Q (instanceRef blk000006da))
+ (portRef D (instanceRef blk000006db))
+ )
+ )
+ (net sig000006f3
+ (joined
+ (portRef Q (instanceRef blk000006db))
+ (portRef D (instanceRef blk00000742))
+ )
+ )
+ (net sig000006f4
+ (joined
+ (portRef Q (instanceRef blk000006dc))
+ (portRef D (instanceRef blk000006dd))
+ )
+ )
+ (net sig000006f5
+ (joined
+ (portRef Q (instanceRef blk000006dd))
+ (portRef D (instanceRef blk00000740))
+ )
+ )
+ (net sig000006f6
+ (joined
+ (portRef Q (instanceRef blk000006de))
+ (portRef D (instanceRef blk000006df))
+ )
+ )
+ (net sig000006f7
+ (joined
+ (portRef Q (instanceRef blk000006df))
+ (portRef D (instanceRef blk0000073c))
+ )
+ )
+ (net sig000006f8
+ (joined
+ (portRef Q (instanceRef blk000006e0))
+ (portRef D (instanceRef blk000006e1))
+ )
+ )
+ (net sig000006f9
+ (joined
+ (portRef Q (instanceRef blk000006e1))
+ (portRef D (instanceRef blk0000074a))
+ )
+ )
+ (net sig000006fa
+ (joined
+ (portRef Q (instanceRef blk000006e2))
+ (portRef D (instanceRef blk000006e3))
+ )
+ )
+ (net sig000006fb
+ (joined
+ (portRef Q (instanceRef blk000006e3))
+ (portRef D (instanceRef blk00000744))
+ )
+ )
+ (net sig000006fc
+ (joined
+ (portRef Q (instanceRef blk000006e4))
+ (portRef D (instanceRef blk000006e5))
+ )
+ )
+ (net sig000006fd
+ (joined
+ (portRef Q (instanceRef blk000006e5))
+ (portRef D (instanceRef blk00000748))
+ )
+ )
+ (net sig000006fe
+ (joined
+ (portRef Q (instanceRef blk000006e6))
+ (portRef D (instanceRef blk000006e7))
+ )
+ )
+ (net sig000006ff
+ (joined
+ (portRef Q (instanceRef blk000006e7))
+ (portRef D (instanceRef blk00000746))
+ )
+ )
+ (net sig00000700
+ (joined
+ (portRef Q (instanceRef blk000006e8))
+ (portRef D (instanceRef blk000006e9))
+ )
+ )
+ (net sig00000701
+ (joined
+ (portRef Q (instanceRef blk000006e9))
+ (portRef D (instanceRef blk0000074e))
+ )
+ )
+ (net sig00000702
+ (joined
+ (portRef Q (instanceRef blk000006ea))
+ (portRef D (instanceRef blk000006eb))
+ )
+ )
+ (net sig00000703
+ (joined
+ (portRef Q (instanceRef blk000006eb))
+ (portRef D (instanceRef blk00000754))
+ )
+ )
+ (net sig00000704
+ (joined
+ (portRef Q (instanceRef blk000006ec))
+ (portRef D (instanceRef blk000006ed))
+ )
+ )
+ (net sig00000705
+ (joined
+ (portRef Q (instanceRef blk000006ed))
+ (portRef D (instanceRef blk00000750))
+ )
+ )
+ (net sig00000706
+ (joined
+ (portRef Q (instanceRef blk000006ee))
+ (portRef D (instanceRef blk000006ef))
+ )
+ )
+ (net sig00000707
+ (joined
+ (portRef Q (instanceRef blk000006ef))
+ (portRef D (instanceRef blk0000074c))
+ )
+ )
+ (net sig00000708
+ (joined
+ (portRef Q (instanceRef blk000006f0))
+ (portRef D (instanceRef blk000006f1))
+ )
+ )
+ (net sig00000709
+ (joined
+ (portRef Q (instanceRef blk000006f1))
+ (portRef D (instanceRef blk00000758))
+ )
+ )
+ (net sig0000070a
+ (joined
+ (portRef Q (instanceRef blk000006f2))
+ (portRef D (instanceRef blk000006f3))
+ )
+ )
+ (net sig0000070b
+ (joined
+ (portRef Q (instanceRef blk000006f3))
+ (portRef D (instanceRef blk00000756))
+ )
+ )
+ (net sig0000070c
+ (joined
+ (portRef Q (instanceRef blk000006f4))
+ (portRef D (instanceRef blk000006f5))
+ )
+ )
+ (net sig0000070d
+ (joined
+ (portRef Q (instanceRef blk000006f5))
+ (portRef D (instanceRef blk00000752))
+ )
+ )
+ (net sig0000070e
+ (joined
+ (portRef Q (instanceRef blk000006f6))
+ (portRef D (instanceRef blk000006f7))
+ )
+ )
+ (net sig0000070f
+ (joined
+ (portRef Q (instanceRef blk000006f7))
+ (portRef D (instanceRef blk00000760))
+ )
+ )
+ (net sig00000710
+ (joined
+ (portRef Q (instanceRef blk000006f8))
+ (portRef D (instanceRef blk000006f9))
+ )
+ )
+ (net sig00000711
+ (joined
+ (portRef Q (instanceRef blk000006f9))
+ (portRef D (instanceRef blk0000075a))
+ )
+ )
+ (net sig00000712
+ (joined
+ (portRef Q (instanceRef blk000006fa))
+ (portRef D (instanceRef blk000006fb))
+ )
+ )
+ (net sig00000713
+ (joined
+ (portRef Q (instanceRef blk000006fb))
+ (portRef D (instanceRef blk0000075e))
+ )
+ )
+ (net sig00000714
+ (joined
+ (portRef Q (instanceRef blk000006fc))
+ (portRef D (instanceRef blk000006fd))
+ )
+ )
+ (net sig00000715
+ (joined
+ (portRef Q (instanceRef blk000006fd))
+ (portRef D (instanceRef blk0000075c))
+ )
+ )
+ (net sig00000716
+ (joined
+ (portRef Q (instanceRef blk000006fe))
+ (portRef D (instanceRef blk000006ff))
+ )
+ )
+ (net sig00000717
+ (joined
+ (portRef Q (instanceRef blk000006ff))
+ (portRef D (instanceRef blk00000764))
+ )
+ )
+ (net sig00000718
+ (joined
+ (portRef Q (instanceRef blk00000700))
+ (portRef D (instanceRef blk00000701))
+ )
+ )
+ (net sig00000719
+ (joined
+ (portRef Q (instanceRef blk00000701))
+ (portRef D (instanceRef blk0000076a))
+ )
+ )
+ (net sig0000071a
+ (joined
+ (portRef Q (instanceRef blk00000702))
+ (portRef D (instanceRef blk00000703))
+ )
+ )
+ (net sig0000071b
+ (joined
+ (portRef Q (instanceRef blk00000703))
+ (portRef D (instanceRef blk00000766))
+ )
+ )
+ (net sig0000071c
+ (joined
+ (portRef Q (instanceRef blk00000704))
+ (portRef D (instanceRef blk00000705))
+ )
+ )
+ (net sig0000071d
+ (joined
+ (portRef Q (instanceRef blk00000705))
+ (portRef D (instanceRef blk00000762))
+ )
+ )
+ (net sig0000071e
+ (joined
+ (portRef Q (instanceRef blk00000706))
+ (portRef D (instanceRef blk00000707))
+ )
+ )
+ (net sig0000071f
+ (joined
+ (portRef Q (instanceRef blk00000707))
+ (portRef D (instanceRef blk0000076e))
+ )
+ )
+ (net sig00000720
+ (joined
+ (portRef Q (instanceRef blk00000708))
+ (portRef D (instanceRef blk00000709))
+ )
+ )
+ (net sig00000721
+ (joined
+ (portRef Q (instanceRef blk00000709))
+ (portRef D (instanceRef blk0000076c))
+ )
+ )
+ (net sig00000722
+ (joined
+ (portRef Q (instanceRef blk0000070a))
+ (portRef D (instanceRef blk0000070b))
+ )
+ )
+ (net sig00000723
+ (joined
+ (portRef Q (instanceRef blk0000070b))
+ (portRef D (instanceRef blk00000768))
+ )
+ )
+ (net sig00000724
+ (joined
+ (portRef Q (instanceRef blk0000070c))
+ (portRef D (instanceRef blk0000070d))
+ )
+ )
+ (net sig00000725
+ (joined
+ (portRef Q (instanceRef blk0000070d))
+ (portRef D (instanceRef blk00000776))
+ )
+ )
+ (net sig00000726
+ (joined
+ (portRef Q (instanceRef blk0000070e))
+ (portRef D (instanceRef blk0000070f))
+ )
+ )
+ (net sig00000727
+ (joined
+ (portRef Q (instanceRef blk0000070f))
+ (portRef D (instanceRef blk00000770))
+ )
+ )
+ (net sig00000728
+ (joined
+ (portRef Q (instanceRef blk00000710))
+ (portRef D (instanceRef blk00000711))
+ )
+ )
+ (net sig00000729
+ (joined
+ (portRef Q (instanceRef blk00000711))
+ (portRef D (instanceRef blk00000774))
+ )
+ )
+ (net sig0000072a
+ (joined
+ (portRef Q (instanceRef blk00000712))
+ (portRef D (instanceRef blk00000713))
+ )
+ )
+ (net sig0000072b
+ (joined
+ (portRef Q (instanceRef blk00000713))
+ (portRef D (instanceRef blk00000772))
+ )
+ )
+ (net sig0000072c
+ (joined
+ (portRef Q (instanceRef blk00000714))
+ (portRef D (instanceRef blk00000715))
+ )
+ )
+ (net sig0000072d
+ (joined
+ (portRef Q (instanceRef blk00000715))
+ (portRef D (instanceRef blk00000778))
+ )
+ )
+ (net sig0000072e
+ (joined
+ (portRef Q (instanceRef blk00000716))
+ (portRef D (instanceRef blk00000717))
+ )
+ )
+ (net sig0000072f
+ (joined
+ (portRef Q (instanceRef blk00000717))
+ (portRef D (instanceRef blk0000077e))
+ )
+ )
+ (net sig00000730
+ (joined
+ (portRef Q (instanceRef blk00000718))
+ (portRef D (instanceRef blk00000719))
+ )
+ )
+ (net sig00000731
+ (joined
+ (portRef Q (instanceRef blk00000719))
+ (portRef D (instanceRef blk0000077a))
+ )
+ )
+ (net sig00000732
+ (joined
+ (portRef Q (instanceRef blk0000071a))
+ (portRef D (instanceRef blk0000071b))
+ )
+ )
+ (net sig00000733
+ (joined
+ (portRef Q (instanceRef blk0000071b))
+ (portRef D (instanceRef blk0000077c))
+ )
+ )
+ (net sig00000734
+ (joined
+ (portRef Q (instanceRef blk0000071c))
+ (portRef D (instanceRef blk0000071d))
+ )
+ )
+ (net sig00000735
+ (joined
+ (portRef Q (instanceRef blk0000071e))
+ (portRef D (instanceRef blk0000071f))
+ )
+ )
+ (net sig00000736
+ (joined
+ (portRef Q (instanceRef blk00000720))
+ (portRef D (instanceRef blk00000721))
+ )
+ )
+ (net sig00000737
+ (joined
+ (portRef Q (instanceRef blk00000722))
+ (portRef D (instanceRef blk00000723))
+ )
+ )
+ (net sig00000738
+ (joined
+ (portRef Q (instanceRef blk00000724))
+ (portRef D (instanceRef blk00000725))
+ )
+ )
+ (net sig00000739
+ (joined
+ (portRef Q (instanceRef blk00000726))
+ (portRef D (instanceRef blk00000727))
+ )
+ )
+ (net sig0000073a
+ (joined
+ (portRef Q (instanceRef blk00000728))
+ (portRef D (instanceRef blk00000729))
+ )
+ )
+ (net sig0000073b
+ (joined
+ (portRef Q (instanceRef blk0000072a))
+ (portRef D (instanceRef blk0000072b))
+ )
+ )
+ (net sig0000073c
+ (joined
+ (portRef Q (instanceRef blk0000072c))
+ (portRef D (instanceRef blk0000072d))
+ )
+ )
+ (net sig0000073d
+ (joined
+ (portRef Q (instanceRef blk0000072e))
+ (portRef D (instanceRef blk0000072f))
+ )
+ )
+ (net sig0000073e
+ (joined
+ (portRef Q (instanceRef blk00000730))
+ (portRef D (instanceRef blk00000731))
+ )
+ )
+ (net sig0000073f
+ (joined
+ (portRef Q (instanceRef blk00000732))
+ (portRef D (instanceRef blk00000733))
+ )
+ )
+ (net sig00000740
+ (joined
+ (portRef Q (instanceRef blk00000734))
+ (portRef D (instanceRef blk00000735))
+ )
+ )
+ (net sig00000741
+ (joined
+ (portRef Q (instanceRef blk00000736))
+ (portRef D (instanceRef blk00000737))
+ )
+ )
+ (net sig00000742
+ (joined
+ (portRef Q (instanceRef blk00000738))
+ (portRef D (instanceRef blk00000739))
+ )
+ )
+ (net sig00000743
+ (joined
+ (portRef Q (instanceRef blk0000073a))
+ (portRef D (instanceRef blk0000073b))
+ )
+ )
+ (net sig00000744
+ (joined
+ (portRef Q (instanceRef blk0000073c))
+ (portRef D (instanceRef blk0000073d))
+ )
+ )
+ (net sig00000745
+ (joined
+ (portRef Q (instanceRef blk0000073e))
+ (portRef D (instanceRef blk0000073f))
+ )
+ )
+ (net sig00000746
+ (joined
+ (portRef Q (instanceRef blk00000740))
+ (portRef D (instanceRef blk00000741))
+ )
+ )
+ (net sig00000747
+ (joined
+ (portRef Q (instanceRef blk00000742))
+ (portRef D (instanceRef blk00000743))
+ )
+ )
+ (net sig00000748
+ (joined
+ (portRef Q (instanceRef blk00000744))
+ (portRef D (instanceRef blk00000745))
+ )
+ )
+ (net sig00000749
+ (joined
+ (portRef Q (instanceRef blk00000746))
+ (portRef D (instanceRef blk00000747))
+ )
+ )
+ (net sig0000074a
+ (joined
+ (portRef Q (instanceRef blk00000748))
+ (portRef D (instanceRef blk00000749))
+ )
+ )
+ (net sig0000074b
+ (joined
+ (portRef Q (instanceRef blk0000074a))
+ (portRef D (instanceRef blk0000074b))
+ )
+ )
+ (net sig0000074c
+ (joined
+ (portRef Q (instanceRef blk0000074c))
+ (portRef D (instanceRef blk0000074d))
+ )
+ )
+ (net sig0000074d
+ (joined
+ (portRef Q (instanceRef blk0000074e))
+ (portRef D (instanceRef blk0000074f))
+ )
+ )
+ (net sig0000074e
+ (joined
+ (portRef Q (instanceRef blk00000750))
+ (portRef D (instanceRef blk00000751))
+ )
+ )
+ (net sig0000074f
+ (joined
+ (portRef Q (instanceRef blk00000752))
+ (portRef D (instanceRef blk00000753))
+ )
+ )
+ (net sig00000750
+ (joined
+ (portRef Q (instanceRef blk00000754))
+ (portRef D (instanceRef blk00000755))
+ )
+ )
+ (net sig00000751
+ (joined
+ (portRef Q (instanceRef blk00000756))
+ (portRef D (instanceRef blk00000757))
+ )
+ )
+ (net sig00000752
+ (joined
+ (portRef Q (instanceRef blk00000758))
+ (portRef D (instanceRef blk00000759))
+ )
+ )
+ (net sig00000753
+ (joined
+ (portRef Q (instanceRef blk0000075a))
+ (portRef D (instanceRef blk0000075b))
+ )
+ )
+ (net sig00000754
+ (joined
+ (portRef Q (instanceRef blk0000075c))
+ (portRef D (instanceRef blk0000075d))
+ )
+ )
+ (net sig00000755
+ (joined
+ (portRef Q (instanceRef blk0000075e))
+ (portRef D (instanceRef blk0000075f))
+ )
+ )
+ (net sig00000756
+ (joined
+ (portRef Q (instanceRef blk00000760))
+ (portRef D (instanceRef blk00000761))
+ )
+ )
+ (net sig00000757
+ (joined
+ (portRef Q (instanceRef blk00000762))
+ (portRef D (instanceRef blk00000763))
+ )
+ )
+ (net sig00000758
+ (joined
+ (portRef Q (instanceRef blk00000764))
+ (portRef D (instanceRef blk00000765))
+ )
+ )
+ (net sig00000759
+ (joined
+ (portRef Q (instanceRef blk00000766))
+ (portRef D (instanceRef blk00000767))
+ )
+ )
+ (net sig0000075a
+ (joined
+ (portRef Q (instanceRef blk00000768))
+ (portRef D (instanceRef blk00000769))
+ )
+ )
+ (net sig0000075b
+ (joined
+ (portRef Q (instanceRef blk0000076a))
+ (portRef D (instanceRef blk0000076b))
+ )
+ )
+ (net sig0000075c
+ (joined
+ (portRef Q (instanceRef blk0000076c))
+ (portRef D (instanceRef blk0000076d))
+ )
+ )
+ (net sig0000075d
+ (joined
+ (portRef Q (instanceRef blk0000076e))
+ (portRef D (instanceRef blk0000076f))
+ )
+ )
+ (net sig0000075e
+ (joined
+ (portRef Q (instanceRef blk00000770))
+ (portRef D (instanceRef blk00000771))
+ )
+ )
+ (net sig0000075f
+ (joined
+ (portRef Q (instanceRef blk00000772))
+ (portRef D (instanceRef blk00000773))
+ )
+ )
+ (net sig00000760
+ (joined
+ (portRef Q (instanceRef blk00000774))
+ (portRef D (instanceRef blk00000775))
+ )
+ )
+ (net sig00000761
+ (joined
+ (portRef Q (instanceRef blk00000776))
+ (portRef D (instanceRef blk00000777))
+ )
+ )
+ (net sig00000762
+ (joined
+ (portRef Q (instanceRef blk00000778))
+ (portRef D (instanceRef blk00000779))
+ )
+ )
+ (net sig00000763
+ (joined
+ (portRef Q (instanceRef blk0000077a))
+ (portRef D (instanceRef blk0000077b))
+ )
+ )
+ (net sig00000764
+ (joined
+ (portRef Q (instanceRef blk0000077c))
+ (portRef D (instanceRef blk0000077d))
+ )
+ )
+ (net sig00000765
+ (joined
+ (portRef Q (instanceRef blk0000077e))
+ (portRef D (instanceRef blk0000077f))
+ )
+ )
+ (net sig00000766
+ (joined
+ (portRef Q (instanceRef blk00000780))
+ (portRef D (instanceRef blk00000781))
+ )
+ )
+ (net sig00000767
+ (joined
+ (portRef Q (instanceRef blk00000782))
+ (portRef D (instanceRef blk00000783))
+ )
+ )
+ )
+ )
+ )
+ (cell hbdec2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port sclr
+ (direction INPUT)
+ )
+ (port ce
+ (direction INPUT)
+ )
+ (port rfd
+ (direction OUTPUT)
+ )
+ (port rdy
+ (direction OUTPUT)
+ )
+ (port data_valid
+ (direction OUTPUT)
+ )
+ (port coef_we
+ (direction INPUT)
+ )
+ (port nd
+ (direction INPUT)
+ )
+ (port clk
+ (direction INPUT)
+ )
+ (port coef_ld
+ (direction INPUT)
+ )
+ (port (array (rename dout_1 "dout_1<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename dout_2 "dout_2<46:0>") 47)
+ (direction OUTPUT))
+ (port (array (rename din_1 "din_1<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_2 "din_2<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename coef_din "coef_din<17:0>") 18)
+ (direction INPUT))
+ (designator "7k325tffg900-2")
+ (property BUS_INFO (string "47:OUTPUT:dout_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property TYPE (string "hbdec2") (owner "Xilinx"))
+ (property X_CORE_INFO (string "fir_compiler_v5_0, Xilinx CORE Generator 14.4") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "hbdec2_hbdec2") (owner "Xilinx"))
+ )
+ (contents
+ (instance blk00000001
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ )
+ (instance blk00000002
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ )
+ (instance blk00000003
+ (viewRef view_1 (cellRef hbdec2_fir_compiler_v5_0_xst_1_blk00000003 (libraryRef hbdec2_lib)))
+ (property BUS_INFO (string "47:OUTPUT:dout_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_16<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:coef_filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_16<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_in<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_out<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:coef_filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_in<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_out<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_1<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_2<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_3<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_4<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_5<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_6<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_7<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_8<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_9<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_10<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_11<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_12<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_13<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_14<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_15<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_16<46:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "47:OUTPUT:dout_q_16<46:0>") (owner "Xilinx"))
+ (property CHECK_LICENSE_TYPE (string "hbdec2,fir_compiler_v5_0,NONE,NONE") (owner "Xilinx"))
+ (property CORE_GENERATION_INFO (string "hbdec2,fir_compiler_v5_0,{accum_width=47,allow_approx=0,c_has_ce=1,c_has_data_valid=1,c_has_nd=1,c_has_sclr=1,c_latency=20,c_mem_init_file=hbdec2.mif,c_optimization=1,chan_in_adv=0,chan_sel_width=1,clock_freq=200000000,coef_memtype=0,coef_reload=1,coef_type=0,coef_width=18,col_config=4,col_mode=0,col_pipe_len=4,data_memtype=0,data_type=0,data_width=24,datapath_memtype=0,decim_rate=2,filter_arch=1,filter_sel_width=1,filter_type=6,interp_rate=1,ipbuff_memtype=0,neg_symmetry=0,num_channels=1,num_filts=1,num_paths=2,num_taps=47,odd_symmetry=1,opbuff_memtype=0,output_reg=1,output_width=47,rate_change_type=0,round_mode=0,sample_freq=100000000,sclr_deterministic=1,symmetry=1,zero_packing_factor=1,}") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "-1") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "Yes") (owner "Xilinx"))
+ (property NB_BUSPIN_PROPS (string "OK") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "hbdec2_fir_compiler_v5_0_xst_1_blk00000003") (owner "Xilinx"))
+ )
+ (net sclr
+ (joined
+ (portRef sclr)
+ (portRef sclr (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N2") (owner "Xilinx"))
+ )
+ (net clk
+ (joined
+ (portRef clk)
+ (portRef clk (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N3") (owner "Xilinx"))
+ )
+ (net ce
+ (joined
+ (portRef ce)
+ (portRef ce (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N4") (owner "Xilinx"))
+ )
+ (net nd
+ (joined
+ (portRef nd)
+ (portRef nd (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N5") (owner "Xilinx"))
+ )
+ (net coef_ld
+ (joined
+ (portRef coef_ld)
+ (portRef coef_ld (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N7") (owner "Xilinx"))
+ )
+ (net coef_we
+ (joined
+ (portRef coef_we)
+ (portRef coef_we (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N8") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_17_ "coef_din<17>")
+ (joined
+ (portRef (member coef_din 0))
+ (portRef (member coef_din 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N9") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_16_ "coef_din<16>")
+ (joined
+ (portRef (member coef_din 1))
+ (portRef (member coef_din 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N10") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_15_ "coef_din<15>")
+ (joined
+ (portRef (member coef_din 2))
+ (portRef (member coef_din 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N11") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_14_ "coef_din<14>")
+ (joined
+ (portRef (member coef_din 3))
+ (portRef (member coef_din 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N12") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_13_ "coef_din<13>")
+ (joined
+ (portRef (member coef_din 4))
+ (portRef (member coef_din 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N13") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_12_ "coef_din<12>")
+ (joined
+ (portRef (member coef_din 5))
+ (portRef (member coef_din 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N14") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_11_ "coef_din<11>")
+ (joined
+ (portRef (member coef_din 6))
+ (portRef (member coef_din 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N15") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_10_ "coef_din<10>")
+ (joined
+ (portRef (member coef_din 7))
+ (portRef (member coef_din 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N16") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_9_ "coef_din<9>")
+ (joined
+ (portRef (member coef_din 8))
+ (portRef (member coef_din 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N17") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_8_ "coef_din<8>")
+ (joined
+ (portRef (member coef_din 9))
+ (portRef (member coef_din 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N18") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_7_ "coef_din<7>")
+ (joined
+ (portRef (member coef_din 10))
+ (portRef (member coef_din 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N19") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_6_ "coef_din<6>")
+ (joined
+ (portRef (member coef_din 11))
+ (portRef (member coef_din 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N20") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_5_ "coef_din<5>")
+ (joined
+ (portRef (member coef_din 12))
+ (portRef (member coef_din 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N21") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_4_ "coef_din<4>")
+ (joined
+ (portRef (member coef_din 13))
+ (portRef (member coef_din 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N22") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_3_ "coef_din<3>")
+ (joined
+ (portRef (member coef_din 14))
+ (portRef (member coef_din 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N23") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_2_ "coef_din<2>")
+ (joined
+ (portRef (member coef_din 15))
+ (portRef (member coef_din 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N24") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_1_ "coef_din<1>")
+ (joined
+ (portRef (member coef_din 16))
+ (portRef (member coef_din 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N25") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_0_ "coef_din<0>")
+ (joined
+ (portRef (member coef_din 17))
+ (portRef (member coef_din 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N26") (owner "Xilinx"))
+ )
+ (net rfd
+ (joined
+ (portRef rfd)
+ (portRef rfd (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N28") (owner "Xilinx"))
+ )
+ (net rdy
+ (joined
+ (portRef rdy)
+ (portRef rdy (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N29") (owner "Xilinx"))
+ )
+ (net data_valid
+ (joined
+ (portRef data_valid)
+ (portRef data_valid (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N30") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_23_ "din_1<23>")
+ (joined
+ (portRef (member din_1 0))
+ (portRef (member din_1 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N175") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_22_ "din_1<22>")
+ (joined
+ (portRef (member din_1 1))
+ (portRef (member din_1 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N176") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_21_ "din_1<21>")
+ (joined
+ (portRef (member din_1 2))
+ (portRef (member din_1 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N177") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_20_ "din_1<20>")
+ (joined
+ (portRef (member din_1 3))
+ (portRef (member din_1 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N178") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_19_ "din_1<19>")
+ (joined
+ (portRef (member din_1 4))
+ (portRef (member din_1 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N179") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_18_ "din_1<18>")
+ (joined
+ (portRef (member din_1 5))
+ (portRef (member din_1 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N180") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_17_ "din_1<17>")
+ (joined
+ (portRef (member din_1 6))
+ (portRef (member din_1 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N181") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_16_ "din_1<16>")
+ (joined
+ (portRef (member din_1 7))
+ (portRef (member din_1 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N182") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_15_ "din_1<15>")
+ (joined
+ (portRef (member din_1 8))
+ (portRef (member din_1 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N183") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_14_ "din_1<14>")
+ (joined
+ (portRef (member din_1 9))
+ (portRef (member din_1 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N184") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_13_ "din_1<13>")
+ (joined
+ (portRef (member din_1 10))
+ (portRef (member din_1 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N185") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_12_ "din_1<12>")
+ (joined
+ (portRef (member din_1 11))
+ (portRef (member din_1 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N186") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_11_ "din_1<11>")
+ (joined
+ (portRef (member din_1 12))
+ (portRef (member din_1 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N187") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_10_ "din_1<10>")
+ (joined
+ (portRef (member din_1 13))
+ (portRef (member din_1 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N188") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_9_ "din_1<9>")
+ (joined
+ (portRef (member din_1 14))
+ (portRef (member din_1 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N189") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_8_ "din_1<8>")
+ (joined
+ (portRef (member din_1 15))
+ (portRef (member din_1 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N190") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_7_ "din_1<7>")
+ (joined
+ (portRef (member din_1 16))
+ (portRef (member din_1 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N191") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_6_ "din_1<6>")
+ (joined
+ (portRef (member din_1 17))
+ (portRef (member din_1 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N192") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_5_ "din_1<5>")
+ (joined
+ (portRef (member din_1 18))
+ (portRef (member din_1 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N193") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_4_ "din_1<4>")
+ (joined
+ (portRef (member din_1 19))
+ (portRef (member din_1 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N194") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_3_ "din_1<3>")
+ (joined
+ (portRef (member din_1 20))
+ (portRef (member din_1 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N195") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_2_ "din_1<2>")
+ (joined
+ (portRef (member din_1 21))
+ (portRef (member din_1 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N196") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_1_ "din_1<1>")
+ (joined
+ (portRef (member din_1 22))
+ (portRef (member din_1 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N197") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_0_ "din_1<0>")
+ (joined
+ (portRef (member din_1 23))
+ (portRef (member din_1 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N198") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_23_ "din_2<23>")
+ (joined
+ (portRef (member din_2 0))
+ (portRef (member din_2 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N199") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_22_ "din_2<22>")
+ (joined
+ (portRef (member din_2 1))
+ (portRef (member din_2 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N200") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_21_ "din_2<21>")
+ (joined
+ (portRef (member din_2 2))
+ (portRef (member din_2 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N201") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_20_ "din_2<20>")
+ (joined
+ (portRef (member din_2 3))
+ (portRef (member din_2 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N202") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_19_ "din_2<19>")
+ (joined
+ (portRef (member din_2 4))
+ (portRef (member din_2 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N203") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_18_ "din_2<18>")
+ (joined
+ (portRef (member din_2 5))
+ (portRef (member din_2 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N204") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_17_ "din_2<17>")
+ (joined
+ (portRef (member din_2 6))
+ (portRef (member din_2 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N205") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_16_ "din_2<16>")
+ (joined
+ (portRef (member din_2 7))
+ (portRef (member din_2 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N206") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_15_ "din_2<15>")
+ (joined
+ (portRef (member din_2 8))
+ (portRef (member din_2 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N207") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_14_ "din_2<14>")
+ (joined
+ (portRef (member din_2 9))
+ (portRef (member din_2 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N208") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_13_ "din_2<13>")
+ (joined
+ (portRef (member din_2 10))
+ (portRef (member din_2 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N209") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_12_ "din_2<12>")
+ (joined
+ (portRef (member din_2 11))
+ (portRef (member din_2 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N210") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_11_ "din_2<11>")
+ (joined
+ (portRef (member din_2 12))
+ (portRef (member din_2 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N211") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_10_ "din_2<10>")
+ (joined
+ (portRef (member din_2 13))
+ (portRef (member din_2 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N212") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_9_ "din_2<9>")
+ (joined
+ (portRef (member din_2 14))
+ (portRef (member din_2 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N213") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_8_ "din_2<8>")
+ (joined
+ (portRef (member din_2 15))
+ (portRef (member din_2 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N214") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_7_ "din_2<7>")
+ (joined
+ (portRef (member din_2 16))
+ (portRef (member din_2 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N215") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_6_ "din_2<6>")
+ (joined
+ (portRef (member din_2 17))
+ (portRef (member din_2 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N216") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_5_ "din_2<5>")
+ (joined
+ (portRef (member din_2 18))
+ (portRef (member din_2 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N217") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_4_ "din_2<4>")
+ (joined
+ (portRef (member din_2 19))
+ (portRef (member din_2 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N218") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_3_ "din_2<3>")
+ (joined
+ (portRef (member din_2 20))
+ (portRef (member din_2 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N219") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_2_ "din_2<2>")
+ (joined
+ (portRef (member din_2 21))
+ (portRef (member din_2 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N220") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_1_ "din_2<1>")
+ (joined
+ (portRef (member din_2 22))
+ (portRef (member din_2 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N221") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_0_ "din_2<0>")
+ (joined
+ (portRef (member din_2 23))
+ (portRef (member din_2 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N222") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_46_ "dout_1<46>")
+ (joined
+ (portRef (member dout_1 0))
+ (portRef (member dout_1 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N559") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_45_ "dout_1<45>")
+ (joined
+ (portRef (member dout_1 1))
+ (portRef (member dout_1 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N560") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_44_ "dout_1<44>")
+ (joined
+ (portRef (member dout_1 2))
+ (portRef (member dout_1 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N561") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_43_ "dout_1<43>")
+ (joined
+ (portRef (member dout_1 3))
+ (portRef (member dout_1 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N562") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_42_ "dout_1<42>")
+ (joined
+ (portRef (member dout_1 4))
+ (portRef (member dout_1 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N563") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_41_ "dout_1<41>")
+ (joined
+ (portRef (member dout_1 5))
+ (portRef (member dout_1 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N564") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_40_ "dout_1<40>")
+ (joined
+ (portRef (member dout_1 6))
+ (portRef (member dout_1 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N565") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_39_ "dout_1<39>")
+ (joined
+ (portRef (member dout_1 7))
+ (portRef (member dout_1 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N566") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_38_ "dout_1<38>")
+ (joined
+ (portRef (member dout_1 8))
+ (portRef (member dout_1 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N567") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_37_ "dout_1<37>")
+ (joined
+ (portRef (member dout_1 9))
+ (portRef (member dout_1 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N568") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_36_ "dout_1<36>")
+ (joined
+ (portRef (member dout_1 10))
+ (portRef (member dout_1 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N569") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_35_ "dout_1<35>")
+ (joined
+ (portRef (member dout_1 11))
+ (portRef (member dout_1 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N570") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_34_ "dout_1<34>")
+ (joined
+ (portRef (member dout_1 12))
+ (portRef (member dout_1 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N571") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_33_ "dout_1<33>")
+ (joined
+ (portRef (member dout_1 13))
+ (portRef (member dout_1 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N572") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_32_ "dout_1<32>")
+ (joined
+ (portRef (member dout_1 14))
+ (portRef (member dout_1 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N573") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_31_ "dout_1<31>")
+ (joined
+ (portRef (member dout_1 15))
+ (portRef (member dout_1 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N574") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_30_ "dout_1<30>")
+ (joined
+ (portRef (member dout_1 16))
+ (portRef (member dout_1 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N575") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_29_ "dout_1<29>")
+ (joined
+ (portRef (member dout_1 17))
+ (portRef (member dout_1 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N576") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_28_ "dout_1<28>")
+ (joined
+ (portRef (member dout_1 18))
+ (portRef (member dout_1 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N577") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_27_ "dout_1<27>")
+ (joined
+ (portRef (member dout_1 19))
+ (portRef (member dout_1 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N578") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_26_ "dout_1<26>")
+ (joined
+ (portRef (member dout_1 20))
+ (portRef (member dout_1 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N579") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_25_ "dout_1<25>")
+ (joined
+ (portRef (member dout_1 21))
+ (portRef (member dout_1 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N580") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_24_ "dout_1<24>")
+ (joined
+ (portRef (member dout_1 22))
+ (portRef (member dout_1 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N581") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_23_ "dout_1<23>")
+ (joined
+ (portRef (member dout_1 23))
+ (portRef (member dout_1 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N582") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_22_ "dout_1<22>")
+ (joined
+ (portRef (member dout_1 24))
+ (portRef (member dout_1 24) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N583") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_21_ "dout_1<21>")
+ (joined
+ (portRef (member dout_1 25))
+ (portRef (member dout_1 25) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N584") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_20_ "dout_1<20>")
+ (joined
+ (portRef (member dout_1 26))
+ (portRef (member dout_1 26) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N585") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_19_ "dout_1<19>")
+ (joined
+ (portRef (member dout_1 27))
+ (portRef (member dout_1 27) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N586") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_18_ "dout_1<18>")
+ (joined
+ (portRef (member dout_1 28))
+ (portRef (member dout_1 28) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N587") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_17_ "dout_1<17>")
+ (joined
+ (portRef (member dout_1 29))
+ (portRef (member dout_1 29) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N588") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_16_ "dout_1<16>")
+ (joined
+ (portRef (member dout_1 30))
+ (portRef (member dout_1 30) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N589") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_15_ "dout_1<15>")
+ (joined
+ (portRef (member dout_1 31))
+ (portRef (member dout_1 31) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N590") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_14_ "dout_1<14>")
+ (joined
+ (portRef (member dout_1 32))
+ (portRef (member dout_1 32) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N591") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_13_ "dout_1<13>")
+ (joined
+ (portRef (member dout_1 33))
+ (portRef (member dout_1 33) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N592") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_12_ "dout_1<12>")
+ (joined
+ (portRef (member dout_1 34))
+ (portRef (member dout_1 34) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N593") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_11_ "dout_1<11>")
+ (joined
+ (portRef (member dout_1 35))
+ (portRef (member dout_1 35) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N594") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_10_ "dout_1<10>")
+ (joined
+ (portRef (member dout_1 36))
+ (portRef (member dout_1 36) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N595") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_9_ "dout_1<9>")
+ (joined
+ (portRef (member dout_1 37))
+ (portRef (member dout_1 37) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N596") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_8_ "dout_1<8>")
+ (joined
+ (portRef (member dout_1 38))
+ (portRef (member dout_1 38) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N597") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_7_ "dout_1<7>")
+ (joined
+ (portRef (member dout_1 39))
+ (portRef (member dout_1 39) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N598") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_6_ "dout_1<6>")
+ (joined
+ (portRef (member dout_1 40))
+ (portRef (member dout_1 40) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N599") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_5_ "dout_1<5>")
+ (joined
+ (portRef (member dout_1 41))
+ (portRef (member dout_1 41) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N600") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_4_ "dout_1<4>")
+ (joined
+ (portRef (member dout_1 42))
+ (portRef (member dout_1 42) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N601") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_3_ "dout_1<3>")
+ (joined
+ (portRef (member dout_1 43))
+ (portRef (member dout_1 43) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N602") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_2_ "dout_1<2>")
+ (joined
+ (portRef (member dout_1 44))
+ (portRef (member dout_1 44) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N603") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_1_ "dout_1<1>")
+ (joined
+ (portRef (member dout_1 45))
+ (portRef (member dout_1 45) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N604") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_0_ "dout_1<0>")
+ (joined
+ (portRef (member dout_1 46))
+ (portRef (member dout_1 46) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N605") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_46_ "dout_2<46>")
+ (joined
+ (portRef (member dout_2 0))
+ (portRef (member dout_2 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N677") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_45_ "dout_2<45>")
+ (joined
+ (portRef (member dout_2 1))
+ (portRef (member dout_2 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N678") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_44_ "dout_2<44>")
+ (joined
+ (portRef (member dout_2 2))
+ (portRef (member dout_2 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N679") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_43_ "dout_2<43>")
+ (joined
+ (portRef (member dout_2 3))
+ (portRef (member dout_2 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N680") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_42_ "dout_2<42>")
+ (joined
+ (portRef (member dout_2 4))
+ (portRef (member dout_2 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N681") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_41_ "dout_2<41>")
+ (joined
+ (portRef (member dout_2 5))
+ (portRef (member dout_2 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N682") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_40_ "dout_2<40>")
+ (joined
+ (portRef (member dout_2 6))
+ (portRef (member dout_2 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N683") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_39_ "dout_2<39>")
+ (joined
+ (portRef (member dout_2 7))
+ (portRef (member dout_2 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N684") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_38_ "dout_2<38>")
+ (joined
+ (portRef (member dout_2 8))
+ (portRef (member dout_2 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N685") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_37_ "dout_2<37>")
+ (joined
+ (portRef (member dout_2 9))
+ (portRef (member dout_2 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N686") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_36_ "dout_2<36>")
+ (joined
+ (portRef (member dout_2 10))
+ (portRef (member dout_2 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N687") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_35_ "dout_2<35>")
+ (joined
+ (portRef (member dout_2 11))
+ (portRef (member dout_2 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N688") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_34_ "dout_2<34>")
+ (joined
+ (portRef (member dout_2 12))
+ (portRef (member dout_2 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N689") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_33_ "dout_2<33>")
+ (joined
+ (portRef (member dout_2 13))
+ (portRef (member dout_2 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N690") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_32_ "dout_2<32>")
+ (joined
+ (portRef (member dout_2 14))
+ (portRef (member dout_2 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N691") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_31_ "dout_2<31>")
+ (joined
+ (portRef (member dout_2 15))
+ (portRef (member dout_2 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N692") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_30_ "dout_2<30>")
+ (joined
+ (portRef (member dout_2 16))
+ (portRef (member dout_2 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N693") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_29_ "dout_2<29>")
+ (joined
+ (portRef (member dout_2 17))
+ (portRef (member dout_2 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N694") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_28_ "dout_2<28>")
+ (joined
+ (portRef (member dout_2 18))
+ (portRef (member dout_2 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N695") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_27_ "dout_2<27>")
+ (joined
+ (portRef (member dout_2 19))
+ (portRef (member dout_2 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N696") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_26_ "dout_2<26>")
+ (joined
+ (portRef (member dout_2 20))
+ (portRef (member dout_2 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N697") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_25_ "dout_2<25>")
+ (joined
+ (portRef (member dout_2 21))
+ (portRef (member dout_2 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N698") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_24_ "dout_2<24>")
+ (joined
+ (portRef (member dout_2 22))
+ (portRef (member dout_2 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N699") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_23_ "dout_2<23>")
+ (joined
+ (portRef (member dout_2 23))
+ (portRef (member dout_2 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N700") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_22_ "dout_2<22>")
+ (joined
+ (portRef (member dout_2 24))
+ (portRef (member dout_2 24) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N701") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_21_ "dout_2<21>")
+ (joined
+ (portRef (member dout_2 25))
+ (portRef (member dout_2 25) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N702") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_20_ "dout_2<20>")
+ (joined
+ (portRef (member dout_2 26))
+ (portRef (member dout_2 26) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N703") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_19_ "dout_2<19>")
+ (joined
+ (portRef (member dout_2 27))
+ (portRef (member dout_2 27) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N704") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_18_ "dout_2<18>")
+ (joined
+ (portRef (member dout_2 28))
+ (portRef (member dout_2 28) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N705") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_17_ "dout_2<17>")
+ (joined
+ (portRef (member dout_2 29))
+ (portRef (member dout_2 29) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N706") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_16_ "dout_2<16>")
+ (joined
+ (portRef (member dout_2 30))
+ (portRef (member dout_2 30) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N707") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_15_ "dout_2<15>")
+ (joined
+ (portRef (member dout_2 31))
+ (portRef (member dout_2 31) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N708") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_14_ "dout_2<14>")
+ (joined
+ (portRef (member dout_2 32))
+ (portRef (member dout_2 32) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N709") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_13_ "dout_2<13>")
+ (joined
+ (portRef (member dout_2 33))
+ (portRef (member dout_2 33) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N710") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_12_ "dout_2<12>")
+ (joined
+ (portRef (member dout_2 34))
+ (portRef (member dout_2 34) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N711") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_11_ "dout_2<11>")
+ (joined
+ (portRef (member dout_2 35))
+ (portRef (member dout_2 35) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N712") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_10_ "dout_2<10>")
+ (joined
+ (portRef (member dout_2 36))
+ (portRef (member dout_2 36) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N713") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_9_ "dout_2<9>")
+ (joined
+ (portRef (member dout_2 37))
+ (portRef (member dout_2 37) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N714") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_8_ "dout_2<8>")
+ (joined
+ (portRef (member dout_2 38))
+ (portRef (member dout_2 38) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N715") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_7_ "dout_2<7>")
+ (joined
+ (portRef (member dout_2 39))
+ (portRef (member dout_2 39) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N716") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_6_ "dout_2<6>")
+ (joined
+ (portRef (member dout_2 40))
+ (portRef (member dout_2 40) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N717") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_5_ "dout_2<5>")
+ (joined
+ (portRef (member dout_2 41))
+ (portRef (member dout_2 41) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N718") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_4_ "dout_2<4>")
+ (joined
+ (portRef (member dout_2 42))
+ (portRef (member dout_2 42) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N719") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_3_ "dout_2<3>")
+ (joined
+ (portRef (member dout_2 43))
+ (portRef (member dout_2 43) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N720") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_2_ "dout_2<2>")
+ (joined
+ (portRef (member dout_2 44))
+ (portRef (member dout_2 44) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N721") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_1_ "dout_2<1>")
+ (joined
+ (portRef (member dout_2 45))
+ (portRef (member dout_2 45) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N722") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_0_ "dout_2<0>")
+ (joined
+ (portRef (member dout_2 46))
+ (portRef (member dout_2 46) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N723") (owner "Xilinx"))
+ )
+ )
+ )
+ )
+ )
+
+ (design hbdec2
+ (cellRef hbdec2
+ (libraryRef hbdec2_lib)
+ )
+ (property PART (string "7k325tffg900-2") (owner "Xilinx"))
+ )
+)
+
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec2.v b/fpga/usrp3/top/x400/coregen_dsp/hbdec2.v
new file mode 100644
index 000000000..e5423434c
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec2.v
@@ -0,0 +1,24109 @@
+////////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved.
+////////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor: Xilinx
+// \ \ \/ Version: P.49d
+// \ \ Application: netgen
+// / / Filename: hbdec2.v
+// /___/ /\ Timestamp: Wed Dec 4 13:33:47 2013
+// \ \ / \
+// \___\/\___\
+//
+// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec2.ngc ./tmp/_cg/hbdec2.v
+// Device : 7k325tffg900-2
+// Input file : ./tmp/_cg/hbdec2.ngc
+// Output file : ./tmp/_cg/hbdec2.v
+// # of Modules : 1
+// Design Name : hbdec2
+// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/
+//
+// Purpose:
+// This verilog netlist is a verification model and uses simulation
+// primitives which may not represent the true implementation of the
+// device, however the netlist is functionally correct and should not
+// be modified. This file cannot be synthesized and should only be used
+// with supported simulation tools.
+//
+// Reference:
+// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6
+//
+////////////////////////////////////////////////////////////////////////////////
+
+`timescale 1 ns/1 ps
+
+module hbdec2 (
+ sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din
+)/* synthesis syn_black_box syn_noprune=1 */;
+ input sclr;
+ input ce;
+ output rfd;
+ output rdy;
+ output data_valid;
+ input coef_we;
+ input nd;
+ input clk;
+ input coef_ld;
+ output [46 : 0] dout_1;
+ output [46 : 0] dout_2;
+ input [23 : 0] din_1;
+ input [23 : 0] din_2;
+ input [17 : 0] coef_din;
+
+ // synthesis translate_off
+
+ wire NlwRenamedSig_OI_rfd;
+ wire \blk00000003/sig00000767 ;
+ wire \blk00000003/sig00000766 ;
+ wire \blk00000003/sig00000765 ;
+ wire \blk00000003/sig00000764 ;
+ wire \blk00000003/sig00000763 ;
+ wire \blk00000003/sig00000762 ;
+ wire \blk00000003/sig00000761 ;
+ wire \blk00000003/sig00000760 ;
+ wire \blk00000003/sig0000075f ;
+ wire \blk00000003/sig0000075e ;
+ wire \blk00000003/sig0000075d ;
+ wire \blk00000003/sig0000075c ;
+ wire \blk00000003/sig0000075b ;
+ wire \blk00000003/sig0000075a ;
+ wire \blk00000003/sig00000759 ;
+ wire \blk00000003/sig00000758 ;
+ wire \blk00000003/sig00000757 ;
+ wire \blk00000003/sig00000756 ;
+ wire \blk00000003/sig00000755 ;
+ wire \blk00000003/sig00000754 ;
+ wire \blk00000003/sig00000753 ;
+ wire \blk00000003/sig00000752 ;
+ wire \blk00000003/sig00000751 ;
+ wire \blk00000003/sig00000750 ;
+ wire \blk00000003/sig0000074f ;
+ wire \blk00000003/sig0000074e ;
+ wire \blk00000003/sig0000074d ;
+ wire \blk00000003/sig0000074c ;
+ wire \blk00000003/sig0000074b ;
+ wire \blk00000003/sig0000074a ;
+ wire \blk00000003/sig00000749 ;
+ wire \blk00000003/sig00000748 ;
+ wire \blk00000003/sig00000747 ;
+ wire \blk00000003/sig00000746 ;
+ wire \blk00000003/sig00000745 ;
+ wire \blk00000003/sig00000744 ;
+ wire \blk00000003/sig00000743 ;
+ wire \blk00000003/sig00000742 ;
+ wire \blk00000003/sig00000741 ;
+ wire \blk00000003/sig00000740 ;
+ wire \blk00000003/sig0000073f ;
+ wire \blk00000003/sig0000073e ;
+ wire \blk00000003/sig0000073d ;
+ wire \blk00000003/sig0000073c ;
+ wire \blk00000003/sig0000073b ;
+ wire \blk00000003/sig0000073a ;
+ wire \blk00000003/sig00000739 ;
+ wire \blk00000003/sig00000738 ;
+ wire \blk00000003/sig00000737 ;
+ wire \blk00000003/sig00000736 ;
+ wire \blk00000003/sig00000735 ;
+ wire \blk00000003/sig00000734 ;
+ wire \blk00000003/sig00000733 ;
+ wire \blk00000003/sig00000732 ;
+ wire \blk00000003/sig00000731 ;
+ wire \blk00000003/sig00000730 ;
+ wire \blk00000003/sig0000072f ;
+ wire \blk00000003/sig0000072e ;
+ wire \blk00000003/sig0000072d ;
+ wire \blk00000003/sig0000072c ;
+ wire \blk00000003/sig0000072b ;
+ wire \blk00000003/sig0000072a ;
+ wire \blk00000003/sig00000729 ;
+ wire \blk00000003/sig00000728 ;
+ wire \blk00000003/sig00000727 ;
+ wire \blk00000003/sig00000726 ;
+ wire \blk00000003/sig00000725 ;
+ wire \blk00000003/sig00000724 ;
+ wire \blk00000003/sig00000723 ;
+ wire \blk00000003/sig00000722 ;
+ wire \blk00000003/sig00000721 ;
+ wire \blk00000003/sig00000720 ;
+ wire \blk00000003/sig0000071f ;
+ wire \blk00000003/sig0000071e ;
+ wire \blk00000003/sig0000071d ;
+ wire \blk00000003/sig0000071c ;
+ wire \blk00000003/sig0000071b ;
+ wire \blk00000003/sig0000071a ;
+ wire \blk00000003/sig00000719 ;
+ wire \blk00000003/sig00000718 ;
+ wire \blk00000003/sig00000717 ;
+ wire \blk00000003/sig00000716 ;
+ wire \blk00000003/sig00000715 ;
+ wire \blk00000003/sig00000714 ;
+ wire \blk00000003/sig00000713 ;
+ wire \blk00000003/sig00000712 ;
+ wire \blk00000003/sig00000711 ;
+ wire \blk00000003/sig00000710 ;
+ wire \blk00000003/sig0000070f ;
+ wire \blk00000003/sig0000070e ;
+ wire \blk00000003/sig0000070d ;
+ wire \blk00000003/sig0000070c ;
+ wire \blk00000003/sig0000070b ;
+ wire \blk00000003/sig0000070a ;
+ wire \blk00000003/sig00000709 ;
+ wire \blk00000003/sig00000708 ;
+ wire \blk00000003/sig00000707 ;
+ wire \blk00000003/sig00000706 ;
+ wire \blk00000003/sig00000705 ;
+ wire \blk00000003/sig00000704 ;
+ wire \blk00000003/sig00000703 ;
+ wire \blk00000003/sig00000702 ;
+ wire \blk00000003/sig00000701 ;
+ wire \blk00000003/sig00000700 ;
+ wire \blk00000003/sig000006ff ;
+ wire \blk00000003/sig000006fe ;
+ wire \blk00000003/sig000006fd ;
+ wire \blk00000003/sig000006fc ;
+ wire \blk00000003/sig000006fb ;
+ wire \blk00000003/sig000006fa ;
+ wire \blk00000003/sig000006f9 ;
+ wire \blk00000003/sig000006f8 ;
+ wire \blk00000003/sig000006f7 ;
+ wire \blk00000003/sig000006f6 ;
+ wire \blk00000003/sig000006f5 ;
+ wire \blk00000003/sig000006f4 ;
+ wire \blk00000003/sig000006f3 ;
+ wire \blk00000003/sig000006f2 ;
+ wire \blk00000003/sig000006f1 ;
+ wire \blk00000003/sig000006f0 ;
+ wire \blk00000003/sig000006ef ;
+ wire \blk00000003/sig000006ee ;
+ wire \blk00000003/sig000006ed ;
+ wire \blk00000003/sig000006ec ;
+ wire \blk00000003/sig000006eb ;
+ wire \blk00000003/sig000006ea ;
+ wire \blk00000003/sig000006e9 ;
+ wire \blk00000003/sig000006e8 ;
+ wire \blk00000003/sig000006e7 ;
+ wire \blk00000003/sig000006e6 ;
+ wire \blk00000003/sig000006e5 ;
+ wire \blk00000003/sig000006e4 ;
+ wire \blk00000003/sig000006e3 ;
+ wire \blk00000003/sig000006e2 ;
+ wire \blk00000003/sig000006e1 ;
+ wire \blk00000003/sig000006e0 ;
+ wire \blk00000003/sig000006df ;
+ wire \blk00000003/sig000006de ;
+ wire \blk00000003/sig000006dd ;
+ wire \blk00000003/sig000006dc ;
+ wire \blk00000003/sig000006db ;
+ wire \blk00000003/sig000006da ;
+ wire \blk00000003/sig000006d9 ;
+ wire \blk00000003/sig000006d8 ;
+ wire \blk00000003/sig000006d7 ;
+ wire \blk00000003/sig000006d6 ;
+ wire \blk00000003/sig000006d5 ;
+ wire \blk00000003/sig000006d4 ;
+ wire \blk00000003/sig000006d3 ;
+ wire \blk00000003/sig000006d2 ;
+ wire \blk00000003/sig000006d1 ;
+ wire \blk00000003/sig000006d0 ;
+ wire \blk00000003/sig000006cf ;
+ wire \blk00000003/sig000006ce ;
+ wire \blk00000003/sig000006cd ;
+ wire \blk00000003/sig000006cc ;
+ wire \blk00000003/sig000006cb ;
+ wire \blk00000003/sig000006ca ;
+ wire \blk00000003/sig000006c9 ;
+ wire \blk00000003/sig000006c8 ;
+ wire \blk00000003/sig000006c7 ;
+ wire \blk00000003/sig000006c6 ;
+ wire \blk00000003/sig000006c5 ;
+ wire \blk00000003/sig000006c4 ;
+ wire \blk00000003/sig000006c3 ;
+ wire \blk00000003/sig000006c2 ;
+ wire \blk00000003/sig000006c1 ;
+ wire \blk00000003/sig000006c0 ;
+ wire \blk00000003/sig000006bf ;
+ wire \blk00000003/sig000006be ;
+ wire \blk00000003/sig000006bd ;
+ wire \blk00000003/sig000006bc ;
+ wire \blk00000003/sig000006bb ;
+ wire \blk00000003/sig000006ba ;
+ wire \blk00000003/sig000006b9 ;
+ wire \blk00000003/sig000006b8 ;
+ wire \blk00000003/sig000006b7 ;
+ wire \blk00000003/sig000006b6 ;
+ wire \blk00000003/sig000006b5 ;
+ wire \blk00000003/sig000006b4 ;
+ wire \blk00000003/sig000006b3 ;
+ wire \blk00000003/sig000006b2 ;
+ wire \blk00000003/sig000006b1 ;
+ wire \blk00000003/sig000006b0 ;
+ wire \blk00000003/sig000006af ;
+ wire \blk00000003/sig000006ae ;
+ wire \blk00000003/sig000006ad ;
+ wire \blk00000003/sig000006ac ;
+ wire \blk00000003/sig000006ab ;
+ wire \blk00000003/sig000006aa ;
+ wire \blk00000003/sig000006a9 ;
+ wire \blk00000003/sig000006a8 ;
+ wire \blk00000003/sig000006a7 ;
+ wire \blk00000003/sig000006a6 ;
+ wire \blk00000003/sig000006a5 ;
+ wire \blk00000003/sig000006a4 ;
+ wire \blk00000003/sig000006a3 ;
+ wire \blk00000003/sig000006a2 ;
+ wire \blk00000003/sig000006a1 ;
+ wire \blk00000003/sig000006a0 ;
+ wire \blk00000003/sig0000069f ;
+ wire \blk00000003/sig0000069e ;
+ wire \blk00000003/sig0000069d ;
+ wire \blk00000003/sig0000069c ;
+ wire \blk00000003/sig0000069b ;
+ wire \blk00000003/sig0000069a ;
+ wire \blk00000003/sig00000699 ;
+ wire \blk00000003/sig00000698 ;
+ wire \blk00000003/sig00000697 ;
+ wire \blk00000003/sig00000696 ;
+ wire \blk00000003/sig00000695 ;
+ wire \blk00000003/sig00000694 ;
+ wire \blk00000003/sig00000693 ;
+ wire \blk00000003/sig00000692 ;
+ wire \blk00000003/sig00000691 ;
+ wire \blk00000003/sig00000690 ;
+ wire \blk00000003/sig0000068f ;
+ wire \blk00000003/sig0000068e ;
+ wire \blk00000003/sig0000068d ;
+ wire \blk00000003/sig0000068c ;
+ wire \blk00000003/sig0000068b ;
+ wire \blk00000003/sig0000068a ;
+ wire \blk00000003/sig00000689 ;
+ wire \blk00000003/sig00000688 ;
+ wire \blk00000003/sig00000687 ;
+ wire \blk00000003/sig00000686 ;
+ wire \blk00000003/sig00000685 ;
+ wire \blk00000003/sig00000684 ;
+ wire \blk00000003/sig00000683 ;
+ wire \blk00000003/sig00000682 ;
+ wire \blk00000003/sig00000681 ;
+ wire \blk00000003/sig00000680 ;
+ wire \blk00000003/sig0000067f ;
+ wire \blk00000003/sig0000067e ;
+ wire \blk00000003/sig0000067d ;
+ wire \blk00000003/sig0000067c ;
+ wire \blk00000003/sig0000067b ;
+ wire \blk00000003/sig0000067a ;
+ wire \blk00000003/sig00000679 ;
+ wire \blk00000003/sig00000678 ;
+ wire \blk00000003/sig00000677 ;
+ wire \blk00000003/sig00000676 ;
+ wire \blk00000003/sig00000675 ;
+ wire \blk00000003/sig00000674 ;
+ wire \blk00000003/sig00000673 ;
+ wire \blk00000003/sig00000672 ;
+ wire \blk00000003/sig00000671 ;
+ wire \blk00000003/sig00000670 ;
+ wire \blk00000003/sig0000066f ;
+ wire \blk00000003/sig0000066e ;
+ wire \blk00000003/sig0000066d ;
+ wire \blk00000003/sig0000066c ;
+ wire \blk00000003/sig0000066b ;
+ wire \blk00000003/sig0000066a ;
+ wire \blk00000003/sig00000669 ;
+ wire \blk00000003/sig00000668 ;
+ wire \blk00000003/sig00000667 ;
+ wire \blk00000003/sig00000666 ;
+ wire \blk00000003/sig00000665 ;
+ wire \blk00000003/sig00000664 ;
+ wire \blk00000003/sig00000663 ;
+ wire \blk00000003/sig00000662 ;
+ wire \blk00000003/sig00000661 ;
+ wire \blk00000003/sig00000660 ;
+ wire \blk00000003/sig0000065f ;
+ wire \blk00000003/sig0000065e ;
+ wire \blk00000003/sig0000065d ;
+ wire \blk00000003/sig0000065c ;
+ wire \blk00000003/sig0000065b ;
+ wire \blk00000003/sig0000065a ;
+ wire \blk00000003/sig00000659 ;
+ wire \blk00000003/sig00000658 ;
+ wire \blk00000003/sig00000657 ;
+ wire \blk00000003/sig00000656 ;
+ wire \blk00000003/sig00000655 ;
+ wire \blk00000003/sig00000654 ;
+ wire \blk00000003/sig00000653 ;
+ wire \blk00000003/sig00000652 ;
+ wire \blk00000003/sig00000651 ;
+ wire \blk00000003/sig00000650 ;
+ wire \blk00000003/sig0000064f ;
+ wire \blk00000003/sig0000064e ;
+ wire \blk00000003/sig0000064d ;
+ wire \blk00000003/sig0000064c ;
+ wire \blk00000003/sig0000064b ;
+ wire \blk00000003/sig0000064a ;
+ wire \blk00000003/sig00000649 ;
+ wire \blk00000003/sig00000648 ;
+ wire \blk00000003/sig00000647 ;
+ wire \blk00000003/sig00000646 ;
+ wire \blk00000003/sig00000645 ;
+ wire \blk00000003/sig00000644 ;
+ wire \blk00000003/sig00000643 ;
+ wire \blk00000003/sig00000642 ;
+ wire \blk00000003/sig00000641 ;
+ wire \blk00000003/sig00000640 ;
+ wire \blk00000003/sig0000063f ;
+ wire \blk00000003/sig0000063e ;
+ wire \blk00000003/sig0000063d ;
+ wire \blk00000003/sig0000063c ;
+ wire \blk00000003/sig0000063b ;
+ wire \blk00000003/sig0000063a ;
+ wire \blk00000003/sig00000639 ;
+ wire \blk00000003/sig00000638 ;
+ wire \blk00000003/sig00000637 ;
+ wire \blk00000003/sig00000636 ;
+ wire \blk00000003/sig00000635 ;
+ wire \blk00000003/sig00000634 ;
+ wire \blk00000003/sig00000633 ;
+ wire \blk00000003/sig00000632 ;
+ wire \blk00000003/sig00000631 ;
+ wire \blk00000003/sig00000630 ;
+ wire \blk00000003/sig0000062f ;
+ wire \blk00000003/sig0000062e ;
+ wire \blk00000003/sig0000062d ;
+ wire \blk00000003/sig0000062c ;
+ wire \blk00000003/sig0000062b ;
+ wire \blk00000003/sig0000062a ;
+ wire \blk00000003/sig00000629 ;
+ wire \blk00000003/sig00000628 ;
+ wire \blk00000003/sig00000627 ;
+ wire \blk00000003/sig00000626 ;
+ wire \blk00000003/sig00000625 ;
+ wire \blk00000003/sig00000624 ;
+ wire \blk00000003/sig00000623 ;
+ wire \blk00000003/sig00000622 ;
+ wire \blk00000003/sig00000621 ;
+ wire \blk00000003/sig00000620 ;
+ wire \blk00000003/sig0000061f ;
+ wire \blk00000003/sig0000061e ;
+ wire \blk00000003/sig0000061d ;
+ wire \blk00000003/sig0000061c ;
+ wire \blk00000003/sig0000061b ;
+ wire \blk00000003/sig0000061a ;
+ wire \blk00000003/sig00000619 ;
+ wire \blk00000003/sig00000618 ;
+ wire \blk00000003/sig00000617 ;
+ wire \blk00000003/sig00000616 ;
+ wire \blk00000003/sig00000615 ;
+ wire \blk00000003/sig00000614 ;
+ wire \blk00000003/sig00000613 ;
+ wire \blk00000003/sig00000612 ;
+ wire \blk00000003/sig00000611 ;
+ wire \blk00000003/sig00000610 ;
+ wire \blk00000003/sig0000060f ;
+ wire \blk00000003/sig0000060e ;
+ wire \blk00000003/sig0000060d ;
+ wire \blk00000003/sig0000060c ;
+ wire \blk00000003/sig0000060b ;
+ wire \blk00000003/sig0000060a ;
+ wire \blk00000003/sig00000609 ;
+ wire \blk00000003/sig00000608 ;
+ wire \blk00000003/sig00000607 ;
+ wire \blk00000003/sig00000606 ;
+ wire \blk00000003/sig00000605 ;
+ wire \blk00000003/sig00000604 ;
+ wire \blk00000003/sig00000603 ;
+ wire \blk00000003/sig00000602 ;
+ wire \blk00000003/sig00000601 ;
+ wire \blk00000003/sig00000600 ;
+ wire \blk00000003/sig000005ff ;
+ wire \blk00000003/sig000005fe ;
+ wire \blk00000003/sig000005fd ;
+ wire \blk00000003/sig000005fc ;
+ wire \blk00000003/sig000005fb ;
+ wire \blk00000003/sig000005fa ;
+ wire \blk00000003/sig000005f9 ;
+ wire \blk00000003/sig000005f8 ;
+ wire \blk00000003/sig000005f7 ;
+ wire \blk00000003/sig000005f6 ;
+ wire \blk00000003/sig000005f5 ;
+ wire \blk00000003/sig000005f4 ;
+ wire \blk00000003/sig000005f3 ;
+ wire \blk00000003/sig000005f2 ;
+ wire \blk00000003/sig000005f1 ;
+ wire \blk00000003/sig000005f0 ;
+ wire \blk00000003/sig000005ef ;
+ wire \blk00000003/sig000005ee ;
+ wire \blk00000003/sig000005ed ;
+ wire \blk00000003/sig000005ec ;
+ wire \blk00000003/sig000005eb ;
+ wire \blk00000003/sig000005ea ;
+ wire \blk00000003/sig000005e9 ;
+ wire \blk00000003/sig000005e8 ;
+ wire \blk00000003/sig000005e7 ;
+ wire \blk00000003/sig000005e6 ;
+ wire \blk00000003/sig000005e5 ;
+ wire \blk00000003/sig000005e4 ;
+ wire \blk00000003/sig000005e3 ;
+ wire \blk00000003/sig000005e2 ;
+ wire \blk00000003/sig000005e1 ;
+ wire \blk00000003/sig000005e0 ;
+ wire \blk00000003/sig000005df ;
+ wire \blk00000003/sig000005de ;
+ wire \blk00000003/sig000005dd ;
+ wire \blk00000003/sig000005dc ;
+ wire \blk00000003/sig000005db ;
+ wire \blk00000003/sig000005da ;
+ wire \blk00000003/sig000005d9 ;
+ wire \blk00000003/sig000005d8 ;
+ wire \blk00000003/sig000005d7 ;
+ wire \blk00000003/sig000005d6 ;
+ wire \blk00000003/sig000005d5 ;
+ wire \blk00000003/sig000005d4 ;
+ wire \blk00000003/sig000005d3 ;
+ wire \blk00000003/sig000005d2 ;
+ wire \blk00000003/sig000005d1 ;
+ wire \blk00000003/sig000005d0 ;
+ wire \blk00000003/sig000005cf ;
+ wire \blk00000003/sig000005ce ;
+ wire \blk00000003/sig000005cd ;
+ wire \blk00000003/sig000005cc ;
+ wire \blk00000003/sig000005cb ;
+ wire \blk00000003/sig000005ca ;
+ wire \blk00000003/sig000005c9 ;
+ wire \blk00000003/sig000005c8 ;
+ wire \blk00000003/sig000005c7 ;
+ wire \blk00000003/sig000005c6 ;
+ wire \blk00000003/sig000005c5 ;
+ wire \blk00000003/sig000005c4 ;
+ wire \blk00000003/sig000005c3 ;
+ wire \blk00000003/sig000005c2 ;
+ wire \blk00000003/sig000005c1 ;
+ wire \blk00000003/sig000005c0 ;
+ wire \blk00000003/sig000005bf ;
+ wire \blk00000003/sig000005be ;
+ wire \blk00000003/sig000005bd ;
+ wire \blk00000003/sig000005bc ;
+ wire \blk00000003/sig000005bb ;
+ wire \blk00000003/sig000005ba ;
+ wire \blk00000003/sig000005b9 ;
+ wire \blk00000003/sig000005b8 ;
+ wire \blk00000003/sig000005b7 ;
+ wire \blk00000003/sig000005b6 ;
+ wire \blk00000003/sig000005b5 ;
+ wire \blk00000003/sig000005b4 ;
+ wire \blk00000003/sig000005b3 ;
+ wire \blk00000003/sig000005b2 ;
+ wire \blk00000003/sig000005b1 ;
+ wire \blk00000003/sig000005b0 ;
+ wire \blk00000003/sig000005af ;
+ wire \blk00000003/sig000005ae ;
+ wire \blk00000003/sig000005ad ;
+ wire \blk00000003/sig000005ac ;
+ wire \blk00000003/sig000005ab ;
+ wire \blk00000003/sig000005aa ;
+ wire \blk00000003/sig000005a9 ;
+ wire \blk00000003/sig000005a8 ;
+ wire \blk00000003/sig000005a7 ;
+ wire \blk00000003/sig000005a6 ;
+ wire \blk00000003/sig000005a5 ;
+ wire \blk00000003/sig000005a4 ;
+ wire \blk00000003/sig000005a3 ;
+ wire \blk00000003/sig000005a2 ;
+ wire \blk00000003/sig000005a1 ;
+ wire \blk00000003/sig000005a0 ;
+ wire \blk00000003/sig0000059f ;
+ wire \blk00000003/sig0000059e ;
+ wire \blk00000003/sig0000059d ;
+ wire \blk00000003/sig0000059c ;
+ wire \blk00000003/sig0000059b ;
+ wire \blk00000003/sig0000059a ;
+ wire \blk00000003/sig00000599 ;
+ wire \blk00000003/sig00000598 ;
+ wire \blk00000003/sig00000597 ;
+ wire \blk00000003/sig00000596 ;
+ wire \blk00000003/sig00000595 ;
+ wire \blk00000003/sig00000594 ;
+ wire \blk00000003/sig00000593 ;
+ wire \blk00000003/sig00000592 ;
+ wire \blk00000003/sig00000591 ;
+ wire \blk00000003/sig00000590 ;
+ wire \blk00000003/sig0000058f ;
+ wire \blk00000003/sig0000058e ;
+ wire \blk00000003/sig0000058d ;
+ wire \blk00000003/sig0000058c ;
+ wire \blk00000003/sig0000058b ;
+ wire \blk00000003/sig0000058a ;
+ wire \blk00000003/sig00000589 ;
+ wire \blk00000003/sig00000588 ;
+ wire \blk00000003/sig00000587 ;
+ wire \blk00000003/sig00000586 ;
+ wire \blk00000003/sig00000585 ;
+ wire \blk00000003/sig00000584 ;
+ wire \blk00000003/sig00000583 ;
+ wire \blk00000003/sig00000582 ;
+ wire \blk00000003/sig00000581 ;
+ wire \blk00000003/sig00000580 ;
+ wire \blk00000003/sig0000057f ;
+ wire \blk00000003/sig0000057e ;
+ wire \blk00000003/sig0000057d ;
+ wire \blk00000003/sig0000057c ;
+ wire \blk00000003/sig0000057b ;
+ wire \blk00000003/sig0000057a ;
+ wire \blk00000003/sig00000579 ;
+ wire \blk00000003/sig00000578 ;
+ wire \blk00000003/sig00000577 ;
+ wire \blk00000003/sig00000576 ;
+ wire \blk00000003/sig00000575 ;
+ wire \blk00000003/sig00000574 ;
+ wire \blk00000003/sig00000573 ;
+ wire \blk00000003/sig00000572 ;
+ wire \blk00000003/sig00000571 ;
+ wire \blk00000003/sig00000570 ;
+ wire \blk00000003/sig0000056f ;
+ wire \blk00000003/sig0000056e ;
+ wire \blk00000003/sig0000056d ;
+ wire \blk00000003/sig0000056c ;
+ wire \blk00000003/sig0000056b ;
+ wire \blk00000003/sig0000056a ;
+ wire \blk00000003/sig00000569 ;
+ wire \blk00000003/sig00000568 ;
+ wire \blk00000003/sig00000567 ;
+ wire \blk00000003/sig00000566 ;
+ wire \blk00000003/sig00000565 ;
+ wire \blk00000003/sig00000564 ;
+ wire \blk00000003/sig00000563 ;
+ wire \blk00000003/sig00000562 ;
+ wire \blk00000003/sig00000561 ;
+ wire \blk00000003/sig00000560 ;
+ wire \blk00000003/sig0000055f ;
+ wire \blk00000003/sig0000055e ;
+ wire \blk00000003/sig0000055d ;
+ wire \blk00000003/sig0000055c ;
+ wire \blk00000003/sig0000055b ;
+ wire \blk00000003/sig0000055a ;
+ wire \blk00000003/sig00000559 ;
+ wire \blk00000003/sig00000558 ;
+ wire \blk00000003/sig00000557 ;
+ wire \blk00000003/sig00000556 ;
+ wire \blk00000003/sig00000555 ;
+ wire \blk00000003/sig00000554 ;
+ wire \blk00000003/sig00000553 ;
+ wire \blk00000003/sig00000552 ;
+ wire \blk00000003/sig00000551 ;
+ wire \blk00000003/sig00000550 ;
+ wire \blk00000003/sig0000054f ;
+ wire \blk00000003/sig0000054e ;
+ wire \blk00000003/sig0000054d ;
+ wire \blk00000003/sig0000054c ;
+ wire \blk00000003/sig0000054b ;
+ wire \blk00000003/sig0000054a ;
+ wire \blk00000003/sig00000549 ;
+ wire \blk00000003/sig00000548 ;
+ wire \blk00000003/sig00000547 ;
+ wire \blk00000003/sig00000546 ;
+ wire \blk00000003/sig00000545 ;
+ wire \blk00000003/sig00000544 ;
+ wire \blk00000003/sig00000543 ;
+ wire \blk00000003/sig00000542 ;
+ wire \blk00000003/sig00000541 ;
+ wire \blk00000003/sig00000540 ;
+ wire \blk00000003/sig0000053f ;
+ wire \blk00000003/sig0000053e ;
+ wire \blk00000003/sig0000053d ;
+ wire \blk00000003/sig0000053c ;
+ wire \blk00000003/sig0000053b ;
+ wire \blk00000003/sig0000053a ;
+ wire \blk00000003/sig00000539 ;
+ wire \blk00000003/sig00000538 ;
+ wire \blk00000003/sig00000537 ;
+ wire \blk00000003/sig00000536 ;
+ wire \blk00000003/sig00000535 ;
+ wire \blk00000003/sig00000534 ;
+ wire \blk00000003/sig00000533 ;
+ wire \blk00000003/sig00000532 ;
+ wire \blk00000003/sig00000531 ;
+ wire \blk00000003/sig00000530 ;
+ wire \blk00000003/sig0000052f ;
+ wire \blk00000003/sig0000052e ;
+ wire \blk00000003/sig0000052d ;
+ wire \blk00000003/sig0000052c ;
+ wire \blk00000003/sig0000052b ;
+ wire \blk00000003/sig0000052a ;
+ wire \blk00000003/sig00000529 ;
+ wire \blk00000003/sig00000528 ;
+ wire \blk00000003/sig00000527 ;
+ wire \blk00000003/sig00000526 ;
+ wire \blk00000003/sig00000525 ;
+ wire \blk00000003/sig00000524 ;
+ wire \blk00000003/sig00000523 ;
+ wire \blk00000003/sig00000522 ;
+ wire \blk00000003/sig00000521 ;
+ wire \blk00000003/sig00000520 ;
+ wire \blk00000003/sig0000051f ;
+ wire \blk00000003/sig0000051e ;
+ wire \blk00000003/sig0000051d ;
+ wire \blk00000003/sig0000051c ;
+ wire \blk00000003/sig0000051b ;
+ wire \blk00000003/sig0000051a ;
+ wire \blk00000003/sig00000519 ;
+ wire \blk00000003/sig00000518 ;
+ wire \blk00000003/sig00000517 ;
+ wire \blk00000003/sig00000516 ;
+ wire \blk00000003/sig00000515 ;
+ wire \blk00000003/sig00000514 ;
+ wire \blk00000003/sig00000513 ;
+ wire \blk00000003/sig00000512 ;
+ wire \blk00000003/sig00000511 ;
+ wire \blk00000003/sig00000510 ;
+ wire \blk00000003/sig0000050f ;
+ wire \blk00000003/sig0000050e ;
+ wire \blk00000003/sig0000050d ;
+ wire \blk00000003/sig0000050c ;
+ wire \blk00000003/sig0000050b ;
+ wire \blk00000003/sig0000050a ;
+ wire \blk00000003/sig00000509 ;
+ wire \blk00000003/sig00000508 ;
+ wire \blk00000003/sig00000507 ;
+ wire \blk00000003/sig00000506 ;
+ wire \blk00000003/sig00000505 ;
+ wire \blk00000003/sig00000504 ;
+ wire \blk00000003/sig00000503 ;
+ wire \blk00000003/sig00000502 ;
+ wire \blk00000003/sig00000501 ;
+ wire \blk00000003/sig00000500 ;
+ wire \blk00000003/sig000004ff ;
+ wire \blk00000003/sig000004fe ;
+ wire \blk00000003/sig000004fd ;
+ wire \blk00000003/sig000004fc ;
+ wire \blk00000003/sig000004fb ;
+ wire \blk00000003/sig000004fa ;
+ wire \blk00000003/sig000004f9 ;
+ wire \blk00000003/sig000004f8 ;
+ wire \blk00000003/sig000004f7 ;
+ wire \blk00000003/sig000004f6 ;
+ wire \blk00000003/sig000004f5 ;
+ wire \blk00000003/sig000004f4 ;
+ wire \blk00000003/sig000004f3 ;
+ wire \blk00000003/sig000004f2 ;
+ wire \blk00000003/sig000004f1 ;
+ wire \blk00000003/sig000004f0 ;
+ wire \blk00000003/sig000004ef ;
+ wire \blk00000003/sig000004ee ;
+ wire \blk00000003/sig000004ed ;
+ wire \blk00000003/sig000004ec ;
+ wire \blk00000003/sig000004eb ;
+ wire \blk00000003/sig000004ea ;
+ wire \blk00000003/sig000004e9 ;
+ wire \blk00000003/sig000004e8 ;
+ wire \blk00000003/sig000004e7 ;
+ wire \blk00000003/sig000004e6 ;
+ wire \blk00000003/sig000004e5 ;
+ wire \blk00000003/sig000004e4 ;
+ wire \blk00000003/sig000004e3 ;
+ wire \blk00000003/sig000004e2 ;
+ wire \blk00000003/sig000004e1 ;
+ wire \blk00000003/sig000004e0 ;
+ wire \blk00000003/sig000004df ;
+ wire \blk00000003/sig000004de ;
+ wire \blk00000003/sig000004dd ;
+ wire \blk00000003/sig000004dc ;
+ wire \blk00000003/sig000004db ;
+ wire \blk00000003/sig000004da ;
+ wire \blk00000003/sig000004d9 ;
+ wire \blk00000003/sig000004d8 ;
+ wire \blk00000003/sig000004d7 ;
+ wire \blk00000003/sig000004d6 ;
+ wire \blk00000003/sig000004d5 ;
+ wire \blk00000003/sig000004d4 ;
+ wire \blk00000003/sig000004d3 ;
+ wire \blk00000003/sig000004d2 ;
+ wire \blk00000003/sig000004d1 ;
+ wire \blk00000003/sig000004d0 ;
+ wire \blk00000003/sig000004cf ;
+ wire \blk00000003/sig000004ce ;
+ wire \blk00000003/sig000004cd ;
+ wire \blk00000003/sig000004cc ;
+ wire \blk00000003/sig000004cb ;
+ wire \blk00000003/sig000004ca ;
+ wire \blk00000003/sig000004c9 ;
+ wire \blk00000003/sig000004c8 ;
+ wire \blk00000003/sig000004c7 ;
+ wire \blk00000003/sig000004c6 ;
+ wire \blk00000003/sig000004c5 ;
+ wire \blk00000003/sig000004c4 ;
+ wire \blk00000003/sig000004c3 ;
+ wire \blk00000003/sig000004c2 ;
+ wire \blk00000003/sig000004c1 ;
+ wire \blk00000003/sig000004c0 ;
+ wire \blk00000003/sig000004bf ;
+ wire \blk00000003/sig000004be ;
+ wire \blk00000003/sig000004bd ;
+ wire \blk00000003/sig000004bc ;
+ wire \blk00000003/sig000004bb ;
+ wire \blk00000003/sig000004ba ;
+ wire \blk00000003/sig000004b9 ;
+ wire \blk00000003/sig000004b8 ;
+ wire \blk00000003/sig000004b7 ;
+ wire \blk00000003/sig000004b6 ;
+ wire \blk00000003/sig000004b5 ;
+ wire \blk00000003/sig000004b4 ;
+ wire \blk00000003/sig000004b3 ;
+ wire \blk00000003/sig000004b2 ;
+ wire \blk00000003/sig000004b1 ;
+ wire \blk00000003/sig000004b0 ;
+ wire \blk00000003/sig000004af ;
+ wire \blk00000003/sig000004ae ;
+ wire \blk00000003/sig000004ad ;
+ wire \blk00000003/sig000004ac ;
+ wire \blk00000003/sig000004ab ;
+ wire \blk00000003/sig000004aa ;
+ wire \blk00000003/sig000004a9 ;
+ wire \blk00000003/sig000004a8 ;
+ wire \blk00000003/sig000004a7 ;
+ wire \blk00000003/sig000004a6 ;
+ wire \blk00000003/sig000004a5 ;
+ wire \blk00000003/sig000004a4 ;
+ wire \blk00000003/sig000004a3 ;
+ wire \blk00000003/sig000004a2 ;
+ wire \blk00000003/sig000004a1 ;
+ wire \blk00000003/sig000004a0 ;
+ wire \blk00000003/sig0000049f ;
+ wire \blk00000003/sig0000049e ;
+ wire \blk00000003/sig0000049d ;
+ wire \blk00000003/sig0000049c ;
+ wire \blk00000003/sig0000049b ;
+ wire \blk00000003/sig0000049a ;
+ wire \blk00000003/sig00000499 ;
+ wire \blk00000003/sig00000498 ;
+ wire \blk00000003/sig00000497 ;
+ wire \blk00000003/sig00000496 ;
+ wire \blk00000003/sig00000495 ;
+ wire \blk00000003/sig00000494 ;
+ wire \blk00000003/sig00000493 ;
+ wire \blk00000003/sig00000492 ;
+ wire \blk00000003/sig00000491 ;
+ wire \blk00000003/sig00000490 ;
+ wire \blk00000003/sig0000048f ;
+ wire \blk00000003/sig0000048e ;
+ wire \blk00000003/sig0000048d ;
+ wire \blk00000003/sig0000048c ;
+ wire \blk00000003/sig0000048b ;
+ wire \blk00000003/sig0000048a ;
+ wire \blk00000003/sig00000489 ;
+ wire \blk00000003/sig00000488 ;
+ wire \blk00000003/sig00000487 ;
+ wire \blk00000003/sig00000486 ;
+ wire \blk00000003/sig00000485 ;
+ wire \blk00000003/sig00000484 ;
+ wire \blk00000003/sig00000483 ;
+ wire \blk00000003/sig00000482 ;
+ wire \blk00000003/sig00000481 ;
+ wire \blk00000003/sig00000480 ;
+ wire \blk00000003/sig0000047f ;
+ wire \blk00000003/sig0000047e ;
+ wire \blk00000003/sig0000047d ;
+ wire \blk00000003/sig0000047c ;
+ wire \blk00000003/sig0000047b ;
+ wire \blk00000003/sig0000047a ;
+ wire \blk00000003/sig00000479 ;
+ wire \blk00000003/sig00000478 ;
+ wire \blk00000003/sig00000477 ;
+ wire \blk00000003/sig00000476 ;
+ wire \blk00000003/sig00000475 ;
+ wire \blk00000003/sig00000474 ;
+ wire \blk00000003/sig00000473 ;
+ wire \blk00000003/sig00000472 ;
+ wire \blk00000003/sig00000471 ;
+ wire \blk00000003/sig00000470 ;
+ wire \blk00000003/sig0000046f ;
+ wire \blk00000003/sig0000046e ;
+ wire \blk00000003/sig0000046d ;
+ wire \blk00000003/sig0000046c ;
+ wire \blk00000003/sig0000046b ;
+ wire \blk00000003/sig0000046a ;
+ wire \blk00000003/sig00000469 ;
+ wire \blk00000003/sig00000468 ;
+ wire \blk00000003/sig00000467 ;
+ wire \blk00000003/sig00000466 ;
+ wire \blk00000003/sig00000465 ;
+ wire \blk00000003/sig00000464 ;
+ wire \blk00000003/sig00000463 ;
+ wire \blk00000003/sig00000462 ;
+ wire \blk00000003/sig00000461 ;
+ wire \blk00000003/sig00000460 ;
+ wire \blk00000003/sig0000045f ;
+ wire \blk00000003/sig0000045e ;
+ wire \blk00000003/sig0000045d ;
+ wire \blk00000003/sig0000045c ;
+ wire \blk00000003/sig0000045b ;
+ wire \blk00000003/sig0000045a ;
+ wire \blk00000003/sig00000459 ;
+ wire \blk00000003/sig00000458 ;
+ wire \blk00000003/sig00000457 ;
+ wire \blk00000003/sig00000456 ;
+ wire \blk00000003/sig00000455 ;
+ wire \blk00000003/sig00000454 ;
+ wire \blk00000003/sig00000453 ;
+ wire \blk00000003/sig00000452 ;
+ wire \blk00000003/sig00000451 ;
+ wire \blk00000003/sig00000450 ;
+ wire \blk00000003/sig0000044f ;
+ wire \blk00000003/sig0000044e ;
+ wire \blk00000003/sig0000044d ;
+ wire \blk00000003/sig0000044c ;
+ wire \blk00000003/sig0000044b ;
+ wire \blk00000003/sig0000044a ;
+ wire \blk00000003/sig00000449 ;
+ wire \blk00000003/sig00000448 ;
+ wire \blk00000003/sig00000447 ;
+ wire \blk00000003/sig00000446 ;
+ wire \blk00000003/sig00000445 ;
+ wire \blk00000003/sig00000444 ;
+ wire \blk00000003/sig00000443 ;
+ wire \blk00000003/sig00000442 ;
+ wire \blk00000003/sig00000441 ;
+ wire \blk00000003/sig00000440 ;
+ wire \blk00000003/sig0000043f ;
+ wire \blk00000003/sig0000043e ;
+ wire \blk00000003/sig0000043d ;
+ wire \blk00000003/sig0000043c ;
+ wire \blk00000003/sig0000043b ;
+ wire \blk00000003/sig0000043a ;
+ wire \blk00000003/sig00000439 ;
+ wire \blk00000003/sig00000438 ;
+ wire \blk00000003/sig00000437 ;
+ wire \blk00000003/sig00000436 ;
+ wire \blk00000003/sig00000435 ;
+ wire \blk00000003/sig00000434 ;
+ wire \blk00000003/sig00000433 ;
+ wire \blk00000003/sig00000432 ;
+ wire \blk00000003/sig00000431 ;
+ wire \blk00000003/sig00000430 ;
+ wire \blk00000003/sig0000042f ;
+ wire \blk00000003/sig0000042e ;
+ wire \blk00000003/sig0000042d ;
+ wire \blk00000003/sig0000042c ;
+ wire \blk00000003/sig0000042b ;
+ wire \blk00000003/sig0000042a ;
+ wire \blk00000003/sig00000429 ;
+ wire \blk00000003/sig00000428 ;
+ wire \blk00000003/sig00000427 ;
+ wire \blk00000003/sig00000426 ;
+ wire \blk00000003/sig00000425 ;
+ wire \blk00000003/sig00000424 ;
+ wire \blk00000003/sig00000423 ;
+ wire \blk00000003/sig00000422 ;
+ wire \blk00000003/sig00000421 ;
+ wire \blk00000003/sig00000420 ;
+ wire \blk00000003/sig0000041f ;
+ wire \blk00000003/sig0000041e ;
+ wire \blk00000003/sig0000041d ;
+ wire \blk00000003/sig0000041c ;
+ wire \blk00000003/sig0000041b ;
+ wire \blk00000003/sig0000041a ;
+ wire \blk00000003/sig00000419 ;
+ wire \blk00000003/sig00000418 ;
+ wire \blk00000003/sig00000417 ;
+ wire \blk00000003/sig00000416 ;
+ wire \blk00000003/sig00000415 ;
+ wire \blk00000003/sig00000414 ;
+ wire \blk00000003/sig00000413 ;
+ wire \blk00000003/sig00000412 ;
+ wire \blk00000003/sig00000411 ;
+ wire \blk00000003/sig00000410 ;
+ wire \blk00000003/sig0000040f ;
+ wire \blk00000003/sig0000040e ;
+ wire \blk00000003/sig0000040d ;
+ wire \blk00000003/sig0000040c ;
+ wire \blk00000003/sig0000040b ;
+ wire \blk00000003/sig0000040a ;
+ wire \blk00000003/sig00000409 ;
+ wire \blk00000003/sig00000408 ;
+ wire \blk00000003/sig00000407 ;
+ wire \blk00000003/sig00000406 ;
+ wire \blk00000003/sig00000405 ;
+ wire \blk00000003/sig00000404 ;
+ wire \blk00000003/sig00000403 ;
+ wire \blk00000003/sig00000402 ;
+ wire \blk00000003/sig00000401 ;
+ wire \blk00000003/sig00000400 ;
+ wire \blk00000003/sig000003ff ;
+ wire \blk00000003/sig000003fe ;
+ wire \blk00000003/sig000003fd ;
+ wire \blk00000003/sig000003fc ;
+ wire \blk00000003/sig000003fb ;
+ wire \blk00000003/sig000003fa ;
+ wire \blk00000003/sig000003f9 ;
+ wire \blk00000003/sig000003f8 ;
+ wire \blk00000003/sig000003f7 ;
+ wire \blk00000003/sig000003f6 ;
+ wire \blk00000003/sig000003f5 ;
+ wire \blk00000003/sig000003f4 ;
+ wire \blk00000003/sig000003f3 ;
+ wire \blk00000003/sig000003f2 ;
+ wire \blk00000003/sig000003f1 ;
+ wire \blk00000003/sig000003f0 ;
+ wire \blk00000003/sig000003ef ;
+ wire \blk00000003/sig000003ee ;
+ wire \blk00000003/sig000003ed ;
+ wire \blk00000003/sig000003ec ;
+ wire \blk00000003/sig000003eb ;
+ wire \blk00000003/sig000003ea ;
+ wire \blk00000003/sig000003e9 ;
+ wire \blk00000003/sig000003e8 ;
+ wire \blk00000003/sig000003e7 ;
+ wire \blk00000003/sig000003e6 ;
+ wire \blk00000003/sig000003e5 ;
+ wire \blk00000003/sig000003e4 ;
+ wire \blk00000003/sig000003e3 ;
+ wire \blk00000003/sig000003e2 ;
+ wire \blk00000003/sig000003e1 ;
+ wire \blk00000003/sig000003e0 ;
+ wire \blk00000003/sig000003df ;
+ wire \blk00000003/sig000003de ;
+ wire \blk00000003/sig000003dd ;
+ wire \blk00000003/sig000003dc ;
+ wire \blk00000003/sig000003db ;
+ wire \blk00000003/sig000003da ;
+ wire \blk00000003/sig000003d9 ;
+ wire \blk00000003/sig000003d8 ;
+ wire \blk00000003/sig000003d7 ;
+ wire \blk00000003/sig000003d6 ;
+ wire \blk00000003/sig000003d5 ;
+ wire \blk00000003/sig000003d4 ;
+ wire \blk00000003/sig000003d3 ;
+ wire \blk00000003/sig000003d2 ;
+ wire \blk00000003/sig000003d1 ;
+ wire \blk00000003/sig000003d0 ;
+ wire \blk00000003/sig000003cf ;
+ wire \blk00000003/sig000003ce ;
+ wire \blk00000003/sig000003cd ;
+ wire \blk00000003/sig000003cc ;
+ wire \blk00000003/sig000003cb ;
+ wire \blk00000003/sig000003ca ;
+ wire \blk00000003/sig000003c9 ;
+ wire \blk00000003/sig000003c8 ;
+ wire \blk00000003/sig000003c7 ;
+ wire \blk00000003/sig000003c6 ;
+ wire \blk00000003/sig000003c5 ;
+ wire \blk00000003/sig000003c4 ;
+ wire \blk00000003/sig000003c3 ;
+ wire \blk00000003/sig000003c2 ;
+ wire \blk00000003/sig000003c1 ;
+ wire \blk00000003/sig000003c0 ;
+ wire \blk00000003/sig000003bf ;
+ wire \blk00000003/sig000003be ;
+ wire \blk00000003/sig000003bd ;
+ wire \blk00000003/sig000003bc ;
+ wire \blk00000003/sig000003bb ;
+ wire \blk00000003/sig000003ba ;
+ wire \blk00000003/sig000003b9 ;
+ wire \blk00000003/sig000003b8 ;
+ wire \blk00000003/sig000003b7 ;
+ wire \blk00000003/sig000003b6 ;
+ wire \blk00000003/sig000003b5 ;
+ wire \blk00000003/sig000003b4 ;
+ wire \blk00000003/sig000003b3 ;
+ wire \blk00000003/sig000003b2 ;
+ wire \blk00000003/sig000003b1 ;
+ wire \blk00000003/sig000003b0 ;
+ wire \blk00000003/sig000003af ;
+ wire \blk00000003/sig000003ae ;
+ wire \blk00000003/sig000003ad ;
+ wire \blk00000003/sig000003ac ;
+ wire \blk00000003/sig000003ab ;
+ wire \blk00000003/sig000003aa ;
+ wire \blk00000003/sig000003a9 ;
+ wire \blk00000003/sig000003a8 ;
+ wire \blk00000003/sig000003a7 ;
+ wire \blk00000003/sig000003a6 ;
+ wire \blk00000003/sig000003a5 ;
+ wire \blk00000003/sig000003a4 ;
+ wire \blk00000003/sig000003a3 ;
+ wire \blk00000003/sig000003a2 ;
+ wire \blk00000003/sig000003a1 ;
+ wire \blk00000003/sig000003a0 ;
+ wire \blk00000003/sig0000039f ;
+ wire \blk00000003/sig0000039e ;
+ wire \blk00000003/sig0000039d ;
+ wire \blk00000003/sig0000039c ;
+ wire \blk00000003/sig0000039b ;
+ wire \blk00000003/sig0000039a ;
+ wire \blk00000003/sig00000399 ;
+ wire \blk00000003/sig00000398 ;
+ wire \blk00000003/sig00000397 ;
+ wire \blk00000003/sig00000396 ;
+ wire \blk00000003/sig00000395 ;
+ wire \blk00000003/sig00000394 ;
+ wire \blk00000003/sig00000393 ;
+ wire \blk00000003/sig00000392 ;
+ wire \blk00000003/sig00000391 ;
+ wire \blk00000003/sig00000390 ;
+ wire \blk00000003/sig0000038f ;
+ wire \blk00000003/sig0000038e ;
+ wire \blk00000003/sig0000038d ;
+ wire \blk00000003/sig0000038c ;
+ wire \blk00000003/sig0000038b ;
+ wire \blk00000003/sig0000038a ;
+ wire \blk00000003/sig00000389 ;
+ wire \blk00000003/sig00000388 ;
+ wire \blk00000003/sig00000387 ;
+ wire \blk00000003/sig00000386 ;
+ wire \blk00000003/sig00000385 ;
+ wire \blk00000003/sig00000384 ;
+ wire \blk00000003/sig00000383 ;
+ wire \blk00000003/sig00000382 ;
+ wire \blk00000003/sig00000381 ;
+ wire \blk00000003/sig00000380 ;
+ wire \blk00000003/sig0000037f ;
+ wire \blk00000003/sig0000037e ;
+ wire \blk00000003/sig0000037d ;
+ wire \blk00000003/sig0000037c ;
+ wire \blk00000003/sig0000037b ;
+ wire \blk00000003/sig0000037a ;
+ wire \blk00000003/sig00000379 ;
+ wire \blk00000003/sig00000378 ;
+ wire \blk00000003/sig00000377 ;
+ wire \blk00000003/sig00000376 ;
+ wire \blk00000003/sig00000375 ;
+ wire \blk00000003/sig00000374 ;
+ wire \blk00000003/sig00000373 ;
+ wire \blk00000003/sig00000372 ;
+ wire \blk00000003/sig00000371 ;
+ wire \blk00000003/sig00000370 ;
+ wire \blk00000003/sig0000036f ;
+ wire \blk00000003/sig0000036e ;
+ wire \blk00000003/sig0000036d ;
+ wire \blk00000003/sig0000036c ;
+ wire \blk00000003/sig0000036b ;
+ wire \blk00000003/sig0000036a ;
+ wire \blk00000003/sig00000369 ;
+ wire \blk00000003/sig00000368 ;
+ wire \blk00000003/sig00000367 ;
+ wire \blk00000003/sig00000366 ;
+ wire \blk00000003/sig00000365 ;
+ wire \blk00000003/sig00000364 ;
+ wire \blk00000003/sig00000363 ;
+ wire \blk00000003/sig00000362 ;
+ wire \blk00000003/sig00000361 ;
+ wire \blk00000003/sig00000360 ;
+ wire \blk00000003/sig0000035f ;
+ wire \blk00000003/sig0000035e ;
+ wire \blk00000003/sig0000035d ;
+ wire \blk00000003/sig0000035c ;
+ wire \blk00000003/sig0000035b ;
+ wire \blk00000003/sig0000035a ;
+ wire \blk00000003/sig00000359 ;
+ wire \blk00000003/sig00000358 ;
+ wire \blk00000003/sig00000357 ;
+ wire \blk00000003/sig00000356 ;
+ wire \blk00000003/sig00000355 ;
+ wire \blk00000003/sig00000354 ;
+ wire \blk00000003/sig00000353 ;
+ wire \blk00000003/sig00000352 ;
+ wire \blk00000003/sig00000351 ;
+ wire \blk00000003/sig00000350 ;
+ wire \blk00000003/sig0000034f ;
+ wire \blk00000003/sig0000034e ;
+ wire \blk00000003/sig0000034d ;
+ wire \blk00000003/sig0000034c ;
+ wire \blk00000003/sig0000034b ;
+ wire \blk00000003/sig0000034a ;
+ wire \blk00000003/sig00000349 ;
+ wire \blk00000003/sig00000348 ;
+ wire \blk00000003/sig00000347 ;
+ wire \blk00000003/sig00000346 ;
+ wire \blk00000003/sig00000345 ;
+ wire \blk00000003/sig00000344 ;
+ wire \blk00000003/sig00000343 ;
+ wire \blk00000003/sig00000342 ;
+ wire \blk00000003/sig00000341 ;
+ wire \blk00000003/sig00000340 ;
+ wire \blk00000003/sig0000033f ;
+ wire \blk00000003/sig0000033e ;
+ wire \blk00000003/sig0000033d ;
+ wire \blk00000003/sig0000033c ;
+ wire \blk00000003/sig0000033b ;
+ wire \blk00000003/sig0000033a ;
+ wire \blk00000003/sig00000339 ;
+ wire \blk00000003/sig00000338 ;
+ wire \blk00000003/sig00000337 ;
+ wire \blk00000003/sig00000336 ;
+ wire \blk00000003/sig00000335 ;
+ wire \blk00000003/sig00000334 ;
+ wire \blk00000003/sig00000333 ;
+ wire \blk00000003/sig00000332 ;
+ wire \blk00000003/sig00000331 ;
+ wire \blk00000003/sig00000330 ;
+ wire \blk00000003/sig0000032f ;
+ wire \blk00000003/sig0000032e ;
+ wire \blk00000003/sig0000032d ;
+ wire \blk00000003/sig0000032c ;
+ wire \blk00000003/sig0000032b ;
+ wire \blk00000003/sig0000032a ;
+ wire \blk00000003/sig00000329 ;
+ wire \blk00000003/sig00000328 ;
+ wire \blk00000003/sig00000327 ;
+ wire \blk00000003/sig00000326 ;
+ wire \blk00000003/sig00000325 ;
+ wire \blk00000003/sig00000324 ;
+ wire \blk00000003/sig00000323 ;
+ wire \blk00000003/sig00000322 ;
+ wire \blk00000003/sig00000321 ;
+ wire \blk00000003/sig00000320 ;
+ wire \blk00000003/sig0000031f ;
+ wire \blk00000003/sig0000031e ;
+ wire \blk00000003/sig0000031d ;
+ wire \blk00000003/sig0000031c ;
+ wire \blk00000003/sig0000031b ;
+ wire \blk00000003/sig0000031a ;
+ wire \blk00000003/sig00000319 ;
+ wire \blk00000003/sig00000318 ;
+ wire \blk00000003/sig00000317 ;
+ wire \blk00000003/sig00000316 ;
+ wire \blk00000003/sig00000315 ;
+ wire \blk00000003/sig00000314 ;
+ wire \blk00000003/sig00000313 ;
+ wire \blk00000003/sig00000312 ;
+ wire \blk00000003/sig00000311 ;
+ wire \blk00000003/sig00000310 ;
+ wire \blk00000003/sig0000030f ;
+ wire \blk00000003/sig0000030e ;
+ wire \blk00000003/sig0000030d ;
+ wire \blk00000003/sig0000030c ;
+ wire \blk00000003/sig0000030b ;
+ wire \blk00000003/sig0000030a ;
+ wire \blk00000003/sig00000309 ;
+ wire \blk00000003/sig00000308 ;
+ wire \blk00000003/sig00000307 ;
+ wire \blk00000003/sig00000306 ;
+ wire \blk00000003/sig00000305 ;
+ wire \blk00000003/sig00000304 ;
+ wire \blk00000003/sig00000303 ;
+ wire \blk00000003/sig00000302 ;
+ wire \blk00000003/sig00000301 ;
+ wire \blk00000003/sig00000300 ;
+ wire \blk00000003/sig000002ff ;
+ wire \blk00000003/sig000002fe ;
+ wire \blk00000003/sig000002fd ;
+ wire \blk00000003/sig000002fc ;
+ wire \blk00000003/sig000002fb ;
+ wire \blk00000003/sig000002fa ;
+ wire \blk00000003/sig000002f9 ;
+ wire \blk00000003/sig000002f8 ;
+ wire \blk00000003/sig000002f7 ;
+ wire \blk00000003/sig000002f6 ;
+ wire \blk00000003/sig000002f5 ;
+ wire \blk00000003/sig000002f4 ;
+ wire \blk00000003/sig000002f3 ;
+ wire \blk00000003/sig000002f2 ;
+ wire \blk00000003/sig000002f1 ;
+ wire \blk00000003/sig000002f0 ;
+ wire \blk00000003/sig000002ef ;
+ wire \blk00000003/sig000002ee ;
+ wire \blk00000003/sig000002ed ;
+ wire \blk00000003/sig000002ec ;
+ wire \blk00000003/sig000002eb ;
+ wire \blk00000003/sig000002ea ;
+ wire \blk00000003/sig000002e9 ;
+ wire \blk00000003/sig000002e8 ;
+ wire \blk00000003/sig000002e7 ;
+ wire \blk00000003/sig000002e6 ;
+ wire \blk00000003/sig000002e5 ;
+ wire \blk00000003/sig000002e4 ;
+ wire \blk00000003/sig000002e3 ;
+ wire \blk00000003/sig000002e2 ;
+ wire \blk00000003/sig000002e1 ;
+ wire \blk00000003/sig000002e0 ;
+ wire \blk00000003/sig000002df ;
+ wire \blk00000003/sig000002de ;
+ wire \blk00000003/sig000002dd ;
+ wire \blk00000003/sig000002dc ;
+ wire \blk00000003/sig000002db ;
+ wire \blk00000003/sig000002da ;
+ wire \blk00000003/sig000002d9 ;
+ wire \blk00000003/sig000002d8 ;
+ wire \blk00000003/sig000002d7 ;
+ wire \blk00000003/sig000002d6 ;
+ wire \blk00000003/sig000002d5 ;
+ wire \blk00000003/sig000002d4 ;
+ wire \blk00000003/sig000002d3 ;
+ wire \blk00000003/sig000002d2 ;
+ wire \blk00000003/sig000002d1 ;
+ wire \blk00000003/sig000002d0 ;
+ wire \blk00000003/sig000002cf ;
+ wire \blk00000003/sig000002ce ;
+ wire \blk00000003/sig000002cd ;
+ wire \blk00000003/sig000002cc ;
+ wire \blk00000003/sig000002cb ;
+ wire \blk00000003/sig000002ca ;
+ wire \blk00000003/sig000002c9 ;
+ wire \blk00000003/sig000002c8 ;
+ wire \blk00000003/sig000002c7 ;
+ wire \blk00000003/sig000002c6 ;
+ wire \blk00000003/sig000002c5 ;
+ wire \blk00000003/sig000002c4 ;
+ wire \blk00000003/sig000002c3 ;
+ wire \blk00000003/sig000002c2 ;
+ wire \blk00000003/sig000002c1 ;
+ wire \blk00000003/sig000002c0 ;
+ wire \blk00000003/sig000002bf ;
+ wire \blk00000003/sig000002be ;
+ wire \blk00000003/sig000002bd ;
+ wire \blk00000003/sig000002bc ;
+ wire \blk00000003/sig000002bb ;
+ wire \blk00000003/sig000002ba ;
+ wire \blk00000003/sig000002b9 ;
+ wire \blk00000003/sig000002b8 ;
+ wire \blk00000003/sig000002b7 ;
+ wire \blk00000003/sig000002b6 ;
+ wire \blk00000003/sig000002b5 ;
+ wire \blk00000003/sig000002b4 ;
+ wire \blk00000003/sig000002b3 ;
+ wire \blk00000003/sig000002b2 ;
+ wire \blk00000003/sig000002b1 ;
+ wire \blk00000003/sig000002b0 ;
+ wire \blk00000003/sig000002af ;
+ wire \blk00000003/sig000002ae ;
+ wire \blk00000003/sig000002ad ;
+ wire \blk00000003/sig000002ac ;
+ wire \blk00000003/sig000002ab ;
+ wire \blk00000003/sig000002aa ;
+ wire \blk00000003/sig000002a9 ;
+ wire \blk00000003/sig000002a8 ;
+ wire \blk00000003/sig000002a7 ;
+ wire \blk00000003/sig000002a6 ;
+ wire \blk00000003/sig000002a5 ;
+ wire \blk00000003/sig000002a4 ;
+ wire \blk00000003/sig000002a3 ;
+ wire \blk00000003/sig000002a2 ;
+ wire \blk00000003/sig000002a1 ;
+ wire \blk00000003/sig000002a0 ;
+ wire \blk00000003/sig0000029f ;
+ wire \blk00000003/sig0000029e ;
+ wire \blk00000003/sig0000029d ;
+ wire \blk00000003/sig0000029c ;
+ wire \blk00000003/sig0000029b ;
+ wire \blk00000003/sig0000029a ;
+ wire \blk00000003/sig00000299 ;
+ wire \blk00000003/sig00000298 ;
+ wire \blk00000003/sig00000297 ;
+ wire \blk00000003/sig00000296 ;
+ wire \blk00000003/sig00000295 ;
+ wire \blk00000003/sig00000294 ;
+ wire \blk00000003/sig00000293 ;
+ wire \blk00000003/sig00000292 ;
+ wire \blk00000003/sig00000291 ;
+ wire \blk00000003/sig00000290 ;
+ wire \blk00000003/sig0000028f ;
+ wire \blk00000003/sig0000028e ;
+ wire \blk00000003/sig0000028d ;
+ wire \blk00000003/sig0000028c ;
+ wire \blk00000003/sig0000028b ;
+ wire \blk00000003/sig0000028a ;
+ wire \blk00000003/sig00000289 ;
+ wire \blk00000003/sig00000288 ;
+ wire \blk00000003/sig00000287 ;
+ wire \blk00000003/sig00000286 ;
+ wire \blk00000003/sig00000285 ;
+ wire \blk00000003/sig00000284 ;
+ wire \blk00000003/sig00000283 ;
+ wire \blk00000003/sig00000282 ;
+ wire \blk00000003/sig00000281 ;
+ wire \blk00000003/sig00000280 ;
+ wire \blk00000003/sig0000027f ;
+ wire \blk00000003/sig0000027e ;
+ wire \blk00000003/sig0000027d ;
+ wire \blk00000003/sig0000027c ;
+ wire \blk00000003/sig0000027b ;
+ wire \blk00000003/sig0000027a ;
+ wire \blk00000003/sig00000279 ;
+ wire \blk00000003/sig00000278 ;
+ wire \blk00000003/sig00000277 ;
+ wire \blk00000003/sig00000276 ;
+ wire \blk00000003/sig00000275 ;
+ wire \blk00000003/sig00000274 ;
+ wire \blk00000003/sig00000273 ;
+ wire \blk00000003/sig00000272 ;
+ wire \blk00000003/sig00000271 ;
+ wire \blk00000003/sig00000270 ;
+ wire \blk00000003/sig0000026f ;
+ wire \blk00000003/sig0000026e ;
+ wire \blk00000003/sig0000026d ;
+ wire \blk00000003/sig0000026c ;
+ wire \blk00000003/sig0000026b ;
+ wire \blk00000003/sig0000026a ;
+ wire \blk00000003/sig00000269 ;
+ wire \blk00000003/sig00000268 ;
+ wire \blk00000003/sig00000267 ;
+ wire \blk00000003/sig00000266 ;
+ wire \blk00000003/sig00000265 ;
+ wire \blk00000003/sig00000264 ;
+ wire \blk00000003/sig00000263 ;
+ wire \blk00000003/sig00000262 ;
+ wire \blk00000003/sig00000261 ;
+ wire \blk00000003/sig00000260 ;
+ wire \blk00000003/sig0000025f ;
+ wire \blk00000003/sig0000025e ;
+ wire \blk00000003/sig0000025d ;
+ wire \blk00000003/sig0000025c ;
+ wire \blk00000003/sig0000025b ;
+ wire \blk00000003/sig0000025a ;
+ wire \blk00000003/sig00000259 ;
+ wire \blk00000003/sig00000258 ;
+ wire \blk00000003/sig00000257 ;
+ wire \blk00000003/sig00000256 ;
+ wire \blk00000003/sig00000255 ;
+ wire \blk00000003/sig00000254 ;
+ wire \blk00000003/sig00000253 ;
+ wire \blk00000003/sig00000252 ;
+ wire \blk00000003/sig00000251 ;
+ wire \blk00000003/sig00000250 ;
+ wire \blk00000003/sig0000024f ;
+ wire \blk00000003/sig0000024e ;
+ wire \blk00000003/sig0000024d ;
+ wire \blk00000003/sig0000024c ;
+ wire \blk00000003/sig0000024b ;
+ wire \blk00000003/sig0000024a ;
+ wire \blk00000003/sig00000249 ;
+ wire \blk00000003/sig00000248 ;
+ wire \blk00000003/sig00000247 ;
+ wire \blk00000003/sig00000246 ;
+ wire \blk00000003/sig00000245 ;
+ wire \blk00000003/sig00000244 ;
+ wire \blk00000003/sig00000243 ;
+ wire \blk00000003/sig00000242 ;
+ wire \blk00000003/sig00000241 ;
+ wire \blk00000003/sig00000240 ;
+ wire \blk00000003/sig0000023f ;
+ wire \blk00000003/sig0000023e ;
+ wire \blk00000003/sig0000023d ;
+ wire \blk00000003/sig0000023c ;
+ wire \blk00000003/sig0000023b ;
+ wire \blk00000003/sig0000023a ;
+ wire \blk00000003/sig00000239 ;
+ wire \blk00000003/sig00000238 ;
+ wire \blk00000003/sig00000237 ;
+ wire \blk00000003/sig00000236 ;
+ wire \blk00000003/sig00000235 ;
+ wire \blk00000003/sig00000234 ;
+ wire \blk00000003/sig00000233 ;
+ wire \blk00000003/sig00000232 ;
+ wire \blk00000003/sig00000231 ;
+ wire \blk00000003/sig00000230 ;
+ wire \blk00000003/sig0000022f ;
+ wire \blk00000003/sig0000022e ;
+ wire \blk00000003/sig0000022d ;
+ wire \blk00000003/sig0000022c ;
+ wire \blk00000003/sig0000022b ;
+ wire \blk00000003/sig0000022a ;
+ wire \blk00000003/sig00000229 ;
+ wire \blk00000003/sig00000228 ;
+ wire \blk00000003/sig00000227 ;
+ wire \blk00000003/sig00000226 ;
+ wire \blk00000003/sig00000225 ;
+ wire \blk00000003/sig00000224 ;
+ wire \blk00000003/sig00000223 ;
+ wire \blk00000003/sig00000222 ;
+ wire \blk00000003/sig00000221 ;
+ wire \blk00000003/sig00000220 ;
+ wire \blk00000003/sig0000021f ;
+ wire \blk00000003/sig0000021e ;
+ wire \blk00000003/sig0000021d ;
+ wire \blk00000003/sig0000021c ;
+ wire \blk00000003/sig0000021b ;
+ wire \blk00000003/sig0000021a ;
+ wire \blk00000003/sig00000219 ;
+ wire \blk00000003/sig00000218 ;
+ wire \blk00000003/sig00000217 ;
+ wire \blk00000003/sig00000216 ;
+ wire \blk00000003/sig00000215 ;
+ wire \blk00000003/sig00000214 ;
+ wire \blk00000003/sig00000213 ;
+ wire \blk00000003/sig00000212 ;
+ wire \blk00000003/sig00000211 ;
+ wire \blk00000003/sig00000210 ;
+ wire \blk00000003/sig0000020f ;
+ wire \blk00000003/sig0000020e ;
+ wire \blk00000003/sig0000020d ;
+ wire \blk00000003/sig0000020c ;
+ wire \blk00000003/sig0000020b ;
+ wire \blk00000003/sig0000020a ;
+ wire \blk00000003/sig00000209 ;
+ wire \blk00000003/sig00000208 ;
+ wire \blk00000003/sig00000207 ;
+ wire \blk00000003/sig00000206 ;
+ wire \blk00000003/sig00000205 ;
+ wire \blk00000003/sig00000204 ;
+ wire \blk00000003/sig00000203 ;
+ wire \blk00000003/sig00000202 ;
+ wire \blk00000003/sig00000201 ;
+ wire \blk00000003/sig00000200 ;
+ wire \blk00000003/sig000001ff ;
+ wire \blk00000003/sig000001fe ;
+ wire \blk00000003/sig000001fd ;
+ wire \blk00000003/sig000001fc ;
+ wire \blk00000003/sig000001fb ;
+ wire \blk00000003/sig000001fa ;
+ wire \blk00000003/sig000001f9 ;
+ wire \blk00000003/sig000001f8 ;
+ wire \blk00000003/sig000001f7 ;
+ wire \blk00000003/sig000001f6 ;
+ wire \blk00000003/sig000001f5 ;
+ wire \blk00000003/sig000001f4 ;
+ wire \blk00000003/sig000001f3 ;
+ wire \blk00000003/sig000001f2 ;
+ wire \blk00000003/sig000001f1 ;
+ wire \blk00000003/sig000001f0 ;
+ wire \blk00000003/sig000001ef ;
+ wire \blk00000003/sig000001ee ;
+ wire \blk00000003/sig000001ed ;
+ wire \blk00000003/sig000001ec ;
+ wire \blk00000003/sig000001eb ;
+ wire \blk00000003/sig000001ea ;
+ wire \blk00000003/sig000001e9 ;
+ wire \blk00000003/sig000001e8 ;
+ wire \blk00000003/sig000001e7 ;
+ wire \blk00000003/sig000001e6 ;
+ wire \blk00000003/sig000001e5 ;
+ wire \blk00000003/sig000001e4 ;
+ wire \blk00000003/sig000001e3 ;
+ wire \blk00000003/sig000001e2 ;
+ wire \blk00000003/sig000001e1 ;
+ wire \blk00000003/sig000001e0 ;
+ wire \blk00000003/sig000001df ;
+ wire \blk00000003/sig000001de ;
+ wire \blk00000003/sig000001dd ;
+ wire \blk00000003/sig000001dc ;
+ wire \blk00000003/sig000001db ;
+ wire \blk00000003/sig000001da ;
+ wire \blk00000003/sig000001d9 ;
+ wire \blk00000003/sig000001d8 ;
+ wire \blk00000003/sig000001d7 ;
+ wire \blk00000003/sig000001d6 ;
+ wire \blk00000003/sig000001d5 ;
+ wire \blk00000003/sig000001d4 ;
+ wire \blk00000003/sig000001d3 ;
+ wire \blk00000003/sig000001d2 ;
+ wire \blk00000003/sig000001d1 ;
+ wire \blk00000003/sig000001d0 ;
+ wire \blk00000003/sig000001cf ;
+ wire \blk00000003/sig000001ce ;
+ wire \blk00000003/sig000001cd ;
+ wire \blk00000003/sig000001cc ;
+ wire \blk00000003/sig000001cb ;
+ wire \blk00000003/sig000001ca ;
+ wire \blk00000003/sig000001c9 ;
+ wire \blk00000003/sig000001c8 ;
+ wire \blk00000003/sig000001c7 ;
+ wire \blk00000003/sig000001c6 ;
+ wire \blk00000003/sig000001c5 ;
+ wire \blk00000003/sig000001c4 ;
+ wire \blk00000003/sig000001c3 ;
+ wire \blk00000003/sig000001c2 ;
+ wire \blk00000003/sig000001c1 ;
+ wire \blk00000003/sig000001c0 ;
+ wire \blk00000003/sig000001bf ;
+ wire \blk00000003/sig000001be ;
+ wire \blk00000003/sig000001bd ;
+ wire \blk00000003/sig000001bc ;
+ wire \blk00000003/sig000001bb ;
+ wire \blk00000003/sig000001ba ;
+ wire \blk00000003/sig000001b9 ;
+ wire \blk00000003/sig000001b8 ;
+ wire \blk00000003/sig000001b7 ;
+ wire \blk00000003/sig000001b6 ;
+ wire \blk00000003/sig000001b5 ;
+ wire \blk00000003/sig000001b4 ;
+ wire \blk00000003/sig000001b3 ;
+ wire \blk00000003/sig000001b2 ;
+ wire \blk00000003/sig000001b1 ;
+ wire \blk00000003/sig000001b0 ;
+ wire \blk00000003/sig000001af ;
+ wire \blk00000003/sig000001ae ;
+ wire \blk00000003/sig000001ad ;
+ wire \blk00000003/sig000001ac ;
+ wire \blk00000003/sig000001ab ;
+ wire \blk00000003/sig000001aa ;
+ wire \blk00000003/sig000001a9 ;
+ wire \blk00000003/sig000001a8 ;
+ wire \blk00000003/sig000001a7 ;
+ wire \blk00000003/sig000001a6 ;
+ wire \blk00000003/sig000001a5 ;
+ wire \blk00000003/sig000001a4 ;
+ wire \blk00000003/sig000001a3 ;
+ wire \blk00000003/sig000001a2 ;
+ wire \blk00000003/sig000001a1 ;
+ wire \blk00000003/sig000001a0 ;
+ wire \blk00000003/sig0000019f ;
+ wire \blk00000003/sig0000019e ;
+ wire \blk00000003/sig0000019d ;
+ wire \blk00000003/sig0000019c ;
+ wire \blk00000003/sig0000019b ;
+ wire \blk00000003/sig0000019a ;
+ wire \blk00000003/sig00000199 ;
+ wire \blk00000003/sig00000198 ;
+ wire \blk00000003/sig00000197 ;
+ wire \blk00000003/sig00000196 ;
+ wire \blk00000003/sig00000195 ;
+ wire \blk00000003/sig00000194 ;
+ wire \blk00000003/sig00000193 ;
+ wire \blk00000003/sig00000192 ;
+ wire \blk00000003/sig00000191 ;
+ wire \blk00000003/sig00000190 ;
+ wire \blk00000003/sig0000018f ;
+ wire \blk00000003/sig0000018e ;
+ wire \blk00000003/sig0000018d ;
+ wire \blk00000003/sig0000018c ;
+ wire \blk00000003/sig0000018b ;
+ wire \blk00000003/sig0000018a ;
+ wire \blk00000003/sig00000189 ;
+ wire \blk00000003/sig00000188 ;
+ wire \blk00000003/sig00000187 ;
+ wire \blk00000003/sig00000186 ;
+ wire \blk00000003/sig00000185 ;
+ wire \blk00000003/sig00000184 ;
+ wire \blk00000003/sig00000183 ;
+ wire \blk00000003/sig00000182 ;
+ wire \blk00000003/sig00000181 ;
+ wire \blk00000003/sig00000180 ;
+ wire \blk00000003/sig0000017f ;
+ wire \blk00000003/sig0000017e ;
+ wire \blk00000003/sig0000017d ;
+ wire \blk00000003/sig0000017c ;
+ wire \blk00000003/sig0000017b ;
+ wire \blk00000003/sig0000017a ;
+ wire \blk00000003/sig00000179 ;
+ wire \blk00000003/sig00000178 ;
+ wire \blk00000003/sig00000177 ;
+ wire \blk00000003/sig00000176 ;
+ wire \blk00000003/sig00000175 ;
+ wire \blk00000003/sig00000174 ;
+ wire \blk00000003/sig00000173 ;
+ wire \blk00000003/sig00000172 ;
+ wire \blk00000003/sig00000171 ;
+ wire \blk00000003/sig00000170 ;
+ wire \blk00000003/sig0000016f ;
+ wire \blk00000003/sig0000016e ;
+ wire \blk00000003/sig0000016d ;
+ wire \blk00000003/sig0000016c ;
+ wire \blk00000003/sig0000016b ;
+ wire \blk00000003/sig0000016a ;
+ wire \blk00000003/sig00000169 ;
+ wire \blk00000003/sig00000168 ;
+ wire \blk00000003/sig00000167 ;
+ wire \blk00000003/sig00000166 ;
+ wire \blk00000003/sig00000165 ;
+ wire \blk00000003/sig00000164 ;
+ wire \blk00000003/sig00000163 ;
+ wire \blk00000003/sig00000162 ;
+ wire \blk00000003/sig00000161 ;
+ wire \blk00000003/sig00000160 ;
+ wire \blk00000003/sig0000015f ;
+ wire \blk00000003/sig0000015e ;
+ wire \blk00000003/sig0000015d ;
+ wire \blk00000003/sig0000015c ;
+ wire \blk00000003/sig0000015b ;
+ wire \blk00000003/sig0000015a ;
+ wire \blk00000003/sig00000159 ;
+ wire \blk00000003/sig00000158 ;
+ wire \blk00000003/sig00000157 ;
+ wire \blk00000003/sig00000156 ;
+ wire \blk00000003/sig00000155 ;
+ wire \blk00000003/sig00000154 ;
+ wire \blk00000003/sig00000153 ;
+ wire \blk00000003/sig00000152 ;
+ wire \blk00000003/sig00000151 ;
+ wire \blk00000003/sig00000150 ;
+ wire \blk00000003/sig0000014f ;
+ wire \blk00000003/sig0000014e ;
+ wire \blk00000003/sig0000014d ;
+ wire \blk00000003/sig0000014c ;
+ wire \blk00000003/sig0000014b ;
+ wire \blk00000003/sig0000014a ;
+ wire \blk00000003/sig00000149 ;
+ wire \blk00000003/sig00000148 ;
+ wire \blk00000003/sig00000147 ;
+ wire \blk00000003/sig00000146 ;
+ wire \blk00000003/sig00000145 ;
+ wire \blk00000003/sig00000144 ;
+ wire \blk00000003/sig00000143 ;
+ wire \blk00000003/sig00000142 ;
+ wire \blk00000003/sig00000141 ;
+ wire \blk00000003/sig00000140 ;
+ wire \blk00000003/sig0000013f ;
+ wire \blk00000003/sig0000013e ;
+ wire \blk00000003/sig0000013d ;
+ wire \blk00000003/sig0000013c ;
+ wire \blk00000003/sig0000013b ;
+ wire \blk00000003/sig0000013a ;
+ wire \blk00000003/sig00000139 ;
+ wire \blk00000003/sig00000138 ;
+ wire \blk00000003/sig00000137 ;
+ wire \blk00000003/sig00000136 ;
+ wire \blk00000003/sig00000135 ;
+ wire \blk00000003/sig00000134 ;
+ wire \blk00000003/sig00000133 ;
+ wire \blk00000003/sig00000132 ;
+ wire \blk00000003/sig00000131 ;
+ wire \blk00000003/sig00000130 ;
+ wire \blk00000003/sig0000012f ;
+ wire \blk00000003/sig0000012e ;
+ wire \blk00000003/sig0000012d ;
+ wire \blk00000003/sig0000012c ;
+ wire \blk00000003/sig0000012b ;
+ wire \blk00000003/sig0000012a ;
+ wire \blk00000003/sig00000129 ;
+ wire \blk00000003/sig00000128 ;
+ wire \blk00000003/sig00000127 ;
+ wire \blk00000003/sig00000126 ;
+ wire \blk00000003/sig00000125 ;
+ wire \blk00000003/sig00000124 ;
+ wire \blk00000003/sig00000123 ;
+ wire \blk00000003/sig00000122 ;
+ wire \blk00000003/sig00000121 ;
+ wire \blk00000003/sig00000120 ;
+ wire \blk00000003/sig0000011f ;
+ wire \blk00000003/sig0000011e ;
+ wire \blk00000003/sig0000011d ;
+ wire \blk00000003/sig0000011c ;
+ wire \blk00000003/sig0000011b ;
+ wire \blk00000003/sig0000011a ;
+ wire \blk00000003/sig00000119 ;
+ wire \blk00000003/sig00000118 ;
+ wire \blk00000003/sig00000117 ;
+ wire \blk00000003/sig00000116 ;
+ wire \blk00000003/sig00000115 ;
+ wire \blk00000003/sig00000114 ;
+ wire \blk00000003/sig00000113 ;
+ wire \blk00000003/sig00000112 ;
+ wire \blk00000003/sig00000111 ;
+ wire \blk00000003/sig00000110 ;
+ wire \blk00000003/sig0000010f ;
+ wire \blk00000003/sig0000010e ;
+ wire \blk00000003/sig0000010d ;
+ wire \blk00000003/sig0000010c ;
+ wire \blk00000003/sig0000010b ;
+ wire \blk00000003/sig0000010a ;
+ wire \blk00000003/sig00000109 ;
+ wire \blk00000003/sig00000108 ;
+ wire \blk00000003/sig00000107 ;
+ wire \blk00000003/sig00000106 ;
+ wire \blk00000003/sig00000105 ;
+ wire \blk00000003/sig00000104 ;
+ wire \blk00000003/sig00000103 ;
+ wire \blk00000003/sig00000102 ;
+ wire \blk00000003/sig00000101 ;
+ wire \blk00000003/sig00000100 ;
+ wire \blk00000003/sig000000ff ;
+ wire \blk00000003/sig000000fe ;
+ wire \blk00000003/sig000000fd ;
+ wire \blk00000003/sig000000fc ;
+ wire \blk00000003/sig000000fb ;
+ wire \blk00000003/sig000000fa ;
+ wire \blk00000003/sig000000f9 ;
+ wire \blk00000003/sig000000f8 ;
+ wire \blk00000003/sig000000f7 ;
+ wire \blk00000003/sig000000f6 ;
+ wire \blk00000003/sig000000f5 ;
+ wire \blk00000003/sig000000f4 ;
+ wire \blk00000003/sig000000f3 ;
+ wire \blk00000003/sig000000f2 ;
+ wire \blk00000003/sig000000f1 ;
+ wire \blk00000003/sig000000f0 ;
+ wire \blk00000003/sig000000ef ;
+ wire \blk00000003/sig000000ee ;
+ wire \blk00000003/sig000000ed ;
+ wire \blk00000003/sig000000ec ;
+ wire \blk00000003/sig000000eb ;
+ wire \blk00000003/sig000000ea ;
+ wire \blk00000003/sig000000e9 ;
+ wire \blk00000003/sig000000e8 ;
+ wire \blk00000003/sig000000e7 ;
+ wire \blk00000003/sig000000e6 ;
+ wire \blk00000003/sig000000e5 ;
+ wire \blk00000003/sig000000e4 ;
+ wire \blk00000003/sig000000e3 ;
+ wire \blk00000003/sig000000e2 ;
+ wire \blk00000003/sig000000e1 ;
+ wire \blk00000003/sig000000e0 ;
+ wire \blk00000003/sig000000df ;
+ wire \blk00000003/sig000000de ;
+ wire \blk00000003/sig000000dd ;
+ wire \blk00000003/sig000000dc ;
+ wire \blk00000003/sig000000db ;
+ wire \blk00000003/sig000000da ;
+ wire \blk00000003/sig000000d9 ;
+ wire \blk00000003/sig000000d8 ;
+ wire \blk00000003/sig000000d7 ;
+ wire \blk00000003/sig000000d6 ;
+ wire \blk00000003/sig000000d5 ;
+ wire \blk00000003/sig000000d4 ;
+ wire \blk00000003/sig000000d3 ;
+ wire \blk00000003/sig000000d2 ;
+ wire \blk00000003/sig000000d1 ;
+ wire \blk00000003/sig000000d0 ;
+ wire \blk00000003/sig000000cf ;
+ wire \blk00000003/sig000000ce ;
+ wire \blk00000003/sig000000cd ;
+ wire \blk00000003/sig000000cc ;
+ wire \blk00000003/sig000000cb ;
+ wire \blk00000003/sig000000ca ;
+ wire \blk00000003/sig000000c9 ;
+ wire \blk00000003/sig000000c8 ;
+ wire \blk00000003/sig000000c7 ;
+ wire \blk00000003/sig000000c6 ;
+ wire \blk00000003/sig000000c5 ;
+ wire \blk00000003/sig000000c4 ;
+ wire \blk00000003/sig000000c3 ;
+ wire \blk00000003/sig000000c2 ;
+ wire \blk00000003/sig000000c1 ;
+ wire \blk00000003/sig000000c0 ;
+ wire \blk00000003/sig000000bf ;
+ wire \blk00000003/sig000000be ;
+ wire \blk00000003/sig000000bd ;
+ wire \blk00000003/sig000000bc ;
+ wire \blk00000003/sig000000bb ;
+ wire \blk00000003/sig000000ba ;
+ wire \blk00000003/sig000000b9 ;
+ wire \blk00000003/sig000000b8 ;
+ wire \blk00000003/sig000000b7 ;
+ wire \blk00000003/sig000000b6 ;
+ wire \blk00000003/sig000000b5 ;
+ wire \blk00000003/sig000000b4 ;
+ wire \blk00000003/sig000000b3 ;
+ wire \blk00000003/sig000000b2 ;
+ wire \blk00000003/sig000000b1 ;
+ wire \blk00000003/sig000000b0 ;
+ wire \blk00000003/sig000000af ;
+ wire \blk00000003/sig000000ae ;
+ wire \blk00000003/sig000000ad ;
+ wire \blk00000003/sig000000ac ;
+ wire \blk00000003/sig0000004a ;
+ wire \blk00000003/sig00000049 ;
+ wire \blk00000003/blk0000002b/sig00000800 ;
+ wire \blk00000003/blk0000002b/sig000007ff ;
+ wire \blk00000003/blk0000002b/sig000007fe ;
+ wire \blk00000003/blk0000002b/sig000007fd ;
+ wire \blk00000003/blk0000002b/sig000007fc ;
+ wire \blk00000003/blk0000002b/sig000007fb ;
+ wire \blk00000003/blk0000002b/sig000007fa ;
+ wire \blk00000003/blk0000002b/sig000007f9 ;
+ wire \blk00000003/blk0000002b/sig000007f8 ;
+ wire \blk00000003/blk0000002b/sig000007f7 ;
+ wire \blk00000003/blk0000002b/sig000007f6 ;
+ wire \blk00000003/blk0000002b/sig000007f5 ;
+ wire \blk00000003/blk0000002b/sig000007f4 ;
+ wire \blk00000003/blk0000002b/sig000007f3 ;
+ wire \blk00000003/blk0000002b/sig000007f2 ;
+ wire \blk00000003/blk0000002b/sig000007f1 ;
+ wire \blk00000003/blk0000002b/sig000007f0 ;
+ wire \blk00000003/blk0000002b/sig000007ef ;
+ wire \blk00000003/blk0000002b/sig000007ee ;
+ wire \blk00000003/blk0000002b/sig000007ed ;
+ wire \blk00000003/blk0000002b/sig000007ec ;
+ wire \blk00000003/blk0000002b/sig000007eb ;
+ wire \blk00000003/blk0000002b/sig000007ea ;
+ wire \blk00000003/blk0000002b/sig000007e9 ;
+ wire \blk00000003/blk0000002b/sig000007e8 ;
+ wire \blk00000003/blk0000002b/sig000007e7 ;
+ wire \blk00000003/blk0000002b/sig000007e6 ;
+ wire \blk00000003/blk0000002b/sig000007e5 ;
+ wire \blk00000003/blk0000002b/sig000007e4 ;
+ wire \blk00000003/blk0000002b/sig000007e3 ;
+ wire \blk00000003/blk0000002b/sig000007e2 ;
+ wire \blk00000003/blk0000002b/sig000007e1 ;
+ wire \blk00000003/blk0000002b/sig000007e0 ;
+ wire \blk00000003/blk0000002b/sig000007df ;
+ wire \blk00000003/blk0000002b/sig000007de ;
+ wire \blk00000003/blk0000002b/sig000007dd ;
+ wire \blk00000003/blk0000002b/sig000007dc ;
+ wire \blk00000003/blk0000002b/sig000007db ;
+ wire \blk00000003/blk0000002b/sig000007da ;
+ wire \blk00000003/blk0000002b/sig000007d9 ;
+ wire \blk00000003/blk0000002b/sig000007d8 ;
+ wire \blk00000003/blk0000002b/sig000007d7 ;
+ wire \blk00000003/blk0000002b/sig000007d6 ;
+ wire \blk00000003/blk0000002b/sig000007d5 ;
+ wire \blk00000003/blk0000002b/sig000007d4 ;
+ wire \blk00000003/blk0000002b/sig000007d3 ;
+ wire \blk00000003/blk0000002b/sig000007d2 ;
+ wire \blk00000003/blk0000002b/sig000007d1 ;
+ wire \blk00000003/blk0000002b/sig000007d0 ;
+ wire \blk00000003/blk0000002b/sig000007cf ;
+ wire \blk00000003/blk00000117/sig0000084f ;
+ wire \blk00000003/blk00000117/sig0000084e ;
+ wire \blk00000003/blk00000117/sig0000084d ;
+ wire \blk00000003/blk00000117/sig0000084c ;
+ wire \blk00000003/blk00000117/sig0000084b ;
+ wire \blk00000003/blk00000117/sig0000084a ;
+ wire \blk00000003/blk00000117/sig00000849 ;
+ wire \blk00000003/blk00000117/sig00000848 ;
+ wire \blk00000003/blk00000117/sig00000847 ;
+ wire \blk00000003/blk00000117/sig00000846 ;
+ wire \blk00000003/blk00000117/sig00000845 ;
+ wire \blk00000003/blk00000117/sig00000844 ;
+ wire \blk00000003/blk00000117/sig00000843 ;
+ wire \blk00000003/blk00000117/sig00000842 ;
+ wire \blk00000003/blk00000117/sig00000841 ;
+ wire \blk00000003/blk00000117/sig00000840 ;
+ wire \blk00000003/blk00000117/sig0000083f ;
+ wire \blk00000003/blk00000117/sig0000083e ;
+ wire \blk00000003/blk00000117/sig0000083d ;
+ wire \blk00000003/blk00000117/sig0000083c ;
+ wire \blk00000003/blk00000117/sig0000083b ;
+ wire \blk00000003/blk00000117/sig0000083a ;
+ wire \blk00000003/blk00000117/sig00000839 ;
+ wire \blk00000003/blk00000117/sig00000838 ;
+ wire \blk00000003/blk00000117/sig00000837 ;
+ wire \blk00000003/blk00000117/sig00000836 ;
+ wire \blk00000003/blk0000014a/sig0000089e ;
+ wire \blk00000003/blk0000014a/sig0000089d ;
+ wire \blk00000003/blk0000014a/sig0000089c ;
+ wire \blk00000003/blk0000014a/sig0000089b ;
+ wire \blk00000003/blk0000014a/sig0000089a ;
+ wire \blk00000003/blk0000014a/sig00000899 ;
+ wire \blk00000003/blk0000014a/sig00000898 ;
+ wire \blk00000003/blk0000014a/sig00000897 ;
+ wire \blk00000003/blk0000014a/sig00000896 ;
+ wire \blk00000003/blk0000014a/sig00000895 ;
+ wire \blk00000003/blk0000014a/sig00000894 ;
+ wire \blk00000003/blk0000014a/sig00000893 ;
+ wire \blk00000003/blk0000014a/sig00000892 ;
+ wire \blk00000003/blk0000014a/sig00000891 ;
+ wire \blk00000003/blk0000014a/sig00000890 ;
+ wire \blk00000003/blk0000014a/sig0000088f ;
+ wire \blk00000003/blk0000014a/sig0000088e ;
+ wire \blk00000003/blk0000014a/sig0000088d ;
+ wire \blk00000003/blk0000014a/sig0000088c ;
+ wire \blk00000003/blk0000014a/sig0000088b ;
+ wire \blk00000003/blk0000014a/sig0000088a ;
+ wire \blk00000003/blk0000014a/sig00000889 ;
+ wire \blk00000003/blk0000014a/sig00000888 ;
+ wire \blk00000003/blk0000014a/sig00000887 ;
+ wire \blk00000003/blk0000014a/sig00000886 ;
+ wire \blk00000003/blk0000014a/sig00000885 ;
+ wire \blk00000003/blk0000017d/sig000008ed ;
+ wire \blk00000003/blk0000017d/sig000008ec ;
+ wire \blk00000003/blk0000017d/sig000008eb ;
+ wire \blk00000003/blk0000017d/sig000008ea ;
+ wire \blk00000003/blk0000017d/sig000008e9 ;
+ wire \blk00000003/blk0000017d/sig000008e8 ;
+ wire \blk00000003/blk0000017d/sig000008e7 ;
+ wire \blk00000003/blk0000017d/sig000008e6 ;
+ wire \blk00000003/blk0000017d/sig000008e5 ;
+ wire \blk00000003/blk0000017d/sig000008e4 ;
+ wire \blk00000003/blk0000017d/sig000008e3 ;
+ wire \blk00000003/blk0000017d/sig000008e2 ;
+ wire \blk00000003/blk0000017d/sig000008e1 ;
+ wire \blk00000003/blk0000017d/sig000008e0 ;
+ wire \blk00000003/blk0000017d/sig000008df ;
+ wire \blk00000003/blk0000017d/sig000008de ;
+ wire \blk00000003/blk0000017d/sig000008dd ;
+ wire \blk00000003/blk0000017d/sig000008dc ;
+ wire \blk00000003/blk0000017d/sig000008db ;
+ wire \blk00000003/blk0000017d/sig000008da ;
+ wire \blk00000003/blk0000017d/sig000008d9 ;
+ wire \blk00000003/blk0000017d/sig000008d8 ;
+ wire \blk00000003/blk0000017d/sig000008d7 ;
+ wire \blk00000003/blk0000017d/sig000008d6 ;
+ wire \blk00000003/blk0000017d/sig000008d5 ;
+ wire \blk00000003/blk0000017d/sig000008d4 ;
+ wire \blk00000003/blk000001b0/sig0000093c ;
+ wire \blk00000003/blk000001b0/sig0000093b ;
+ wire \blk00000003/blk000001b0/sig0000093a ;
+ wire \blk00000003/blk000001b0/sig00000939 ;
+ wire \blk00000003/blk000001b0/sig00000938 ;
+ wire \blk00000003/blk000001b0/sig00000937 ;
+ wire \blk00000003/blk000001b0/sig00000936 ;
+ wire \blk00000003/blk000001b0/sig00000935 ;
+ wire \blk00000003/blk000001b0/sig00000934 ;
+ wire \blk00000003/blk000001b0/sig00000933 ;
+ wire \blk00000003/blk000001b0/sig00000932 ;
+ wire \blk00000003/blk000001b0/sig00000931 ;
+ wire \blk00000003/blk000001b0/sig00000930 ;
+ wire \blk00000003/blk000001b0/sig0000092f ;
+ wire \blk00000003/blk000001b0/sig0000092e ;
+ wire \blk00000003/blk000001b0/sig0000092d ;
+ wire \blk00000003/blk000001b0/sig0000092c ;
+ wire \blk00000003/blk000001b0/sig0000092b ;
+ wire \blk00000003/blk000001b0/sig0000092a ;
+ wire \blk00000003/blk000001b0/sig00000929 ;
+ wire \blk00000003/blk000001b0/sig00000928 ;
+ wire \blk00000003/blk000001b0/sig00000927 ;
+ wire \blk00000003/blk000001b0/sig00000926 ;
+ wire \blk00000003/blk000001b0/sig00000925 ;
+ wire \blk00000003/blk000001b0/sig00000924 ;
+ wire \blk00000003/blk000001b0/sig00000923 ;
+ wire \blk00000003/blk000001e3/sig0000098b ;
+ wire \blk00000003/blk000001e3/sig0000098a ;
+ wire \blk00000003/blk000001e3/sig00000989 ;
+ wire \blk00000003/blk000001e3/sig00000988 ;
+ wire \blk00000003/blk000001e3/sig00000987 ;
+ wire \blk00000003/blk000001e3/sig00000986 ;
+ wire \blk00000003/blk000001e3/sig00000985 ;
+ wire \blk00000003/blk000001e3/sig00000984 ;
+ wire \blk00000003/blk000001e3/sig00000983 ;
+ wire \blk00000003/blk000001e3/sig00000982 ;
+ wire \blk00000003/blk000001e3/sig00000981 ;
+ wire \blk00000003/blk000001e3/sig00000980 ;
+ wire \blk00000003/blk000001e3/sig0000097f ;
+ wire \blk00000003/blk000001e3/sig0000097e ;
+ wire \blk00000003/blk000001e3/sig0000097d ;
+ wire \blk00000003/blk000001e3/sig0000097c ;
+ wire \blk00000003/blk000001e3/sig0000097b ;
+ wire \blk00000003/blk000001e3/sig0000097a ;
+ wire \blk00000003/blk000001e3/sig00000979 ;
+ wire \blk00000003/blk000001e3/sig00000978 ;
+ wire \blk00000003/blk000001e3/sig00000977 ;
+ wire \blk00000003/blk000001e3/sig00000976 ;
+ wire \blk00000003/blk000001e3/sig00000975 ;
+ wire \blk00000003/blk000001e3/sig00000974 ;
+ wire \blk00000003/blk000001e3/sig00000973 ;
+ wire \blk00000003/blk000001e3/sig00000972 ;
+ wire \blk00000003/blk00000216/sig000009da ;
+ wire \blk00000003/blk00000216/sig000009d9 ;
+ wire \blk00000003/blk00000216/sig000009d8 ;
+ wire \blk00000003/blk00000216/sig000009d7 ;
+ wire \blk00000003/blk00000216/sig000009d6 ;
+ wire \blk00000003/blk00000216/sig000009d5 ;
+ wire \blk00000003/blk00000216/sig000009d4 ;
+ wire \blk00000003/blk00000216/sig000009d3 ;
+ wire \blk00000003/blk00000216/sig000009d2 ;
+ wire \blk00000003/blk00000216/sig000009d1 ;
+ wire \blk00000003/blk00000216/sig000009d0 ;
+ wire \blk00000003/blk00000216/sig000009cf ;
+ wire \blk00000003/blk00000216/sig000009ce ;
+ wire \blk00000003/blk00000216/sig000009cd ;
+ wire \blk00000003/blk00000216/sig000009cc ;
+ wire \blk00000003/blk00000216/sig000009cb ;
+ wire \blk00000003/blk00000216/sig000009ca ;
+ wire \blk00000003/blk00000216/sig000009c9 ;
+ wire \blk00000003/blk00000216/sig000009c8 ;
+ wire \blk00000003/blk00000216/sig000009c7 ;
+ wire \blk00000003/blk00000216/sig000009c6 ;
+ wire \blk00000003/blk00000216/sig000009c5 ;
+ wire \blk00000003/blk00000216/sig000009c4 ;
+ wire \blk00000003/blk00000216/sig000009c3 ;
+ wire \blk00000003/blk00000216/sig000009c2 ;
+ wire \blk00000003/blk00000216/sig000009c1 ;
+ wire \blk00000003/blk00000249/sig00000a29 ;
+ wire \blk00000003/blk00000249/sig00000a28 ;
+ wire \blk00000003/blk00000249/sig00000a27 ;
+ wire \blk00000003/blk00000249/sig00000a26 ;
+ wire \blk00000003/blk00000249/sig00000a25 ;
+ wire \blk00000003/blk00000249/sig00000a24 ;
+ wire \blk00000003/blk00000249/sig00000a23 ;
+ wire \blk00000003/blk00000249/sig00000a22 ;
+ wire \blk00000003/blk00000249/sig00000a21 ;
+ wire \blk00000003/blk00000249/sig00000a20 ;
+ wire \blk00000003/blk00000249/sig00000a1f ;
+ wire \blk00000003/blk00000249/sig00000a1e ;
+ wire \blk00000003/blk00000249/sig00000a1d ;
+ wire \blk00000003/blk00000249/sig00000a1c ;
+ wire \blk00000003/blk00000249/sig00000a1b ;
+ wire \blk00000003/blk00000249/sig00000a1a ;
+ wire \blk00000003/blk00000249/sig00000a19 ;
+ wire \blk00000003/blk00000249/sig00000a18 ;
+ wire \blk00000003/blk00000249/sig00000a17 ;
+ wire \blk00000003/blk00000249/sig00000a16 ;
+ wire \blk00000003/blk00000249/sig00000a15 ;
+ wire \blk00000003/blk00000249/sig00000a14 ;
+ wire \blk00000003/blk00000249/sig00000a13 ;
+ wire \blk00000003/blk00000249/sig00000a12 ;
+ wire \blk00000003/blk00000249/sig00000a11 ;
+ wire \blk00000003/blk00000249/sig00000a10 ;
+ wire \blk00000003/blk0000027c/sig00000a78 ;
+ wire \blk00000003/blk0000027c/sig00000a77 ;
+ wire \blk00000003/blk0000027c/sig00000a76 ;
+ wire \blk00000003/blk0000027c/sig00000a75 ;
+ wire \blk00000003/blk0000027c/sig00000a74 ;
+ wire \blk00000003/blk0000027c/sig00000a73 ;
+ wire \blk00000003/blk0000027c/sig00000a72 ;
+ wire \blk00000003/blk0000027c/sig00000a71 ;
+ wire \blk00000003/blk0000027c/sig00000a70 ;
+ wire \blk00000003/blk0000027c/sig00000a6f ;
+ wire \blk00000003/blk0000027c/sig00000a6e ;
+ wire \blk00000003/blk0000027c/sig00000a6d ;
+ wire \blk00000003/blk0000027c/sig00000a6c ;
+ wire \blk00000003/blk0000027c/sig00000a6b ;
+ wire \blk00000003/blk0000027c/sig00000a6a ;
+ wire \blk00000003/blk0000027c/sig00000a69 ;
+ wire \blk00000003/blk0000027c/sig00000a68 ;
+ wire \blk00000003/blk0000027c/sig00000a67 ;
+ wire \blk00000003/blk0000027c/sig00000a66 ;
+ wire \blk00000003/blk0000027c/sig00000a65 ;
+ wire \blk00000003/blk0000027c/sig00000a64 ;
+ wire \blk00000003/blk0000027c/sig00000a63 ;
+ wire \blk00000003/blk0000027c/sig00000a62 ;
+ wire \blk00000003/blk0000027c/sig00000a61 ;
+ wire \blk00000003/blk0000027c/sig00000a60 ;
+ wire \blk00000003/blk0000027c/sig00000a5f ;
+ wire \blk00000003/blk000002af/sig00000ac7 ;
+ wire \blk00000003/blk000002af/sig00000ac6 ;
+ wire \blk00000003/blk000002af/sig00000ac5 ;
+ wire \blk00000003/blk000002af/sig00000ac4 ;
+ wire \blk00000003/blk000002af/sig00000ac3 ;
+ wire \blk00000003/blk000002af/sig00000ac2 ;
+ wire \blk00000003/blk000002af/sig00000ac1 ;
+ wire \blk00000003/blk000002af/sig00000ac0 ;
+ wire \blk00000003/blk000002af/sig00000abf ;
+ wire \blk00000003/blk000002af/sig00000abe ;
+ wire \blk00000003/blk000002af/sig00000abd ;
+ wire \blk00000003/blk000002af/sig00000abc ;
+ wire \blk00000003/blk000002af/sig00000abb ;
+ wire \blk00000003/blk000002af/sig00000aba ;
+ wire \blk00000003/blk000002af/sig00000ab9 ;
+ wire \blk00000003/blk000002af/sig00000ab8 ;
+ wire \blk00000003/blk000002af/sig00000ab7 ;
+ wire \blk00000003/blk000002af/sig00000ab6 ;
+ wire \blk00000003/blk000002af/sig00000ab5 ;
+ wire \blk00000003/blk000002af/sig00000ab4 ;
+ wire \blk00000003/blk000002af/sig00000ab3 ;
+ wire \blk00000003/blk000002af/sig00000ab2 ;
+ wire \blk00000003/blk000002af/sig00000ab1 ;
+ wire \blk00000003/blk000002af/sig00000ab0 ;
+ wire \blk00000003/blk000002af/sig00000aaf ;
+ wire \blk00000003/blk000002af/sig00000aae ;
+ wire \blk00000003/blk000002e2/sig00000b16 ;
+ wire \blk00000003/blk000002e2/sig00000b15 ;
+ wire \blk00000003/blk000002e2/sig00000b14 ;
+ wire \blk00000003/blk000002e2/sig00000b13 ;
+ wire \blk00000003/blk000002e2/sig00000b12 ;
+ wire \blk00000003/blk000002e2/sig00000b11 ;
+ wire \blk00000003/blk000002e2/sig00000b10 ;
+ wire \blk00000003/blk000002e2/sig00000b0f ;
+ wire \blk00000003/blk000002e2/sig00000b0e ;
+ wire \blk00000003/blk000002e2/sig00000b0d ;
+ wire \blk00000003/blk000002e2/sig00000b0c ;
+ wire \blk00000003/blk000002e2/sig00000b0b ;
+ wire \blk00000003/blk000002e2/sig00000b0a ;
+ wire \blk00000003/blk000002e2/sig00000b09 ;
+ wire \blk00000003/blk000002e2/sig00000b08 ;
+ wire \blk00000003/blk000002e2/sig00000b07 ;
+ wire \blk00000003/blk000002e2/sig00000b06 ;
+ wire \blk00000003/blk000002e2/sig00000b05 ;
+ wire \blk00000003/blk000002e2/sig00000b04 ;
+ wire \blk00000003/blk000002e2/sig00000b03 ;
+ wire \blk00000003/blk000002e2/sig00000b02 ;
+ wire \blk00000003/blk000002e2/sig00000b01 ;
+ wire \blk00000003/blk000002e2/sig00000b00 ;
+ wire \blk00000003/blk000002e2/sig00000aff ;
+ wire \blk00000003/blk000002e2/sig00000afe ;
+ wire \blk00000003/blk000002e2/sig00000afd ;
+ wire \blk00000003/blk00000315/sig00000b65 ;
+ wire \blk00000003/blk00000315/sig00000b64 ;
+ wire \blk00000003/blk00000315/sig00000b63 ;
+ wire \blk00000003/blk00000315/sig00000b62 ;
+ wire \blk00000003/blk00000315/sig00000b61 ;
+ wire \blk00000003/blk00000315/sig00000b60 ;
+ wire \blk00000003/blk00000315/sig00000b5f ;
+ wire \blk00000003/blk00000315/sig00000b5e ;
+ wire \blk00000003/blk00000315/sig00000b5d ;
+ wire \blk00000003/blk00000315/sig00000b5c ;
+ wire \blk00000003/blk00000315/sig00000b5b ;
+ wire \blk00000003/blk00000315/sig00000b5a ;
+ wire \blk00000003/blk00000315/sig00000b59 ;
+ wire \blk00000003/blk00000315/sig00000b58 ;
+ wire \blk00000003/blk00000315/sig00000b57 ;
+ wire \blk00000003/blk00000315/sig00000b56 ;
+ wire \blk00000003/blk00000315/sig00000b55 ;
+ wire \blk00000003/blk00000315/sig00000b54 ;
+ wire \blk00000003/blk00000315/sig00000b53 ;
+ wire \blk00000003/blk00000315/sig00000b52 ;
+ wire \blk00000003/blk00000315/sig00000b51 ;
+ wire \blk00000003/blk00000315/sig00000b50 ;
+ wire \blk00000003/blk00000315/sig00000b4f ;
+ wire \blk00000003/blk00000315/sig00000b4e ;
+ wire \blk00000003/blk00000315/sig00000b4d ;
+ wire \blk00000003/blk00000315/sig00000b4c ;
+ wire \blk00000003/blk00000348/sig00000bb4 ;
+ wire \blk00000003/blk00000348/sig00000bb3 ;
+ wire \blk00000003/blk00000348/sig00000bb2 ;
+ wire \blk00000003/blk00000348/sig00000bb1 ;
+ wire \blk00000003/blk00000348/sig00000bb0 ;
+ wire \blk00000003/blk00000348/sig00000baf ;
+ wire \blk00000003/blk00000348/sig00000bae ;
+ wire \blk00000003/blk00000348/sig00000bad ;
+ wire \blk00000003/blk00000348/sig00000bac ;
+ wire \blk00000003/blk00000348/sig00000bab ;
+ wire \blk00000003/blk00000348/sig00000baa ;
+ wire \blk00000003/blk00000348/sig00000ba9 ;
+ wire \blk00000003/blk00000348/sig00000ba8 ;
+ wire \blk00000003/blk00000348/sig00000ba7 ;
+ wire \blk00000003/blk00000348/sig00000ba6 ;
+ wire \blk00000003/blk00000348/sig00000ba5 ;
+ wire \blk00000003/blk00000348/sig00000ba4 ;
+ wire \blk00000003/blk00000348/sig00000ba3 ;
+ wire \blk00000003/blk00000348/sig00000ba2 ;
+ wire \blk00000003/blk00000348/sig00000ba1 ;
+ wire \blk00000003/blk00000348/sig00000ba0 ;
+ wire \blk00000003/blk00000348/sig00000b9f ;
+ wire \blk00000003/blk00000348/sig00000b9e ;
+ wire \blk00000003/blk00000348/sig00000b9d ;
+ wire \blk00000003/blk00000348/sig00000b9c ;
+ wire \blk00000003/blk00000348/sig00000b9b ;
+ wire \blk00000003/blk0000037b/sig00000c19 ;
+ wire \blk00000003/blk0000037b/sig00000c18 ;
+ wire \blk00000003/blk0000037b/sig00000c17 ;
+ wire \blk00000003/blk0000037b/sig00000c16 ;
+ wire \blk00000003/blk0000037b/sig00000c15 ;
+ wire \blk00000003/blk0000037b/sig00000c14 ;
+ wire \blk00000003/blk0000037b/sig00000c13 ;
+ wire \blk00000003/blk0000037b/sig00000c12 ;
+ wire \blk00000003/blk0000037b/sig00000c11 ;
+ wire \blk00000003/blk0000037b/sig00000c10 ;
+ wire \blk00000003/blk0000037b/sig00000c0f ;
+ wire \blk00000003/blk0000037b/sig00000c0e ;
+ wire \blk00000003/blk0000037b/sig00000c0d ;
+ wire \blk00000003/blk0000037b/sig00000c0c ;
+ wire \blk00000003/blk0000037b/sig00000c0b ;
+ wire \blk00000003/blk0000037b/sig00000c0a ;
+ wire \blk00000003/blk0000037b/sig00000c09 ;
+ wire \blk00000003/blk0000037b/sig00000c08 ;
+ wire \blk00000003/blk0000037b/sig00000c07 ;
+ wire \blk00000003/blk0000037b/sig00000c06 ;
+ wire \blk00000003/blk0000037b/sig00000c05 ;
+ wire \blk00000003/blk0000037b/sig00000c04 ;
+ wire \blk00000003/blk0000037b/sig00000c03 ;
+ wire \blk00000003/blk0000037b/sig00000c02 ;
+ wire \blk00000003/blk0000037b/sig00000c01 ;
+ wire \blk00000003/blk0000037b/sig00000c00 ;
+ wire \blk00000003/blk0000037b/sig00000bff ;
+ wire \blk00000003/blk0000037b/sig00000bfe ;
+ wire \blk00000003/blk0000037b/sig00000bfd ;
+ wire \blk00000003/blk0000037b/sig00000bfc ;
+ wire \blk00000003/blk0000037b/sig00000bfb ;
+ wire \blk00000003/blk0000037b/sig00000bfa ;
+ wire \blk00000003/blk0000037b/sig00000bf9 ;
+ wire \blk00000003/blk0000037b/sig00000bf8 ;
+ wire \blk00000003/blk0000037b/sig00000bf7 ;
+ wire \blk00000003/blk0000037b/sig00000bf6 ;
+ wire \blk00000003/blk0000037b/sig00000bf5 ;
+ wire \blk00000003/blk0000037b/sig00000bf4 ;
+ wire \blk00000003/blk000003b4/sig00000c7e ;
+ wire \blk00000003/blk000003b4/sig00000c7d ;
+ wire \blk00000003/blk000003b4/sig00000c7c ;
+ wire \blk00000003/blk000003b4/sig00000c7b ;
+ wire \blk00000003/blk000003b4/sig00000c7a ;
+ wire \blk00000003/blk000003b4/sig00000c79 ;
+ wire \blk00000003/blk000003b4/sig00000c78 ;
+ wire \blk00000003/blk000003b4/sig00000c77 ;
+ wire \blk00000003/blk000003b4/sig00000c76 ;
+ wire \blk00000003/blk000003b4/sig00000c75 ;
+ wire \blk00000003/blk000003b4/sig00000c74 ;
+ wire \blk00000003/blk000003b4/sig00000c73 ;
+ wire \blk00000003/blk000003b4/sig00000c72 ;
+ wire \blk00000003/blk000003b4/sig00000c71 ;
+ wire \blk00000003/blk000003b4/sig00000c70 ;
+ wire \blk00000003/blk000003b4/sig00000c6f ;
+ wire \blk00000003/blk000003b4/sig00000c6e ;
+ wire \blk00000003/blk000003b4/sig00000c6d ;
+ wire \blk00000003/blk000003b4/sig00000c6c ;
+ wire \blk00000003/blk000003b4/sig00000c6b ;
+ wire \blk00000003/blk000003b4/sig00000c6a ;
+ wire \blk00000003/blk000003b4/sig00000c69 ;
+ wire \blk00000003/blk000003b4/sig00000c68 ;
+ wire \blk00000003/blk000003b4/sig00000c67 ;
+ wire \blk00000003/blk000003b4/sig00000c66 ;
+ wire \blk00000003/blk000003b4/sig00000c65 ;
+ wire \blk00000003/blk000003b4/sig00000c64 ;
+ wire \blk00000003/blk000003b4/sig00000c63 ;
+ wire \blk00000003/blk000003b4/sig00000c62 ;
+ wire \blk00000003/blk000003b4/sig00000c61 ;
+ wire \blk00000003/blk000003b4/sig00000c60 ;
+ wire \blk00000003/blk000003b4/sig00000c5f ;
+ wire \blk00000003/blk000003b4/sig00000c5e ;
+ wire \blk00000003/blk000003b4/sig00000c5d ;
+ wire \blk00000003/blk000003b4/sig00000c5c ;
+ wire \blk00000003/blk000003b4/sig00000c5b ;
+ wire \blk00000003/blk000003b4/sig00000c5a ;
+ wire \blk00000003/blk000003b4/sig00000c59 ;
+ wire \blk00000003/blk0000044d/sig00000cbf ;
+ wire \blk00000003/blk0000044d/sig00000cbe ;
+ wire \blk00000003/blk0000044d/sig00000cbd ;
+ wire \blk00000003/blk0000044d/sig00000cbc ;
+ wire \blk00000003/blk0000044d/sig00000cbb ;
+ wire \blk00000003/blk0000044d/sig00000cba ;
+ wire \blk00000003/blk0000044d/sig00000cb9 ;
+ wire \blk00000003/blk0000044d/sig00000cb8 ;
+ wire \blk00000003/blk0000044d/sig00000cb7 ;
+ wire \blk00000003/blk0000044d/sig00000cb6 ;
+ wire \blk00000003/blk0000044d/sig00000cb5 ;
+ wire \blk00000003/blk0000044d/sig00000cb4 ;
+ wire \blk00000003/blk0000044d/sig00000cb3 ;
+ wire \blk00000003/blk0000044d/sig00000cb2 ;
+ wire \blk00000003/blk0000044d/sig00000cb1 ;
+ wire \blk00000003/blk0000044d/sig00000cb0 ;
+ wire \blk00000003/blk0000044d/sig00000caf ;
+ wire \blk00000003/blk0000044d/sig00000cae ;
+ wire \blk00000003/blk0000044d/sig00000cad ;
+ wire \blk00000003/blk0000044d/sig00000cac ;
+ wire \blk00000003/blk000004a4/sig00000cfc ;
+ wire \blk00000003/blk000004a4/sig00000cfb ;
+ wire \blk00000003/blk000004a4/sig00000cfa ;
+ wire \blk00000003/blk000004a4/sig00000cf9 ;
+ wire \blk00000003/blk000004a4/sig00000cf8 ;
+ wire \blk00000003/blk000004a4/sig00000cf7 ;
+ wire \blk00000003/blk000004a4/sig00000cf6 ;
+ wire \blk00000003/blk000004a4/sig00000cf5 ;
+ wire \blk00000003/blk000004a4/sig00000cf4 ;
+ wire \blk00000003/blk000004a4/sig00000cf3 ;
+ wire \blk00000003/blk000004a4/sig00000cf2 ;
+ wire \blk00000003/blk000004a4/sig00000cf1 ;
+ wire \blk00000003/blk000004a4/sig00000cf0 ;
+ wire \blk00000003/blk000004a4/sig00000cef ;
+ wire \blk00000003/blk000004a4/sig00000cee ;
+ wire \blk00000003/blk000004a4/sig00000ced ;
+ wire \blk00000003/blk000004a4/sig00000cec ;
+ wire \blk00000003/blk000004a4/sig00000ceb ;
+ wire \blk00000003/blk000004a4/sig00000cea ;
+ wire \blk00000003/blk000004a4/sig00000ce9 ;
+ wire NLW_blk00000001_P_UNCONNECTED;
+ wire NLW_blk00000002_G_UNCONNECTED;
+ wire \NLW_blk00000003/blk00000782_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000780_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000077e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000077c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000077a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000778_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000776_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000774_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000772_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000770_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000076e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000076c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000076a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000768_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000766_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000764_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000762_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000760_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000075a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000758_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000756_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000754_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000752_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000750_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000074e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000074c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000074a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000748_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000746_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000744_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000742_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000740_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000073e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000073c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000073a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000738_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000736_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000734_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000732_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000730_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000072e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000072c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000072a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000728_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000726_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000724_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000722_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000720_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000071e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000071c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000071a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000718_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000716_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000714_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000712_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000710_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000070e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000070c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000070a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000708_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000706_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000704_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000702_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000700_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006fe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006fc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006fa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006f8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006f6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006f4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006f2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006f0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ee_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ec_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006cc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006c8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006c6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006c4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006c2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006c0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006be_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006bc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ba_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006b8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006b6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000006a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000069e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000069c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000069a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000698_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000696_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000694_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000692_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000690_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000068e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000068c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000068a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000688_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000686_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000684_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000682_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000680_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000067e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000067c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000067a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000678_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000676_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000674_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000672_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000670_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000066e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000066c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000066a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000668_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000666_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000664_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000662_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000660_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000065e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000065c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000658_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000656_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000654_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000652_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000650_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000648_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000646_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000644_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000642_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000640_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000638_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000636_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000634_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000632_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000630_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000628_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000053a_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000053a_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004d0_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004d0_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f7_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ee_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ea_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e4_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e4_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e0_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e0_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000db_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000da_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d3_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d1_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d0_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cb_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ca_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c8_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c7_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c6_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c0_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c0_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000bc_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000bc_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b6_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b6_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000a1_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000a0_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000098_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000096_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000095_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000094_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000093_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000091_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000090_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000001a_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000016_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000012_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000011_PCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_PCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000c_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000b_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000006_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000006_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000008c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000008b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000008a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000089_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000088_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000087_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000086_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000085_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000084_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000083_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000082_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000081_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000080_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000007f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000007e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000007d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000007c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000007b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000007a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000079_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000078_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000077_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000076_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000075_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000074_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000073_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000072_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000071_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000070_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000006f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000006e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000006d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000006c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000006b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000006a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000069_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000068_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000067_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000066_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000065_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000064_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000063_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000062_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000061_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk00000060_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000005f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000005e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002b/blk0000005d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000148_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000147_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000146_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000145_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000144_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000143_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000142_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000141_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000140_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk0000013f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk0000013e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk0000013d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk0000013c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk0000013b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk0000013a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000139_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000138_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000137_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000136_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000135_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000134_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000133_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000132_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000117/blk00000131_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000017b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000017a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000179_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000178_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000177_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000176_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000175_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000174_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000173_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000172_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000171_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000170_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000016f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000016e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000016d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000016c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000016b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk0000016a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000169_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000168_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000167_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000166_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000165_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014a/blk00000164_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk000001a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk0000019f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk0000019e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk0000019d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk0000019c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk0000019b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk0000019a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk00000199_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk00000198_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017d/blk00000197_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001cf_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001ce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001cd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001cc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001cb_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b0/blk000001ca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000214_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000213_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000212_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000211_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000210_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk0000020f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk0000020e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk0000020d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk0000020c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk0000020b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk0000020a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000209_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000208_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000207_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000206_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000205_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000204_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000203_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000202_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000201_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk00000200_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk000001ff_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk000001fe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e3/blk000001fd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000247_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000246_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000245_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000244_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000243_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000242_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000241_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000240_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk0000023f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk0000023e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk0000023d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk0000023c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk0000023b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk0000023a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000239_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000238_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000237_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000236_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000235_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000234_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000233_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000232_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000231_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000216/blk00000230_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000027a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000279_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000278_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000277_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000276_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000275_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000274_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000273_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000272_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000271_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000270_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000026f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000026e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000026d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000026c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000026b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk0000026a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000269_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000268_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000267_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000266_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000265_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000264_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000249/blk00000263_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk000002a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk0000029f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk0000029e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk0000029d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk0000029c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk0000029b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk0000029a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk00000299_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk00000298_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk00000297_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027c/blk00000296_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002cf_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002ce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002cd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002cc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002cb_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002ca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002af/blk000002c9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000313_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000312_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000311_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000310_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk0000030f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk0000030e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk0000030d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk0000030c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk0000030b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk0000030a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000309_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000308_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000307_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000306_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000305_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000304_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000303_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000302_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000301_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk00000300_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk000002ff_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk000002fe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk000002fd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002e2/blk000002fc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000346_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000345_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000344_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000343_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000342_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000341_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000340_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000033f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000033e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000033d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000033c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000033b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000033a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000339_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000338_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000337_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000336_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000335_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000334_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000333_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000332_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000331_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk00000330_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000315/blk0000032f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000379_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000378_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000377_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000376_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000375_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000374_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000373_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000372_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000371_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000370_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk0000036f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk0000036e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk0000036d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk0000036c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk0000036b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk0000036a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000369_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000368_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000367_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000366_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000365_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000364_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000363_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000348/blk00000362_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000472_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000471_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000470_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk0000046f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk0000046e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk0000046d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk0000046c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk0000046b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk0000046a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000469_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000468_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000467_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000466_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000465_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000464_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000463_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000462_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000044d/blk00000461_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c9_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c8_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c7_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c6_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c5_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c4_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c3_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c2_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c1_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004c0_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004bf_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004be_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004bd_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004bc_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004bb_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004ba_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004b9_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000004a4/blk000004b8_SPO_UNCONNECTED ;
+ wire [17 : 0] coef_din_0;
+ wire [23 : 0] din_1_1;
+ wire [23 : 0] din_2_2;
+ wire [46 : 0] NlwRenamedSig_OI_dout_1;
+ wire [46 : 0] NlwRenamedSig_OI_dout_2;
+ assign
+ rfd = NlwRenamedSig_OI_rfd,
+ dout_1[46] = NlwRenamedSig_OI_dout_1[46],
+ dout_1[45] = NlwRenamedSig_OI_dout_1[45],
+ dout_1[44] = NlwRenamedSig_OI_dout_1[44],
+ dout_1[43] = NlwRenamedSig_OI_dout_1[43],
+ dout_1[42] = NlwRenamedSig_OI_dout_1[42],
+ dout_1[41] = NlwRenamedSig_OI_dout_1[41],
+ dout_1[40] = NlwRenamedSig_OI_dout_1[40],
+ dout_1[39] = NlwRenamedSig_OI_dout_1[39],
+ dout_1[38] = NlwRenamedSig_OI_dout_1[38],
+ dout_1[37] = NlwRenamedSig_OI_dout_1[37],
+ dout_1[36] = NlwRenamedSig_OI_dout_1[36],
+ dout_1[35] = NlwRenamedSig_OI_dout_1[35],
+ dout_1[34] = NlwRenamedSig_OI_dout_1[34],
+ dout_1[33] = NlwRenamedSig_OI_dout_1[33],
+ dout_1[32] = NlwRenamedSig_OI_dout_1[32],
+ dout_1[31] = NlwRenamedSig_OI_dout_1[31],
+ dout_1[30] = NlwRenamedSig_OI_dout_1[30],
+ dout_1[29] = NlwRenamedSig_OI_dout_1[29],
+ dout_1[28] = NlwRenamedSig_OI_dout_1[28],
+ dout_1[27] = NlwRenamedSig_OI_dout_1[27],
+ dout_1[26] = NlwRenamedSig_OI_dout_1[26],
+ dout_1[25] = NlwRenamedSig_OI_dout_1[25],
+ dout_1[24] = NlwRenamedSig_OI_dout_1[24],
+ dout_1[23] = NlwRenamedSig_OI_dout_1[23],
+ dout_1[22] = NlwRenamedSig_OI_dout_1[22],
+ dout_1[21] = NlwRenamedSig_OI_dout_1[21],
+ dout_1[20] = NlwRenamedSig_OI_dout_1[20],
+ dout_1[19] = NlwRenamedSig_OI_dout_1[19],
+ dout_1[18] = NlwRenamedSig_OI_dout_1[18],
+ dout_1[17] = NlwRenamedSig_OI_dout_1[17],
+ dout_1[16] = NlwRenamedSig_OI_dout_1[16],
+ dout_1[15] = NlwRenamedSig_OI_dout_1[15],
+ dout_1[14] = NlwRenamedSig_OI_dout_1[14],
+ dout_1[13] = NlwRenamedSig_OI_dout_1[13],
+ dout_1[12] = NlwRenamedSig_OI_dout_1[12],
+ dout_1[11] = NlwRenamedSig_OI_dout_1[11],
+ dout_1[10] = NlwRenamedSig_OI_dout_1[10],
+ dout_1[9] = NlwRenamedSig_OI_dout_1[9],
+ dout_1[8] = NlwRenamedSig_OI_dout_1[8],
+ dout_1[7] = NlwRenamedSig_OI_dout_1[7],
+ dout_1[6] = NlwRenamedSig_OI_dout_1[6],
+ dout_1[5] = NlwRenamedSig_OI_dout_1[5],
+ dout_1[4] = NlwRenamedSig_OI_dout_1[4],
+ dout_1[3] = NlwRenamedSig_OI_dout_1[3],
+ dout_1[2] = NlwRenamedSig_OI_dout_1[2],
+ dout_1[1] = NlwRenamedSig_OI_dout_1[1],
+ dout_1[0] = NlwRenamedSig_OI_dout_1[0],
+ dout_2[46] = NlwRenamedSig_OI_dout_2[46],
+ dout_2[45] = NlwRenamedSig_OI_dout_2[45],
+ dout_2[44] = NlwRenamedSig_OI_dout_2[44],
+ dout_2[43] = NlwRenamedSig_OI_dout_2[43],
+ dout_2[42] = NlwRenamedSig_OI_dout_2[42],
+ dout_2[41] = NlwRenamedSig_OI_dout_2[41],
+ dout_2[40] = NlwRenamedSig_OI_dout_2[40],
+ dout_2[39] = NlwRenamedSig_OI_dout_2[39],
+ dout_2[38] = NlwRenamedSig_OI_dout_2[38],
+ dout_2[37] = NlwRenamedSig_OI_dout_2[37],
+ dout_2[36] = NlwRenamedSig_OI_dout_2[36],
+ dout_2[35] = NlwRenamedSig_OI_dout_2[35],
+ dout_2[34] = NlwRenamedSig_OI_dout_2[34],
+ dout_2[33] = NlwRenamedSig_OI_dout_2[33],
+ dout_2[32] = NlwRenamedSig_OI_dout_2[32],
+ dout_2[31] = NlwRenamedSig_OI_dout_2[31],
+ dout_2[30] = NlwRenamedSig_OI_dout_2[30],
+ dout_2[29] = NlwRenamedSig_OI_dout_2[29],
+ dout_2[28] = NlwRenamedSig_OI_dout_2[28],
+ dout_2[27] = NlwRenamedSig_OI_dout_2[27],
+ dout_2[26] = NlwRenamedSig_OI_dout_2[26],
+ dout_2[25] = NlwRenamedSig_OI_dout_2[25],
+ dout_2[24] = NlwRenamedSig_OI_dout_2[24],
+ dout_2[23] = NlwRenamedSig_OI_dout_2[23],
+ dout_2[22] = NlwRenamedSig_OI_dout_2[22],
+ dout_2[21] = NlwRenamedSig_OI_dout_2[21],
+ dout_2[20] = NlwRenamedSig_OI_dout_2[20],
+ dout_2[19] = NlwRenamedSig_OI_dout_2[19],
+ dout_2[18] = NlwRenamedSig_OI_dout_2[18],
+ dout_2[17] = NlwRenamedSig_OI_dout_2[17],
+ dout_2[16] = NlwRenamedSig_OI_dout_2[16],
+ dout_2[15] = NlwRenamedSig_OI_dout_2[15],
+ dout_2[14] = NlwRenamedSig_OI_dout_2[14],
+ dout_2[13] = NlwRenamedSig_OI_dout_2[13],
+ dout_2[12] = NlwRenamedSig_OI_dout_2[12],
+ dout_2[11] = NlwRenamedSig_OI_dout_2[11],
+ dout_2[10] = NlwRenamedSig_OI_dout_2[10],
+ dout_2[9] = NlwRenamedSig_OI_dout_2[9],
+ dout_2[8] = NlwRenamedSig_OI_dout_2[8],
+ dout_2[7] = NlwRenamedSig_OI_dout_2[7],
+ dout_2[6] = NlwRenamedSig_OI_dout_2[6],
+ dout_2[5] = NlwRenamedSig_OI_dout_2[5],
+ dout_2[4] = NlwRenamedSig_OI_dout_2[4],
+ dout_2[3] = NlwRenamedSig_OI_dout_2[3],
+ dout_2[2] = NlwRenamedSig_OI_dout_2[2],
+ dout_2[1] = NlwRenamedSig_OI_dout_2[1],
+ dout_2[0] = NlwRenamedSig_OI_dout_2[0],
+ din_1_1[23] = din_1[23],
+ din_1_1[22] = din_1[22],
+ din_1_1[21] = din_1[21],
+ din_1_1[20] = din_1[20],
+ din_1_1[19] = din_1[19],
+ din_1_1[18] = din_1[18],
+ din_1_1[17] = din_1[17],
+ din_1_1[16] = din_1[16],
+ din_1_1[15] = din_1[15],
+ din_1_1[14] = din_1[14],
+ din_1_1[13] = din_1[13],
+ din_1_1[12] = din_1[12],
+ din_1_1[11] = din_1[11],
+ din_1_1[10] = din_1[10],
+ din_1_1[9] = din_1[9],
+ din_1_1[8] = din_1[8],
+ din_1_1[7] = din_1[7],
+ din_1_1[6] = din_1[6],
+ din_1_1[5] = din_1[5],
+ din_1_1[4] = din_1[4],
+ din_1_1[3] = din_1[3],
+ din_1_1[2] = din_1[2],
+ din_1_1[1] = din_1[1],
+ din_1_1[0] = din_1[0],
+ din_2_2[23] = din_2[23],
+ din_2_2[22] = din_2[22],
+ din_2_2[21] = din_2[21],
+ din_2_2[20] = din_2[20],
+ din_2_2[19] = din_2[19],
+ din_2_2[18] = din_2[18],
+ din_2_2[17] = din_2[17],
+ din_2_2[16] = din_2[16],
+ din_2_2[15] = din_2[15],
+ din_2_2[14] = din_2[14],
+ din_2_2[13] = din_2[13],
+ din_2_2[12] = din_2[12],
+ din_2_2[11] = din_2[11],
+ din_2_2[10] = din_2[10],
+ din_2_2[9] = din_2[9],
+ din_2_2[8] = din_2[8],
+ din_2_2[7] = din_2[7],
+ din_2_2[6] = din_2[6],
+ din_2_2[5] = din_2[5],
+ din_2_2[4] = din_2[4],
+ din_2_2[3] = din_2[3],
+ din_2_2[2] = din_2[2],
+ din_2_2[1] = din_2[1],
+ din_2_2[0] = din_2[0],
+ coef_din_0[17] = coef_din[17],
+ coef_din_0[16] = coef_din[16],
+ coef_din_0[15] = coef_din[15],
+ coef_din_0[14] = coef_din[14],
+ coef_din_0[13] = coef_din[13],
+ coef_din_0[12] = coef_din[12],
+ coef_din_0[11] = coef_din[11],
+ coef_din_0[10] = coef_din[10],
+ coef_din_0[9] = coef_din[9],
+ coef_din_0[8] = coef_din[8],
+ coef_din_0[7] = coef_din[7],
+ coef_din_0[6] = coef_din[6],
+ coef_din_0[5] = coef_din[5],
+ coef_din_0[4] = coef_din[4],
+ coef_din_0[3] = coef_din[3],
+ coef_din_0[2] = coef_din[2],
+ coef_din_0[1] = coef_din[1],
+ coef_din_0[0] = coef_din[0];
+ VCC blk00000001 (
+ .P(NLW_blk00000001_P_UNCONNECTED)
+ );
+ GND blk00000002 (
+ .G(NLW_blk00000002_G_UNCONNECTED)
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000783 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000767 ),
+ .Q(\blk00000003/sig00000679 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000782 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000004f6 ),
+ .Q(\blk00000003/sig00000767 ),
+ .Q15(\NLW_blk00000003/blk00000782_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000781 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000766 ),
+ .Q(\blk00000003/sig00000604 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000780 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000004f5 ),
+ .Q(\blk00000003/sig00000766 ),
+ .Q15(\NLW_blk00000003/blk00000780_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000077f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000765 ),
+ .Q(\blk00000003/sig000001c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000077e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000072f ),
+ .Q(\blk00000003/sig00000765 ),
+ .Q15(\NLW_blk00000003/blk0000077e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000077d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000764 ),
+ .Q(\blk00000003/sig000001c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000077c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000733 ),
+ .Q(\blk00000003/sig00000764 ),
+ .Q15(\NLW_blk00000003/blk0000077c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000077b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000763 ),
+ .Q(\blk00000003/sig000001c0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000077a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000731 ),
+ .Q(\blk00000003/sig00000763 ),
+ .Q15(\NLW_blk00000003/blk0000077a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000779 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000762 ),
+ .Q(\blk00000003/sig000001bf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000778 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000072d ),
+ .Q(\blk00000003/sig00000762 ),
+ .Q15(\NLW_blk00000003/blk00000778_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000777 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000761 ),
+ .Q(\blk00000003/sig000001bd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000776 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000725 ),
+ .Q(\blk00000003/sig00000761 ),
+ .Q15(\NLW_blk00000003/blk00000776_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000775 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000760 ),
+ .Q(\blk00000003/sig000001bc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000774 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000729 ),
+ .Q(\blk00000003/sig00000760 ),
+ .Q15(\NLW_blk00000003/blk00000774_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000773 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075f ),
+ .Q(\blk00000003/sig000001be )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000772 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000072b ),
+ .Q(\blk00000003/sig0000075f ),
+ .Q15(\NLW_blk00000003/blk00000772_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000771 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075e ),
+ .Q(\blk00000003/sig000001bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000770 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000727 ),
+ .Q(\blk00000003/sig0000075e ),
+ .Q15(\NLW_blk00000003/blk00000770_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000076f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075d ),
+ .Q(\blk00000003/sig000001ba )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000076e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000071f ),
+ .Q(\blk00000003/sig0000075d ),
+ .Q15(\NLW_blk00000003/blk0000076e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000076d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075c ),
+ .Q(\blk00000003/sig000001b8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000076c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000721 ),
+ .Q(\blk00000003/sig0000075c ),
+ .Q15(\NLW_blk00000003/blk0000076c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000076b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075b ),
+ .Q(\blk00000003/sig000001b7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000076a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000719 ),
+ .Q(\blk00000003/sig0000075b ),
+ .Q15(\NLW_blk00000003/blk0000076a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000769 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000075a ),
+ .Q(\blk00000003/sig000001b9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000768 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000723 ),
+ .Q(\blk00000003/sig0000075a ),
+ .Q15(\NLW_blk00000003/blk00000768_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000767 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000759 ),
+ .Q(\blk00000003/sig000001b5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000766 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000071b ),
+ .Q(\blk00000003/sig00000759 ),
+ .Q15(\NLW_blk00000003/blk00000766_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000765 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000758 ),
+ .Q(\blk00000003/sig000001b4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000764 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000717 ),
+ .Q(\blk00000003/sig00000758 ),
+ .Q15(\NLW_blk00000003/blk00000764_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000763 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000757 ),
+ .Q(\blk00000003/sig000001b6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000762 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000071d ),
+ .Q(\blk00000003/sig00000757 ),
+ .Q15(\NLW_blk00000003/blk00000762_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000761 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000756 ),
+ .Q(\blk00000003/sig000001b2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000760 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070f ),
+ .Q(\blk00000003/sig00000756 ),
+ .Q15(\NLW_blk00000003/blk00000760_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000755 ),
+ .Q(\blk00000003/sig000001b1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000075e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000713 ),
+ .Q(\blk00000003/sig00000755 ),
+ .Q15(\NLW_blk00000003/blk0000075e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000754 ),
+ .Q(\blk00000003/sig000001b3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000075c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000715 ),
+ .Q(\blk00000003/sig00000754 ),
+ .Q15(\NLW_blk00000003/blk0000075c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000075b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000753 ),
+ .Q(\blk00000003/sig000001b0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000075a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000711 ),
+ .Q(\blk00000003/sig00000753 ),
+ .Q15(\NLW_blk00000003/blk0000075a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000759 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000752 ),
+ .Q(\blk00000003/sig000001af )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000758 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000709 ),
+ .Q(\blk00000003/sig00000752 ),
+ .Q15(\NLW_blk00000003/blk00000758_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000757 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000751 ),
+ .Q(\blk00000003/sig000001ad )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000756 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070b ),
+ .Q(\blk00000003/sig00000751 ),
+ .Q15(\NLW_blk00000003/blk00000756_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000755 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000750 ),
+ .Q(\blk00000003/sig000001ac )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000754 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000703 ),
+ .Q(\blk00000003/sig00000750 ),
+ .Q15(\NLW_blk00000003/blk00000754_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000753 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074f ),
+ .Q(\blk00000003/sig000001ae )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000752 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000070d ),
+ .Q(\blk00000003/sig0000074f ),
+ .Q15(\NLW_blk00000003/blk00000752_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000751 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074e ),
+ .Q(\blk00000003/sig0000014b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000750 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000705 ),
+ .Q(\blk00000003/sig0000074e ),
+ .Q15(\NLW_blk00000003/blk00000750_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074d ),
+ .Q(\blk00000003/sig0000014a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000074e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000701 ),
+ .Q(\blk00000003/sig0000074d ),
+ .Q15(\NLW_blk00000003/blk0000074e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074c ),
+ .Q(\blk00000003/sig000001ab )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000074c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000707 ),
+ .Q(\blk00000003/sig0000074c ),
+ .Q15(\NLW_blk00000003/blk0000074c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000074b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074b ),
+ .Q(\blk00000003/sig00000148 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000074a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006f9 ),
+ .Q(\blk00000003/sig0000074b ),
+ .Q15(\NLW_blk00000003/blk0000074a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000749 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000074a ),
+ .Q(\blk00000003/sig00000147 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000748 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006fd ),
+ .Q(\blk00000003/sig0000074a ),
+ .Q15(\NLW_blk00000003/blk00000748_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000747 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000749 ),
+ .Q(\blk00000003/sig00000149 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000746 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ff ),
+ .Q(\blk00000003/sig00000749 ),
+ .Q15(\NLW_blk00000003/blk00000746_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000745 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000748 ),
+ .Q(\blk00000003/sig00000146 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000744 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006fb ),
+ .Q(\blk00000003/sig00000748 ),
+ .Q15(\NLW_blk00000003/blk00000744_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000743 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000747 ),
+ .Q(\blk00000003/sig00000145 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000742 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006f3 ),
+ .Q(\blk00000003/sig00000747 ),
+ .Q15(\NLW_blk00000003/blk00000742_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000741 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000746 ),
+ .Q(\blk00000003/sig00000143 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000740 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006f5 ),
+ .Q(\blk00000003/sig00000746 ),
+ .Q15(\NLW_blk00000003/blk00000740_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000745 ),
+ .Q(\blk00000003/sig00000142 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000073e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ed ),
+ .Q(\blk00000003/sig00000745 ),
+ .Q15(\NLW_blk00000003/blk0000073e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000744 ),
+ .Q(\blk00000003/sig00000144 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000073c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006f7 ),
+ .Q(\blk00000003/sig00000744 ),
+ .Q15(\NLW_blk00000003/blk0000073c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000073b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000743 ),
+ .Q(\blk00000003/sig00000140 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000073a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006ef ),
+ .Q(\blk00000003/sig00000743 ),
+ .Q15(\NLW_blk00000003/blk0000073a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000739 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000742 ),
+ .Q(\blk00000003/sig0000013f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000738 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006eb ),
+ .Q(\blk00000003/sig00000742 ),
+ .Q15(\NLW_blk00000003/blk00000738_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000737 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000741 ),
+ .Q(\blk00000003/sig00000141 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000736 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006f1 ),
+ .Q(\blk00000003/sig00000741 ),
+ .Q15(\NLW_blk00000003/blk00000736_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000735 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000740 ),
+ .Q(\blk00000003/sig0000013d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000734 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006e3 ),
+ .Q(\blk00000003/sig00000740 ),
+ .Q15(\NLW_blk00000003/blk00000734_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000733 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073f ),
+ .Q(\blk00000003/sig0000013c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000732 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006e7 ),
+ .Q(\blk00000003/sig0000073f ),
+ .Q15(\NLW_blk00000003/blk00000732_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000731 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073e ),
+ .Q(\blk00000003/sig0000013e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000730 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006e9 ),
+ .Q(\blk00000003/sig0000073e ),
+ .Q15(\NLW_blk00000003/blk00000730_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073d ),
+ .Q(\blk00000003/sig0000013b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000072e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006e5 ),
+ .Q(\blk00000003/sig0000073d ),
+ .Q15(\NLW_blk00000003/blk0000072e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073c ),
+ .Q(\blk00000003/sig0000013a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000072c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006e1 ),
+ .Q(\blk00000003/sig0000073c ),
+ .Q15(\NLW_blk00000003/blk0000072c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000072b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073b ),
+ .Q(\blk00000003/sig00000138 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000072a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006d9 ),
+ .Q(\blk00000003/sig0000073b ),
+ .Q15(\NLW_blk00000003/blk0000072a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000729 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000073a ),
+ .Q(\blk00000003/sig00000137 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000728 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006dd ),
+ .Q(\blk00000003/sig0000073a ),
+ .Q15(\NLW_blk00000003/blk00000728_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000727 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000739 ),
+ .Q(\blk00000003/sig00000139 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000726 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006df ),
+ .Q(\blk00000003/sig00000739 ),
+ .Q15(\NLW_blk00000003/blk00000726_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000725 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000738 ),
+ .Q(\blk00000003/sig00000135 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000724 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006d7 ),
+ .Q(\blk00000003/sig00000738 ),
+ .Q15(\NLW_blk00000003/blk00000724_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000723 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000737 ),
+ .Q(\blk00000003/sig00000134 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000722 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006d5 ),
+ .Q(\blk00000003/sig00000737 ),
+ .Q15(\NLW_blk00000003/blk00000722_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000721 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000736 ),
+ .Q(\blk00000003/sig00000136 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000720 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000006db ),
+ .Q(\blk00000003/sig00000736 ),
+ .Q15(\NLW_blk00000003/blk00000720_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000071f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000735 ),
+ .Q(\blk00000003/sig000004f6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000071e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001df ),
+ .Q(\blk00000003/sig00000735 ),
+ .Q15(\NLW_blk00000003/blk0000071e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000071d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000734 ),
+ .Q(\blk00000003/sig0000067a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000071c (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001c3 ),
+ .Q(\blk00000003/sig00000734 ),
+ .Q15(\NLW_blk00000003/blk0000071c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000071b (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000732 ),
+ .Q(\blk00000003/sig00000733 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000071a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000216 ),
+ .Q(\blk00000003/sig00000732 ),
+ .Q15(\NLW_blk00000003/blk0000071a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000719 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000730 ),
+ .Q(\blk00000003/sig00000731 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000718 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000215 ),
+ .Q(\blk00000003/sig00000730 ),
+ .Q15(\NLW_blk00000003/blk00000718_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000717 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000072e ),
+ .Q(\blk00000003/sig0000072f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000716 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000217 ),
+ .Q(\blk00000003/sig0000072e ),
+ .Q15(\NLW_blk00000003/blk00000716_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000715 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000072c ),
+ .Q(\blk00000003/sig0000072d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000714 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000214 ),
+ .Q(\blk00000003/sig0000072c ),
+ .Q15(\NLW_blk00000003/blk00000714_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000713 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000072a ),
+ .Q(\blk00000003/sig0000072b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000712 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000213 ),
+ .Q(\blk00000003/sig0000072a ),
+ .Q15(\NLW_blk00000003/blk00000712_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000711 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000728 ),
+ .Q(\blk00000003/sig00000729 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000710 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000211 ),
+ .Q(\blk00000003/sig00000728 ),
+ .Q15(\NLW_blk00000003/blk00000710_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000070f (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000726 ),
+ .Q(\blk00000003/sig00000727 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000070e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000210 ),
+ .Q(\blk00000003/sig00000726 ),
+ .Q15(\NLW_blk00000003/blk0000070e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000070d (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000724 ),
+ .Q(\blk00000003/sig00000725 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000070c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000212 ),
+ .Q(\blk00000003/sig00000724 ),
+ .Q15(\NLW_blk00000003/blk0000070c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000070b (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000722 ),
+ .Q(\blk00000003/sig00000723 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000070a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020e ),
+ .Q(\blk00000003/sig00000722 ),
+ .Q15(\NLW_blk00000003/blk0000070a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000709 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000720 ),
+ .Q(\blk00000003/sig00000721 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000708 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020d ),
+ .Q(\blk00000003/sig00000720 ),
+ .Q15(\NLW_blk00000003/blk00000708_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000707 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000071e ),
+ .Q(\blk00000003/sig0000071f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000706 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020f ),
+ .Q(\blk00000003/sig0000071e ),
+ .Q15(\NLW_blk00000003/blk00000706_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000705 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000071c ),
+ .Q(\blk00000003/sig0000071d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000704 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020b ),
+ .Q(\blk00000003/sig0000071c ),
+ .Q15(\NLW_blk00000003/blk00000704_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000703 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000071a ),
+ .Q(\blk00000003/sig0000071b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000702 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020a ),
+ .Q(\blk00000003/sig0000071a ),
+ .Q15(\NLW_blk00000003/blk00000702_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000701 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000718 ),
+ .Q(\blk00000003/sig00000719 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000700 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020c ),
+ .Q(\blk00000003/sig00000718 ),
+ .Q15(\NLW_blk00000003/blk00000700_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006ff (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000716 ),
+ .Q(\blk00000003/sig00000717 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006fe (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000209 ),
+ .Q(\blk00000003/sig00000716 ),
+ .Q15(\NLW_blk00000003/blk000006fe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006fd (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000714 ),
+ .Q(\blk00000003/sig00000715 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006fc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000208 ),
+ .Q(\blk00000003/sig00000714 ),
+ .Q15(\NLW_blk00000003/blk000006fc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006fb (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000712 ),
+ .Q(\blk00000003/sig00000713 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006fa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000206 ),
+ .Q(\blk00000003/sig00000712 ),
+ .Q15(\NLW_blk00000003/blk000006fa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006f9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000710 ),
+ .Q(\blk00000003/sig00000711 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006f8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000205 ),
+ .Q(\blk00000003/sig00000710 ),
+ .Q15(\NLW_blk00000003/blk000006f8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006f7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000070e ),
+ .Q(\blk00000003/sig0000070f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006f6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000207 ),
+ .Q(\blk00000003/sig0000070e ),
+ .Q15(\NLW_blk00000003/blk000006f6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006f5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000070c ),
+ .Q(\blk00000003/sig0000070d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006f4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000203 ),
+ .Q(\blk00000003/sig0000070c ),
+ .Q15(\NLW_blk00000003/blk000006f4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006f3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig0000070a ),
+ .Q(\blk00000003/sig0000070b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006f2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000202 ),
+ .Q(\blk00000003/sig0000070a ),
+ .Q15(\NLW_blk00000003/blk000006f2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006f1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000708 ),
+ .Q(\blk00000003/sig00000709 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006f0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000204 ),
+ .Q(\blk00000003/sig00000708 ),
+ .Q15(\NLW_blk00000003/blk000006f0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006ef (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000706 ),
+ .Q(\blk00000003/sig00000707 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ee (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000200 ),
+ .Q(\blk00000003/sig00000706 ),
+ .Q15(\NLW_blk00000003/blk000006ee_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006ed (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000704 ),
+ .Q(\blk00000003/sig00000705 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ec (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ff ),
+ .Q(\blk00000003/sig00000704 ),
+ .Q15(\NLW_blk00000003/blk000006ec_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006eb (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000702 ),
+ .Q(\blk00000003/sig00000703 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ea (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000201 ),
+ .Q(\blk00000003/sig00000702 ),
+ .Q15(\NLW_blk00000003/blk000006ea_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006e9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig00000700 ),
+ .Q(\blk00000003/sig00000701 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006e8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fe ),
+ .Q(\blk00000003/sig00000700 ),
+ .Q15(\NLW_blk00000003/blk000006e8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006e7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006fe ),
+ .Q(\blk00000003/sig000006ff )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006e6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fd ),
+ .Q(\blk00000003/sig000006fe ),
+ .Q15(\NLW_blk00000003/blk000006e6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006e5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006fc ),
+ .Q(\blk00000003/sig000006fd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006e4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fb ),
+ .Q(\blk00000003/sig000006fc ),
+ .Q15(\NLW_blk00000003/blk000006e4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006e3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006fa ),
+ .Q(\blk00000003/sig000006fb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006e2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fa ),
+ .Q(\blk00000003/sig000006fa ),
+ .Q15(\NLW_blk00000003/blk000006e2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006e1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006f8 ),
+ .Q(\blk00000003/sig000006f9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006e0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fc ),
+ .Q(\blk00000003/sig000006f8 ),
+ .Q15(\NLW_blk00000003/blk000006e0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006df (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006f6 ),
+ .Q(\blk00000003/sig000006f7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006de (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f8 ),
+ .Q(\blk00000003/sig000006f6 ),
+ .Q15(\NLW_blk00000003/blk000006de_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006dd (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006f4 ),
+ .Q(\blk00000003/sig000006f5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006dc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f7 ),
+ .Q(\blk00000003/sig000006f4 ),
+ .Q15(\NLW_blk00000003/blk000006dc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006db (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006f2 ),
+ .Q(\blk00000003/sig000006f3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006da (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f9 ),
+ .Q(\blk00000003/sig000006f2 ),
+ .Q15(\NLW_blk00000003/blk000006da_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006d9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006f0 ),
+ .Q(\blk00000003/sig000006f1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006d8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f5 ),
+ .Q(\blk00000003/sig000006f0 ),
+ .Q15(\NLW_blk00000003/blk000006d8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006d7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006ee ),
+ .Q(\blk00000003/sig000006ef )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006d6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f4 ),
+ .Q(\blk00000003/sig000006ee ),
+ .Q15(\NLW_blk00000003/blk000006d6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006d5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006ec ),
+ .Q(\blk00000003/sig000006ed )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006d4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f6 ),
+ .Q(\blk00000003/sig000006ec ),
+ .Q15(\NLW_blk00000003/blk000006d4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006d3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006ea ),
+ .Q(\blk00000003/sig000006eb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006d2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f3 ),
+ .Q(\blk00000003/sig000006ea ),
+ .Q15(\NLW_blk00000003/blk000006d2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006d1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006e8 ),
+ .Q(\blk00000003/sig000006e9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006d0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f2 ),
+ .Q(\blk00000003/sig000006e8 ),
+ .Q15(\NLW_blk00000003/blk000006d0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cf (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006e6 ),
+ .Q(\blk00000003/sig000006e7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ce (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f0 ),
+ .Q(\blk00000003/sig000006e6 ),
+ .Q15(\NLW_blk00000003/blk000006ce_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cd (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006e4 ),
+ .Q(\blk00000003/sig000006e5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006cc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ef ),
+ .Q(\blk00000003/sig000006e4 ),
+ .Q15(\NLW_blk00000003/blk000006cc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006cb (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006e2 ),
+ .Q(\blk00000003/sig000006e3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ca (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f1 ),
+ .Q(\blk00000003/sig000006e2 ),
+ .Q15(\NLW_blk00000003/blk000006ca_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006c9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006e0 ),
+ .Q(\blk00000003/sig000006e1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006c8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ee ),
+ .Q(\blk00000003/sig000006e0 ),
+ .Q15(\NLW_blk00000003/blk000006c8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006c7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006de ),
+ .Q(\blk00000003/sig000006df )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006c6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ed ),
+ .Q(\blk00000003/sig000006de ),
+ .Q15(\NLW_blk00000003/blk000006c6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006c5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006dc ),
+ .Q(\blk00000003/sig000006dd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006c4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001eb ),
+ .Q(\blk00000003/sig000006dc ),
+ .Q15(\NLW_blk00000003/blk000006c4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006c3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006da ),
+ .Q(\blk00000003/sig000006db )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006c2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ea ),
+ .Q(\blk00000003/sig000006da ),
+ .Q15(\NLW_blk00000003/blk000006c2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006c1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006d8 ),
+ .Q(\blk00000003/sig000006d9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006c0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ec ),
+ .Q(\blk00000003/sig000006d8 ),
+ .Q15(\NLW_blk00000003/blk000006c0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006bf (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006d6 ),
+ .Q(\blk00000003/sig000006d7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006be (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e9 ),
+ .Q(\blk00000003/sig000006d6 ),
+ .Q15(\NLW_blk00000003/blk000006be_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006bd (
+ .C(clk),
+ .CE(\blk00000003/sig00000683 ),
+ .D(\blk00000003/sig000006d4 ),
+ .Q(\blk00000003/sig000006d5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006bc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ac ),
+ .CE(\blk00000003/sig00000683 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e8 ),
+ .Q(\blk00000003/sig000006d4 ),
+ .Q15(\NLW_blk00000003/blk000006bc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006d3 ),
+ .Q(\blk00000003/sig00000682 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ba (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e5 ),
+ .Q(\blk00000003/sig000006d3 ),
+ .Q15(\NLW_blk00000003/blk000006ba_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006d2 ),
+ .Q(\blk00000003/sig0000056e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006b8 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000217 ),
+ .Q(\blk00000003/sig000006d2 ),
+ .Q15(\NLW_blk00000003/blk000006b8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006d1 ),
+ .Q(\blk00000003/sig00000681 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006b6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000004e6 ),
+ .Q(\blk00000003/sig000006d1 ),
+ .Q15(\NLW_blk00000003/blk000006b6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006d0 ),
+ .Q(\blk00000003/sig0000056c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006b4 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000215 ),
+ .Q(\blk00000003/sig000006d0 ),
+ .Q15(\NLW_blk00000003/blk000006b4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006cf ),
+ .Q(\blk00000003/sig0000056b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006b2 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000214 ),
+ .Q(\blk00000003/sig000006cf ),
+ .Q15(\NLW_blk00000003/blk000006b2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ce ),
+ .Q(\blk00000003/sig0000056d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006b0 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000216 ),
+ .Q(\blk00000003/sig000006ce ),
+ .Q15(\NLW_blk00000003/blk000006b0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006af (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006cd ),
+ .Q(\blk00000003/sig00000569 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ae (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000212 ),
+ .Q(\blk00000003/sig000006cd ),
+ .Q15(\NLW_blk00000003/blk000006ae_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006cc ),
+ .Q(\blk00000003/sig00000568 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006ac (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000211 ),
+ .Q(\blk00000003/sig000006cc ),
+ .Q15(\NLW_blk00000003/blk000006ac_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006cb ),
+ .Q(\blk00000003/sig0000056a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006aa (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000213 ),
+ .Q(\blk00000003/sig000006cb ),
+ .Q15(\NLW_blk00000003/blk000006aa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ca ),
+ .Q(\blk00000003/sig00000567 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006a8 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000210 ),
+ .Q(\blk00000003/sig000006ca ),
+ .Q15(\NLW_blk00000003/blk000006a8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c9 ),
+ .Q(\blk00000003/sig00000566 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006a6 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020f ),
+ .Q(\blk00000003/sig000006c9 ),
+ .Q15(\NLW_blk00000003/blk000006a6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c8 ),
+ .Q(\blk00000003/sig00000564 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006a4 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020d ),
+ .Q(\blk00000003/sig000006c8 ),
+ .Q15(\NLW_blk00000003/blk000006a4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c7 ),
+ .Q(\blk00000003/sig00000563 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006a2 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020c ),
+ .Q(\blk00000003/sig000006c7 ),
+ .Q15(\NLW_blk00000003/blk000006a2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000006a1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c6 ),
+ .Q(\blk00000003/sig00000565 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000006a0 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020e ),
+ .Q(\blk00000003/sig000006c6 ),
+ .Q15(\NLW_blk00000003/blk000006a0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000069f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c5 ),
+ .Q(\blk00000003/sig00000561 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000069e (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020a ),
+ .Q(\blk00000003/sig000006c5 ),
+ .Q15(\NLW_blk00000003/blk0000069e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000069d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c4 ),
+ .Q(\blk00000003/sig00000560 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000069c (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000209 ),
+ .Q(\blk00000003/sig000006c4 ),
+ .Q15(\NLW_blk00000003/blk0000069c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000069b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c3 ),
+ .Q(\blk00000003/sig00000562 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000069a (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020b ),
+ .Q(\blk00000003/sig000006c3 ),
+ .Q15(\NLW_blk00000003/blk0000069a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000699 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c2 ),
+ .Q(\blk00000003/sig0000055e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000698 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000207 ),
+ .Q(\blk00000003/sig000006c2 ),
+ .Q15(\NLW_blk00000003/blk00000698_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000697 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c1 ),
+ .Q(\blk00000003/sig0000055d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000696 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000206 ),
+ .Q(\blk00000003/sig000006c1 ),
+ .Q15(\NLW_blk00000003/blk00000696_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000695 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006c0 ),
+ .Q(\blk00000003/sig0000055f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000694 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000208 ),
+ .Q(\blk00000003/sig000006c0 ),
+ .Q15(\NLW_blk00000003/blk00000694_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000693 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006bf ),
+ .Q(\blk00000003/sig0000055c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000692 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000205 ),
+ .Q(\blk00000003/sig000006bf ),
+ .Q15(\NLW_blk00000003/blk00000692_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000691 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006be ),
+ .Q(\blk00000003/sig0000055b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000690 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000204 ),
+ .Q(\blk00000003/sig000006be ),
+ .Q15(\NLW_blk00000003/blk00000690_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000068f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006bd ),
+ .Q(\blk00000003/sig00000559 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000068e (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000202 ),
+ .Q(\blk00000003/sig000006bd ),
+ .Q15(\NLW_blk00000003/blk0000068e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000068d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006bc ),
+ .Q(\blk00000003/sig00000558 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000068c (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000201 ),
+ .Q(\blk00000003/sig000006bc ),
+ .Q15(\NLW_blk00000003/blk0000068c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000068b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006bb ),
+ .Q(\blk00000003/sig0000055a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000068a (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000203 ),
+ .Q(\blk00000003/sig000006bb ),
+ .Q15(\NLW_blk00000003/blk0000068a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000689 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ba ),
+ .Q(\blk00000003/sig0000059e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000688 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ff ),
+ .Q(\blk00000003/sig000006ba ),
+ .Q15(\NLW_blk00000003/blk00000688_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000687 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b9 ),
+ .Q(\blk00000003/sig0000059d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000686 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fe ),
+ .Q(\blk00000003/sig000006b9 ),
+ .Q15(\NLW_blk00000003/blk00000686_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000685 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b8 ),
+ .Q(\blk00000003/sig00000557 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000684 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000200 ),
+ .Q(\blk00000003/sig000006b8 ),
+ .Q15(\NLW_blk00000003/blk00000684_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000683 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b7 ),
+ .Q(\blk00000003/sig0000059b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000682 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fc ),
+ .Q(\blk00000003/sig000006b7 ),
+ .Q15(\NLW_blk00000003/blk00000682_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000681 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b6 ),
+ .Q(\blk00000003/sig0000059a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000680 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fb ),
+ .Q(\blk00000003/sig000006b6 ),
+ .Q15(\NLW_blk00000003/blk00000680_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000067f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b5 ),
+ .Q(\blk00000003/sig0000059c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000067e (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fd ),
+ .Q(\blk00000003/sig000006b5 ),
+ .Q15(\NLW_blk00000003/blk0000067e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000067d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b4 ),
+ .Q(\blk00000003/sig00000599 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000067c (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fa ),
+ .Q(\blk00000003/sig000006b4 ),
+ .Q15(\NLW_blk00000003/blk0000067c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000067b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b3 ),
+ .Q(\blk00000003/sig00000598 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000067a (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f9 ),
+ .Q(\blk00000003/sig000006b3 ),
+ .Q15(\NLW_blk00000003/blk0000067a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000679 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b2 ),
+ .Q(\blk00000003/sig00000596 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000678 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f7 ),
+ .Q(\blk00000003/sig000006b2 ),
+ .Q15(\NLW_blk00000003/blk00000678_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000677 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b1 ),
+ .Q(\blk00000003/sig00000595 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000676 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f6 ),
+ .Q(\blk00000003/sig000006b1 ),
+ .Q15(\NLW_blk00000003/blk00000676_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000675 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006b0 ),
+ .Q(\blk00000003/sig00000597 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000674 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f8 ),
+ .Q(\blk00000003/sig000006b0 ),
+ .Q15(\NLW_blk00000003/blk00000674_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000673 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006af ),
+ .Q(\blk00000003/sig00000593 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000672 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f4 ),
+ .Q(\blk00000003/sig000006af ),
+ .Q15(\NLW_blk00000003/blk00000672_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000671 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ae ),
+ .Q(\blk00000003/sig00000592 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000670 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f3 ),
+ .Q(\blk00000003/sig000006ae ),
+ .Q15(\NLW_blk00000003/blk00000670_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000066f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ad ),
+ .Q(\blk00000003/sig00000594 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000066e (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f5 ),
+ .Q(\blk00000003/sig000006ad ),
+ .Q15(\NLW_blk00000003/blk0000066e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000066d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ac ),
+ .Q(\blk00000003/sig00000590 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000066c (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f1 ),
+ .Q(\blk00000003/sig000006ac ),
+ .Q15(\NLW_blk00000003/blk0000066c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000066b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006ab ),
+ .Q(\blk00000003/sig0000058f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000066a (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f0 ),
+ .Q(\blk00000003/sig000006ab ),
+ .Q15(\NLW_blk00000003/blk0000066a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000669 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006aa ),
+ .Q(\blk00000003/sig00000591 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000668 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f2 ),
+ .Q(\blk00000003/sig000006aa ),
+ .Q15(\NLW_blk00000003/blk00000668_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000667 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a9 ),
+ .Q(\blk00000003/sig0000058e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000666 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ef ),
+ .Q(\blk00000003/sig000006a9 ),
+ .Q15(\NLW_blk00000003/blk00000666_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000665 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a8 ),
+ .Q(\blk00000003/sig0000058d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000664 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ee ),
+ .Q(\blk00000003/sig000006a8 ),
+ .Q15(\NLW_blk00000003/blk00000664_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000663 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a7 ),
+ .Q(\blk00000003/sig0000058b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000662 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ec ),
+ .Q(\blk00000003/sig000006a7 ),
+ .Q15(\NLW_blk00000003/blk00000662_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000661 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a6 ),
+ .Q(\blk00000003/sig0000058a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000660 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001eb ),
+ .Q(\blk00000003/sig000006a6 ),
+ .Q15(\NLW_blk00000003/blk00000660_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a5 ),
+ .Q(\blk00000003/sig0000058c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000065e (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ed ),
+ .Q(\blk00000003/sig000006a5 ),
+ .Q15(\NLW_blk00000003/blk0000065e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a4 ),
+ .Q(\blk00000003/sig00000588 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000065c (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e9 ),
+ .Q(\blk00000003/sig000006a4 ),
+ .Q15(\NLW_blk00000003/blk0000065c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a3 ),
+ .Q(\blk00000003/sig00000587 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000065a (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e8 ),
+ .Q(\blk00000003/sig000006a3 ),
+ .Q15(\NLW_blk00000003/blk0000065a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000659 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a2 ),
+ .Q(\blk00000003/sig00000589 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000658 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ea ),
+ .Q(\blk00000003/sig000006a2 ),
+ .Q15(\NLW_blk00000003/blk00000658_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000657 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a1 ),
+ .Q(\blk00000003/sig000002c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000656 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000002a8 ),
+ .Q(\blk00000003/sig000006a1 ),
+ .Q15(\NLW_blk00000003/blk00000656_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000655 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000006a0 ),
+ .Q(\blk00000003/sig00000680 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000654 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d0 ),
+ .Q(\blk00000003/sig000006a0 ),
+ .Q15(\NLW_blk00000003/blk00000654_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000653 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000069f ),
+ .Q(\blk00000003/sig000002c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000652 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig000000ac ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d4 ),
+ .Q(\blk00000003/sig0000069f ),
+ .Q15(\NLW_blk00000003/blk00000652_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000651 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000069e ),
+ .Q(\blk00000003/sig000005c8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000650 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[0]),
+ .Q(\blk00000003/sig0000069e ),
+ .Q15(\NLW_blk00000003/blk00000650_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000064f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000069d ),
+ .Q(\blk00000003/sig000005c7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000064e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[1]),
+ .Q(\blk00000003/sig0000069d ),
+ .Q15(\NLW_blk00000003/blk0000064e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000064d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000069c ),
+ .Q(\blk00000003/sig000005c5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000064c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[3]),
+ .Q(\blk00000003/sig0000069c ),
+ .Q15(\NLW_blk00000003/blk0000064c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000064b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000069b ),
+ .Q(\blk00000003/sig000005c4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000064a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[4]),
+ .Q(\blk00000003/sig0000069b ),
+ .Q15(\NLW_blk00000003/blk0000064a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000649 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000069a ),
+ .Q(\blk00000003/sig000005c6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000648 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[2]),
+ .Q(\blk00000003/sig0000069a ),
+ .Q15(\NLW_blk00000003/blk00000648_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000647 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000699 ),
+ .Q(\blk00000003/sig000005c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000646 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[6]),
+ .Q(\blk00000003/sig00000699 ),
+ .Q15(\NLW_blk00000003/blk00000646_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000645 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000698 ),
+ .Q(\blk00000003/sig000005c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000644 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[7]),
+ .Q(\blk00000003/sig00000698 ),
+ .Q15(\NLW_blk00000003/blk00000644_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000643 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000697 ),
+ .Q(\blk00000003/sig000005c3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000642 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[5]),
+ .Q(\blk00000003/sig00000697 ),
+ .Q15(\NLW_blk00000003/blk00000642_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000641 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000696 ),
+ .Q(\blk00000003/sig000005bf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000640 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[9]),
+ .Q(\blk00000003/sig00000696 ),
+ .Q15(\NLW_blk00000003/blk00000640_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000063f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000695 ),
+ .Q(\blk00000003/sig000005be )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000063e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[10]),
+ .Q(\blk00000003/sig00000695 ),
+ .Q15(\NLW_blk00000003/blk0000063e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000063d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000694 ),
+ .Q(\blk00000003/sig000005c0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000063c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[8]),
+ .Q(\blk00000003/sig00000694 ),
+ .Q15(\NLW_blk00000003/blk0000063c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000063b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000693 ),
+ .Q(\blk00000003/sig000005bd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000063a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[11]),
+ .Q(\blk00000003/sig00000693 ),
+ .Q15(\NLW_blk00000003/blk0000063a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000639 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000692 ),
+ .Q(\blk00000003/sig000005bc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000638 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[12]),
+ .Q(\blk00000003/sig00000692 ),
+ .Q15(\NLW_blk00000003/blk00000638_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000637 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000691 ),
+ .Q(\blk00000003/sig000005ba )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000636 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[14]),
+ .Q(\blk00000003/sig00000691 ),
+ .Q15(\NLW_blk00000003/blk00000636_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000635 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000690 ),
+ .Q(\blk00000003/sig000005b9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000634 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[15]),
+ .Q(\blk00000003/sig00000690 ),
+ .Q15(\NLW_blk00000003/blk00000634_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000633 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000068f ),
+ .Q(\blk00000003/sig000005bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000632 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[13]),
+ .Q(\blk00000003/sig0000068f ),
+ .Q15(\NLW_blk00000003/blk00000632_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000631 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000068e ),
+ .Q(\blk00000003/sig000005b7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000630 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[17]),
+ .Q(\blk00000003/sig0000068e ),
+ .Q15(\NLW_blk00000003/blk00000630_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000062f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000068d ),
+ .Q(\blk00000003/sig000005b8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000062e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[16]),
+ .Q(\blk00000003/sig0000068d ),
+ .Q15(\NLW_blk00000003/blk0000062e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000062d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000068c ),
+ .Q(\blk00000003/sig000004f5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000062c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ac ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d6 ),
+ .Q(\blk00000003/sig0000068c ),
+ .Q15(\NLW_blk00000003/blk0000062c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000062b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000068b ),
+ .Q(\blk00000003/sig000005f0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000062a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ce ),
+ .Q(\blk00000003/sig0000068b ),
+ .Q15(\NLW_blk00000003/blk0000062a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000629 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000068a ),
+ .Q(\blk00000003/sig000001df )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000628 (
+ .A0(\blk00000003/sig000000ac ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e1 ),
+ .Q(\blk00000003/sig0000068a ),
+ .Q15(\NLW_blk00000003/blk00000628_Q15_UNCONNECTED )
+ );
+ INV \blk00000003/blk00000627 (
+ .I(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig0000027e )
+ );
+ INV \blk00000003/blk00000626 (
+ .I(\blk00000003/sig00000287 ),
+ .O(\blk00000003/sig00000277 )
+ );
+ INV \blk00000003/blk00000625 (
+ .I(\blk00000003/sig000001ce ),
+ .O(\blk00000003/sig0000028c )
+ );
+ INV \blk00000003/blk00000624 (
+ .I(\blk00000003/sig0000028e ),
+ .O(\blk00000003/sig0000027d )
+ );
+ INV \blk00000003/blk00000623 (
+ .I(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig00000678 )
+ );
+ INV \blk00000003/blk00000622 (
+ .I(\blk00000003/sig00000242 ),
+ .O(\blk00000003/sig0000028f )
+ );
+ INV \blk00000003/blk00000621 (
+ .I(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig00000278 )
+ );
+ INV \blk00000003/blk00000620 (
+ .I(\blk00000003/sig0000021b ),
+ .O(\blk00000003/sig00000243 )
+ );
+ INV \blk00000003/blk0000061f (
+ .I(\blk00000003/sig000001cc ),
+ .O(\blk00000003/sig000000ba )
+ );
+ INV \blk00000003/blk0000061e (
+ .I(\blk00000003/sig000000ad ),
+ .O(\blk00000003/sig000001c7 )
+ );
+ INV \blk00000003/blk0000061d (
+ .I(\blk00000003/sig000000b7 ),
+ .O(\blk00000003/sig000000b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000061c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000604 ),
+ .Q(\blk00000003/sig0000067c )
+ );
+ LUT3 #(
+ .INIT ( 8'h40 ))
+ \blk00000003/blk0000061b (
+ .I0(\blk00000003/sig0000024b ),
+ .I1(\blk00000003/sig00000234 ),
+ .I2(coef_ld),
+ .O(\blk00000003/sig00000247 )
+ );
+ LUT5 #(
+ .INIT ( 32'h4F444444 ))
+ \blk00000003/blk0000061a (
+ .I0(\blk00000003/sig00000248 ),
+ .I1(\blk00000003/sig0000023c ),
+ .I2(\blk00000003/sig0000024b ),
+ .I3(coef_ld),
+ .I4(\blk00000003/sig00000234 ),
+ .O(\blk00000003/sig0000023f )
+ );
+ LUT4 #(
+ .INIT ( 16'h1000 ))
+ \blk00000003/blk00000619 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig00000236 ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig00000234 ),
+ .O(\blk00000003/sig00000246 )
+ );
+ LUT5 #(
+ .INIT ( 32'h20AA2020 ))
+ \blk00000003/blk00000618 (
+ .I0(\blk00000003/sig00000234 ),
+ .I1(\blk00000003/sig00000236 ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig0000024b ),
+ .I4(coef_ld),
+ .O(\blk00000003/sig00000245 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk00000617 (
+ .I0(\blk00000003/sig00000287 ),
+ .I1(ce),
+ .I2(\blk00000003/sig0000023c ),
+ .I3(\blk00000003/sig00000219 ),
+ .O(\blk00000003/sig00000689 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk00000616 (
+ .I0(\blk00000003/sig0000028e ),
+ .I1(ce),
+ .I2(\blk00000003/sig0000023a ),
+ .I3(\blk00000003/sig0000027f ),
+ .O(\blk00000003/sig00000688 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk00000615 (
+ .I0(\blk00000003/sig0000067b ),
+ .I1(ce),
+ .I2(\blk00000003/sig000001d4 ),
+ .I3(\blk00000003/sig000001d6 ),
+ .O(\blk00000003/sig00000686 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk00000614 (
+ .I0(ce),
+ .I1(sclr),
+ .I2(\blk00000003/sig0000067f ),
+ .O(\blk00000003/sig00000685 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk00000613 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000024b ),
+ .I2(\blk00000003/sig0000067d ),
+ .O(\blk00000003/sig00000684 )
+ );
+ LUT5 #(
+ .INIT ( 32'h6AAAAAAA ))
+ \blk00000003/blk00000612 (
+ .I0(\blk00000003/sig0000067e ),
+ .I1(\blk00000003/sig00000291 ),
+ .I2(ce),
+ .I3(nd),
+ .I4(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig00000687 )
+ );
+ FD #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000611 (
+ .C(clk),
+ .D(\blk00000003/sig00000689 ),
+ .Q(\blk00000003/sig00000287 )
+ );
+ FD #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000610 (
+ .C(clk),
+ .D(\blk00000003/sig00000688 ),
+ .Q(\blk00000003/sig0000028e )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000060f (
+ .C(clk),
+ .D(\blk00000003/sig00000687 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000067e )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000060e (
+ .C(clk),
+ .D(\blk00000003/sig00000686 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000067b )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk0000060d (
+ .I0(\blk00000003/sig00000602 ),
+ .O(\blk00000003/sig000005fd )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk0000060c (
+ .I0(\blk00000003/sig00000601 ),
+ .O(\blk00000003/sig000005fa )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk0000060b (
+ .I0(\blk00000003/sig00000600 ),
+ .O(\blk00000003/sig000005f7 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk0000060a (
+ .I0(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig000005f4 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000609 (
+ .I0(\blk00000003/sig000002be ),
+ .O(\blk00000003/sig000002bf )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000608 (
+ .I0(\blk00000003/sig000002ba ),
+ .O(\blk00000003/sig000002bb )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000607 (
+ .I0(\blk00000003/sig000002a6 ),
+ .O(\blk00000003/sig000002a0 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000606 (
+ .I0(\blk00000003/sig0000067e ),
+ .O(\blk00000003/sig00000296 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000605 (
+ .I0(\blk00000003/sig00000268 ),
+ .O(\blk00000003/sig00000269 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000604 (
+ .I0(\blk00000003/sig00000264 ),
+ .O(\blk00000003/sig00000265 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000603 (
+ .I0(\blk00000003/sig00000256 ),
+ .O(\blk00000003/sig00000254 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000602 (
+ .I0(\blk00000003/sig0000024f ),
+ .O(\blk00000003/sig0000024d )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk00000601 (
+ .I0(\blk00000003/sig0000024f ),
+ .I1(\blk00000003/sig00000252 ),
+ .O(\blk00000003/sig0000022a )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk00000600 (
+ .I0(\blk00000003/sig000001cc ),
+ .O(\blk00000003/sig000000bb )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000672 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000677 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000066f ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000676 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000066c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000675 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000669 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000674 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000666 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000673 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005f2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000603 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000005f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005fe ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000602 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005fb ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000601 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005f8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000600 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000005f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005f5 ),
+ .S(sclr),
+ .Q(\blk00000003/sig000005ff )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c0 ),
+ .R(\blk00000003/sig000002c3 ),
+ .Q(\blk00000003/sig000002be )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002bd ),
+ .R(\blk00000003/sig000002c3 ),
+ .Q(\blk00000003/sig000002ba )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000005f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b3 ),
+ .S(\blk00000003/sig000002c2 ),
+ .Q(\blk00000003/sig000002b8 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000005f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b6 ),
+ .S(\blk00000003/sig000002c2 ),
+ .Q(\blk00000003/sig000002b7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002ab ),
+ .R(\blk00000003/sig000002c2 ),
+ .Q(\blk00000003/sig000002b1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002ae ),
+ .R(\blk00000003/sig000002c2 ),
+ .Q(\blk00000003/sig000002b0 )
+ );
+ FDR \blk00000003/blk000005ef (
+ .C(clk),
+ .D(\blk00000003/sig00000685 ),
+ .R(ce),
+ .Q(\blk00000003/sig0000067f )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000005ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a1 ),
+ .S(sclr),
+ .Q(\blk00000003/sig000002a6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000002a5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029b ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029e ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e6 )
+ );
+ FDR \blk00000003/blk000005ea (
+ .C(clk),
+ .D(\blk00000003/sig00000684 ),
+ .R(ce),
+ .Q(\blk00000003/sig0000067d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026a ),
+ .R(\blk00000003/sig0000026d ),
+ .Q(\blk00000003/sig00000268 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000267 ),
+ .R(\blk00000003/sig0000026d ),
+ .Q(\blk00000003/sig00000264 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000025c ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000262 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000025f ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000261 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000258 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000259 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000255 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000256 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000251 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000252 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024e ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig0000024f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000af ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000ad )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000005e0 (
+ .I0(\blk00000003/sig00000673 ),
+ .I1(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig00000665 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000005df (
+ .I0(\blk00000003/sig00000674 ),
+ .I1(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig00000668 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000005de (
+ .I0(\blk00000003/sig00000675 ),
+ .I1(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig0000066b )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000005dd (
+ .I0(\blk00000003/sig00000676 ),
+ .I1(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig0000066e )
+ );
+ LUT3 #(
+ .INIT ( 8'hDE ))
+ \blk00000003/blk000005dc (
+ .I0(\blk00000003/sig00000677 ),
+ .I1(\blk00000003/sig000005ff ),
+ .I2(\blk00000003/sig000001dd ),
+ .O(\blk00000003/sig00000671 )
+ );
+ LUT3 #(
+ .INIT ( 8'h04 ))
+ \blk00000003/blk000005db (
+ .I0(\blk00000003/sig000001dd ),
+ .I1(\blk00000003/sig0000004a ),
+ .I2(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig00000663 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005da (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000106 ),
+ .I3(NlwRenamedSig_OI_dout_2[45]),
+ .O(\blk00000003/sig00000661 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d9 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000105 ),
+ .I3(NlwRenamedSig_OI_dout_2[46]),
+ .O(\blk00000003/sig00000662 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d8 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000107 ),
+ .I3(NlwRenamedSig_OI_dout_2[44]),
+ .O(\blk00000003/sig00000660 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d7 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000109 ),
+ .I3(NlwRenamedSig_OI_dout_2[42]),
+ .O(\blk00000003/sig0000065e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d6 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000108 ),
+ .I3(NlwRenamedSig_OI_dout_2[43]),
+ .O(\blk00000003/sig0000065f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d5 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000010a ),
+ .I3(NlwRenamedSig_OI_dout_2[41]),
+ .O(\blk00000003/sig0000065d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d4 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000010c ),
+ .I3(NlwRenamedSig_OI_dout_2[39]),
+ .O(\blk00000003/sig0000065b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d3 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000010b ),
+ .I3(NlwRenamedSig_OI_dout_2[40]),
+ .O(\blk00000003/sig0000065c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d2 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000010d ),
+ .I3(NlwRenamedSig_OI_dout_2[38]),
+ .O(\blk00000003/sig0000065a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d1 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000010f ),
+ .I3(NlwRenamedSig_OI_dout_2[36]),
+ .O(\blk00000003/sig00000658 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005d0 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000010e ),
+ .I3(NlwRenamedSig_OI_dout_2[37]),
+ .O(\blk00000003/sig00000659 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005cf (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000110 ),
+ .I3(NlwRenamedSig_OI_dout_2[35]),
+ .O(\blk00000003/sig00000657 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ce (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000112 ),
+ .I3(NlwRenamedSig_OI_dout_2[33]),
+ .O(\blk00000003/sig00000655 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005cd (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000111 ),
+ .I3(NlwRenamedSig_OI_dout_2[34]),
+ .O(\blk00000003/sig00000656 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005cc (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000113 ),
+ .I3(NlwRenamedSig_OI_dout_2[32]),
+ .O(\blk00000003/sig00000654 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005cb (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000115 ),
+ .I3(NlwRenamedSig_OI_dout_2[30]),
+ .O(\blk00000003/sig00000652 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ca (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000114 ),
+ .I3(NlwRenamedSig_OI_dout_2[31]),
+ .O(\blk00000003/sig00000653 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c9 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000116 ),
+ .I3(NlwRenamedSig_OI_dout_2[29]),
+ .O(\blk00000003/sig00000651 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c8 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000118 ),
+ .I3(NlwRenamedSig_OI_dout_2[27]),
+ .O(\blk00000003/sig0000064f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c7 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000117 ),
+ .I3(NlwRenamedSig_OI_dout_2[28]),
+ .O(\blk00000003/sig00000650 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c6 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000119 ),
+ .I3(NlwRenamedSig_OI_dout_2[26]),
+ .O(\blk00000003/sig0000064e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c5 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000011b ),
+ .I3(NlwRenamedSig_OI_dout_2[24]),
+ .O(\blk00000003/sig0000064c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c4 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000011a ),
+ .I3(NlwRenamedSig_OI_dout_2[25]),
+ .O(\blk00000003/sig0000064d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c3 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000011c ),
+ .I3(NlwRenamedSig_OI_dout_2[23]),
+ .O(\blk00000003/sig0000064b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c2 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000011e ),
+ .I3(NlwRenamedSig_OI_dout_2[21]),
+ .O(\blk00000003/sig00000649 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c1 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000011d ),
+ .I3(NlwRenamedSig_OI_dout_2[22]),
+ .O(\blk00000003/sig0000064a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005c0 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000011f ),
+ .I3(NlwRenamedSig_OI_dout_2[20]),
+ .O(\blk00000003/sig00000648 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005bf (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000121 ),
+ .I3(NlwRenamedSig_OI_dout_2[18]),
+ .O(\blk00000003/sig00000646 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005be (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000120 ),
+ .I3(NlwRenamedSig_OI_dout_2[19]),
+ .O(\blk00000003/sig00000647 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005bd (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000122 ),
+ .I3(NlwRenamedSig_OI_dout_2[17]),
+ .O(\blk00000003/sig00000645 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005bc (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000124 ),
+ .I3(NlwRenamedSig_OI_dout_2[15]),
+ .O(\blk00000003/sig00000643 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005bb (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000123 ),
+ .I3(NlwRenamedSig_OI_dout_2[16]),
+ .O(\blk00000003/sig00000644 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ba (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000125 ),
+ .I3(NlwRenamedSig_OI_dout_2[14]),
+ .O(\blk00000003/sig00000642 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b9 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000127 ),
+ .I3(NlwRenamedSig_OI_dout_2[12]),
+ .O(\blk00000003/sig00000640 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b8 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000126 ),
+ .I3(NlwRenamedSig_OI_dout_2[13]),
+ .O(\blk00000003/sig00000641 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b7 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000128 ),
+ .I3(NlwRenamedSig_OI_dout_2[11]),
+ .O(\blk00000003/sig0000063f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b6 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000012a ),
+ .I3(NlwRenamedSig_OI_dout_2[9]),
+ .O(\blk00000003/sig0000063d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b5 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000129 ),
+ .I3(NlwRenamedSig_OI_dout_2[10]),
+ .O(\blk00000003/sig0000063e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b4 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000012b ),
+ .I3(NlwRenamedSig_OI_dout_2[8]),
+ .O(\blk00000003/sig0000063c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b3 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000012d ),
+ .I3(NlwRenamedSig_OI_dout_2[6]),
+ .O(\blk00000003/sig0000063a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b2 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000012c ),
+ .I3(NlwRenamedSig_OI_dout_2[7]),
+ .O(\blk00000003/sig0000063b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b1 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000012e ),
+ .I3(NlwRenamedSig_OI_dout_2[5]),
+ .O(\blk00000003/sig00000639 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005b0 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000130 ),
+ .I3(NlwRenamedSig_OI_dout_2[3]),
+ .O(\blk00000003/sig00000637 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005af (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000012f ),
+ .I3(NlwRenamedSig_OI_dout_2[4]),
+ .O(\blk00000003/sig00000638 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ae (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000131 ),
+ .I3(NlwRenamedSig_OI_dout_2[2]),
+ .O(\blk00000003/sig00000636 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ad (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000132 ),
+ .I3(NlwRenamedSig_OI_dout_2[1]),
+ .O(\blk00000003/sig00000635 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ac (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000017c ),
+ .I3(NlwRenamedSig_OI_dout_1[46]),
+ .O(\blk00000003/sig00000633 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005ab (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000133 ),
+ .I3(NlwRenamedSig_OI_dout_2[0]),
+ .O(\blk00000003/sig00000634 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005aa (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000017d ),
+ .I3(NlwRenamedSig_OI_dout_1[45]),
+ .O(\blk00000003/sig00000632 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a9 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000017f ),
+ .I3(NlwRenamedSig_OI_dout_1[43]),
+ .O(\blk00000003/sig00000630 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a8 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000017e ),
+ .I3(NlwRenamedSig_OI_dout_1[44]),
+ .O(\blk00000003/sig00000631 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a7 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000180 ),
+ .I3(NlwRenamedSig_OI_dout_1[42]),
+ .O(\blk00000003/sig0000062f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a6 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000182 ),
+ .I3(NlwRenamedSig_OI_dout_1[40]),
+ .O(\blk00000003/sig0000062d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a5 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000181 ),
+ .I3(NlwRenamedSig_OI_dout_1[41]),
+ .O(\blk00000003/sig0000062e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a4 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000183 ),
+ .I3(NlwRenamedSig_OI_dout_1[39]),
+ .O(\blk00000003/sig0000062c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a3 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000185 ),
+ .I3(NlwRenamedSig_OI_dout_1[37]),
+ .O(\blk00000003/sig0000062a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a2 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000184 ),
+ .I3(NlwRenamedSig_OI_dout_1[38]),
+ .O(\blk00000003/sig0000062b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a1 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000186 ),
+ .I3(NlwRenamedSig_OI_dout_1[36]),
+ .O(\blk00000003/sig00000629 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000005a0 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000188 ),
+ .I3(NlwRenamedSig_OI_dout_1[34]),
+ .O(\blk00000003/sig00000627 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000059f (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000187 ),
+ .I3(NlwRenamedSig_OI_dout_1[35]),
+ .O(\blk00000003/sig00000628 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000059e (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000189 ),
+ .I3(NlwRenamedSig_OI_dout_1[33]),
+ .O(\blk00000003/sig00000626 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000059d (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000018b ),
+ .I3(NlwRenamedSig_OI_dout_1[31]),
+ .O(\blk00000003/sig00000624 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000059c (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000018a ),
+ .I3(NlwRenamedSig_OI_dout_1[32]),
+ .O(\blk00000003/sig00000625 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000059b (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000018c ),
+ .I3(NlwRenamedSig_OI_dout_1[30]),
+ .O(\blk00000003/sig00000623 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000059a (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000018e ),
+ .I3(NlwRenamedSig_OI_dout_1[28]),
+ .O(\blk00000003/sig00000621 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000599 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000018d ),
+ .I3(NlwRenamedSig_OI_dout_1[29]),
+ .O(\blk00000003/sig00000622 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000598 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000018f ),
+ .I3(NlwRenamedSig_OI_dout_1[27]),
+ .O(\blk00000003/sig00000620 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000597 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000191 ),
+ .I3(NlwRenamedSig_OI_dout_1[25]),
+ .O(\blk00000003/sig0000061e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000596 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000190 ),
+ .I3(NlwRenamedSig_OI_dout_1[26]),
+ .O(\blk00000003/sig0000061f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000595 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000192 ),
+ .I3(NlwRenamedSig_OI_dout_1[24]),
+ .O(\blk00000003/sig0000061d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000594 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000194 ),
+ .I3(NlwRenamedSig_OI_dout_1[22]),
+ .O(\blk00000003/sig0000061b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000593 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000193 ),
+ .I3(NlwRenamedSig_OI_dout_1[23]),
+ .O(\blk00000003/sig0000061c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000592 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000195 ),
+ .I3(NlwRenamedSig_OI_dout_1[21]),
+ .O(\blk00000003/sig0000061a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000591 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000197 ),
+ .I3(NlwRenamedSig_OI_dout_1[19]),
+ .O(\blk00000003/sig00000618 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000590 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000196 ),
+ .I3(NlwRenamedSig_OI_dout_1[20]),
+ .O(\blk00000003/sig00000619 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000058f (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000198 ),
+ .I3(NlwRenamedSig_OI_dout_1[18]),
+ .O(\blk00000003/sig00000617 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000058e (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000019a ),
+ .I3(NlwRenamedSig_OI_dout_1[16]),
+ .O(\blk00000003/sig00000615 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000058d (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig00000199 ),
+ .I3(NlwRenamedSig_OI_dout_1[17]),
+ .O(\blk00000003/sig00000616 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000058c (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000019b ),
+ .I3(NlwRenamedSig_OI_dout_1[15]),
+ .O(\blk00000003/sig00000614 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000058b (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000019d ),
+ .I3(NlwRenamedSig_OI_dout_1[13]),
+ .O(\blk00000003/sig00000612 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000058a (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000019c ),
+ .I3(NlwRenamedSig_OI_dout_1[14]),
+ .O(\blk00000003/sig00000613 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000589 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000019e ),
+ .I3(NlwRenamedSig_OI_dout_1[12]),
+ .O(\blk00000003/sig00000611 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000588 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a0 ),
+ .I3(NlwRenamedSig_OI_dout_1[10]),
+ .O(\blk00000003/sig0000060f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000587 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig0000019f ),
+ .I3(NlwRenamedSig_OI_dout_1[11]),
+ .O(\blk00000003/sig00000610 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000586 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a1 ),
+ .I3(NlwRenamedSig_OI_dout_1[9]),
+ .O(\blk00000003/sig0000060e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000585 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a3 ),
+ .I3(NlwRenamedSig_OI_dout_1[7]),
+ .O(\blk00000003/sig0000060c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000584 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a2 ),
+ .I3(NlwRenamedSig_OI_dout_1[8]),
+ .O(\blk00000003/sig0000060d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000583 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a4 ),
+ .I3(NlwRenamedSig_OI_dout_1[6]),
+ .O(\blk00000003/sig0000060b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000582 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a6 ),
+ .I3(NlwRenamedSig_OI_dout_1[4]),
+ .O(\blk00000003/sig00000609 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000581 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a5 ),
+ .I3(NlwRenamedSig_OI_dout_1[5]),
+ .O(\blk00000003/sig0000060a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000580 (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a7 ),
+ .I3(NlwRenamedSig_OI_dout_1[3]),
+ .O(\blk00000003/sig00000608 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000057f (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a9 ),
+ .I3(NlwRenamedSig_OI_dout_1[1]),
+ .O(\blk00000003/sig00000606 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000057e (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001a8 ),
+ .I3(NlwRenamedSig_OI_dout_1[2]),
+ .O(\blk00000003/sig00000607 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000057d (
+ .I0(\blk00000003/sig000001cc ),
+ .I1(\blk00000003/sig000001dd ),
+ .I2(\blk00000003/sig000001aa ),
+ .I3(NlwRenamedSig_OI_dout_1[0]),
+ .O(\blk00000003/sig00000605 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000057c (
+ .I0(\blk00000003/sig00000603 ),
+ .I1(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig000005f1 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000057b (
+ .I0(ce),
+ .I1(\blk00000003/sig000001df ),
+ .O(\blk00000003/sig00000683 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000057a (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e4 ),
+ .O(\blk00000003/sig000005ef )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000579 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000682 ),
+ .O(\blk00000003/sig000005ee )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000578 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000681 ),
+ .O(\blk00000003/sig000005ed )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000577 (
+ .I0(\blk00000003/sig000002b7 ),
+ .I1(\blk00000003/sig000002c1 ),
+ .O(\blk00000003/sig000002b5 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000576 (
+ .I0(\blk00000003/sig000002c1 ),
+ .I1(\blk00000003/sig000002b8 ),
+ .O(\blk00000003/sig000002b2 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000575 (
+ .I0(\blk00000003/sig000002c1 ),
+ .I1(\blk00000003/sig00000680 ),
+ .O(\blk00000003/sig000002af )
+ );
+ LUT3 #(
+ .INIT ( 8'hEA ))
+ \blk00000003/blk00000574 (
+ .I0(\blk00000003/sig000002b0 ),
+ .I1(\blk00000003/sig000002c1 ),
+ .I2(\blk00000003/sig00000680 ),
+ .O(\blk00000003/sig000002ad )
+ );
+ LUT3 #(
+ .INIT ( 8'hBC ))
+ \blk00000003/blk00000573 (
+ .I0(\blk00000003/sig00000680 ),
+ .I1(\blk00000003/sig000002c1 ),
+ .I2(\blk00000003/sig000002b1 ),
+ .O(\blk00000003/sig000002aa )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000572 (
+ .I0(sclr),
+ .I1(\blk00000003/sig0000067f ),
+ .O(\blk00000003/sig000002a7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000571 (
+ .I0(\blk00000003/sig000002a5 ),
+ .I1(\blk00000003/sig000001d6 ),
+ .O(\blk00000003/sig000002a3 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000570 (
+ .I0(nd),
+ .I1(\blk00000003/sig00000298 ),
+ .I2(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig0000029f )
+ );
+ LUT4 #(
+ .INIT ( 16'hEAAA ))
+ \blk00000003/blk0000056f (
+ .I0(\blk00000003/sig000001e6 ),
+ .I1(nd),
+ .I2(NlwRenamedSig_OI_rfd),
+ .I3(\blk00000003/sig00000298 ),
+ .O(\blk00000003/sig0000029d )
+ );
+ LUT4 #(
+ .INIT ( 16'hDFA0 ))
+ \blk00000003/blk0000056e (
+ .I0(nd),
+ .I1(\blk00000003/sig00000298 ),
+ .I2(NlwRenamedSig_OI_rfd),
+ .I3(\blk00000003/sig000001e7 ),
+ .O(\blk00000003/sig0000029a )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000056d (
+ .I0(nd),
+ .I1(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig00000293 )
+ );
+ LUT3 #(
+ .INIT ( 8'h09 ))
+ \blk00000003/blk0000056c (
+ .I0(\blk00000003/sig0000067e ),
+ .I1(\blk00000003/sig000001e6 ),
+ .I2(\blk00000003/sig000001e7 ),
+ .O(\blk00000003/sig00000295 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000056b (
+ .I0(\blk00000003/sig0000023b ),
+ .I1(\blk00000003/sig00000242 ),
+ .O(\blk00000003/sig0000028d )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000056a (
+ .I0(\blk00000003/sig00000242 ),
+ .I1(\blk00000003/sig0000023a ),
+ .O(\blk00000003/sig0000028a )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000569 (
+ .I0(\blk00000003/sig00000242 ),
+ .I1(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig00000288 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000568 (
+ .I0(\blk00000003/sig00000240 ),
+ .I1(\blk00000003/sig00000248 ),
+ .I2(\blk00000003/sig00000242 ),
+ .O(\blk00000003/sig00000283 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000567 (
+ .I0(\blk00000003/sig00000240 ),
+ .I1(\blk00000003/sig0000023e ),
+ .I2(\blk00000003/sig00000242 ),
+ .O(\blk00000003/sig00000285 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000566 (
+ .I0(\blk00000003/sig0000023b ),
+ .I1(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig0000027c )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000565 (
+ .I0(\blk00000003/sig0000023a ),
+ .I1(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig0000027a )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000564 (
+ .I0(\blk00000003/sig00000238 ),
+ .I1(\blk00000003/sig00000242 ),
+ .I2(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig00000275 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000563 (
+ .I0(\blk00000003/sig0000023d ),
+ .I1(\blk00000003/sig0000023e ),
+ .O(\blk00000003/sig00000271 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000562 (
+ .I0(\blk00000003/sig0000023c ),
+ .I1(\blk00000003/sig0000023e ),
+ .I2(\blk00000003/sig00000248 ),
+ .O(\blk00000003/sig00000273 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk00000561 (
+ .I0(\blk00000003/sig0000024b ),
+ .I1(\blk00000003/sig0000067d ),
+ .O(\blk00000003/sig0000026c )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000560 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000222 ),
+ .I2(\blk00000003/sig00000227 ),
+ .O(\blk00000003/sig00000260 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEAAA ))
+ \blk00000003/blk0000055f (
+ .I0(\blk00000003/sig00000261 ),
+ .I1(coef_we),
+ .I2(\blk00000003/sig00000227 ),
+ .I3(\blk00000003/sig00000222 ),
+ .O(\blk00000003/sig0000025e )
+ );
+ LUT4 #(
+ .INIT ( 16'hE6CC ))
+ \blk00000003/blk0000055e (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000262 ),
+ .I2(\blk00000003/sig00000222 ),
+ .I3(\blk00000003/sig00000227 ),
+ .O(\blk00000003/sig0000025b )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000055d (
+ .I0(\blk00000003/sig00000259 ),
+ .I1(\blk00000003/sig000001c3 ),
+ .O(\blk00000003/sig00000257 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000055c (
+ .I0(\blk00000003/sig00000252 ),
+ .I1(coef_we),
+ .O(\blk00000003/sig00000250 )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk0000055b (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig0000024b ),
+ .O(\blk00000003/sig00000241 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000055a (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000227 ),
+ .O(\blk00000003/sig00000223 )
+ );
+ LUT3 #(
+ .INIT ( 8'h40 ))
+ \blk00000003/blk00000559 (
+ .I0(coef_ld),
+ .I1(coef_we),
+ .I2(\blk00000003/sig00000236 ),
+ .O(\blk00000003/sig00000249 )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk00000558 (
+ .I0(\blk00000003/sig00000261 ),
+ .I1(\blk00000003/sig00000262 ),
+ .O(\blk00000003/sig0000022d )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk00000557 (
+ .I0(\blk00000003/sig0000024f ),
+ .I1(\blk00000003/sig00000252 ),
+ .O(\blk00000003/sig00000229 )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk00000556 (
+ .I0(\blk00000003/sig00000262 ),
+ .I1(\blk00000003/sig00000261 ),
+ .O(\blk00000003/sig00000225 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000555 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig0000024b ),
+ .I2(\blk00000003/sig00000234 ),
+ .O(\blk00000003/sig00000220 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000554 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000236 ),
+ .I2(\blk00000003/sig00000234 ),
+ .O(\blk00000003/sig0000021d )
+ );
+ LUT5 #(
+ .INIT ( 32'hFFFF2AAA ))
+ \blk00000003/blk00000553 (
+ .I0(\blk00000003/sig00000236 ),
+ .I1(coef_we),
+ .I2(\blk00000003/sig00000227 ),
+ .I3(\blk00000003/sig00000222 ),
+ .I4(coef_ld),
+ .O(\blk00000003/sig00000235 )
+ );
+ LUT4 #(
+ .INIT ( 16'hFF8A ))
+ \blk00000003/blk00000552 (
+ .I0(\blk00000003/sig00000234 ),
+ .I1(\blk00000003/sig00000236 ),
+ .I2(coef_we),
+ .I3(coef_ld),
+ .O(\blk00000003/sig00000233 )
+ );
+ LUT3 #(
+ .INIT ( 8'h80 ))
+ \blk00000003/blk00000551 (
+ .I0(nd),
+ .I1(\blk00000003/sig00000291 ),
+ .I2(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig000001e5 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000550 (
+ .I0(\blk00000003/sig000000bf ),
+ .I1(\blk00000003/sig000001dd ),
+ .O(\blk00000003/sig000001e3 )
+ );
+ LUT3 #(
+ .INIT ( 8'h10 ))
+ \blk00000003/blk0000054f (
+ .I0(\blk00000003/sig000000bf ),
+ .I1(\blk00000003/sig000005ff ),
+ .I2(\blk00000003/sig0000067c ),
+ .O(\blk00000003/sig000000c0 )
+ );
+ LUT3 #(
+ .INIT ( 8'hEA ))
+ \blk00000003/blk0000054e (
+ .I0(sclr),
+ .I1(ce),
+ .I2(\blk00000003/sig000005ff ),
+ .O(\blk00000003/sig000001de )
+ );
+ LUT2 #(
+ .INIT ( 4'hD ))
+ \blk00000003/blk0000054d (
+ .I0(NlwRenamedSig_OI_rfd),
+ .I1(nd),
+ .O(\blk00000003/sig000001ca )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk0000054c (
+ .I0(\blk00000003/sig00000256 ),
+ .I1(\blk00000003/sig00000259 ),
+ .O(\blk00000003/sig000001c4 )
+ );
+ LUT5 #(
+ .INIT ( 32'h00002000 ))
+ \blk00000003/blk0000054b (
+ .I0(\blk00000003/sig00000673 ),
+ .I1(\blk00000003/sig00000674 ),
+ .I2(\blk00000003/sig00000675 ),
+ .I3(\blk00000003/sig00000676 ),
+ .I4(\blk00000003/sig00000677 ),
+ .O(\blk00000003/sig000000c2 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk0000054a (
+ .I0(\blk00000003/sig000001d6 ),
+ .I1(\blk00000003/sig000001c3 ),
+ .I2(\blk00000003/sig000001e4 ),
+ .O(\blk00000003/sig000001d5 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000549 (
+ .I0(\blk00000003/sig000002a6 ),
+ .I1(\blk00000003/sig000001e2 ),
+ .O(\blk00000003/sig000001db )
+ );
+ LUT3 #(
+ .INIT ( 8'hD8 ))
+ \blk00000003/blk00000548 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000679 ),
+ .I2(\blk00000003/sig000000b5 ),
+ .O(\blk00000003/sig000000b4 )
+ );
+ LUT3 #(
+ .INIT ( 8'h72 ))
+ \blk00000003/blk00000547 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000679 ),
+ .I2(\blk00000003/sig000000b3 ),
+ .O(\blk00000003/sig000000b2 )
+ );
+ LUT4 #(
+ .INIT ( 16'h8F88 ))
+ \blk00000003/blk00000546 (
+ .I0(NlwRenamedSig_OI_rfd),
+ .I1(nd),
+ .I2(\blk00000003/sig000001d8 ),
+ .I3(\blk00000003/sig000001c6 ),
+ .O(\blk00000003/sig000001d7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000545 (
+ .I0(\blk00000003/sig000000ad ),
+ .I1(\blk00000003/sig000001c6 ),
+ .O(\blk00000003/sig000000ae )
+ );
+ LUT5 #(
+ .INIT ( 32'hCEEE8AAA ))
+ \blk00000003/blk00000544 (
+ .I0(\blk00000003/sig000001c3 ),
+ .I1(\blk00000003/sig000001e4 ),
+ .I2(\blk00000003/sig000001d4 ),
+ .I3(\blk00000003/sig000001d6 ),
+ .I4(\blk00000003/sig000001d2 ),
+ .O(\blk00000003/sig000001d3 )
+ );
+ LUT4 #(
+ .INIT ( 16'h8808 ))
+ \blk00000003/blk00000543 (
+ .I0(\blk00000003/sig000001d4 ),
+ .I1(\blk00000003/sig0000067b ),
+ .I2(\blk00000003/sig000001d6 ),
+ .I3(\blk00000003/sig000001e4 ),
+ .O(\blk00000003/sig000001cf )
+ );
+ LUT4 #(
+ .INIT ( 16'h5540 ))
+ \blk00000003/blk00000542 (
+ .I0(\blk00000003/sig000001e4 ),
+ .I1(\blk00000003/sig000001d4 ),
+ .I2(\blk00000003/sig000001d6 ),
+ .I3(\blk00000003/sig000001d2 ),
+ .O(\blk00000003/sig000001d1 )
+ );
+ LUT3 #(
+ .INIT ( 8'h9A ))
+ \blk00000003/blk00000541 (
+ .I0(\blk00000003/sig000002a5 ),
+ .I1(\blk00000003/sig000002a6 ),
+ .I2(\blk00000003/sig000001e2 ),
+ .O(\blk00000003/sig000001d9 )
+ );
+ LUT4 #(
+ .INIT ( 16'hFDA8 ))
+ \blk00000003/blk00000540 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000679 ),
+ .I2(\blk00000003/sig0000067a ),
+ .I3(\blk00000003/sig000000b1 ),
+ .O(\blk00000003/sig000000b0 )
+ );
+ MUXCY \blk00000003/blk0000053f (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ac ),
+ .S(\blk00000003/sig00000678 ),
+ .O(\blk00000003/sig00000670 )
+ );
+ MUXCY_L \blk00000003/blk0000053e (
+ .CI(\blk00000003/sig00000670 ),
+ .DI(\blk00000003/sig00000677 ),
+ .S(\blk00000003/sig00000671 ),
+ .LO(\blk00000003/sig0000066d )
+ );
+ MUXCY_L \blk00000003/blk0000053d (
+ .CI(\blk00000003/sig0000066d ),
+ .DI(\blk00000003/sig00000676 ),
+ .S(\blk00000003/sig0000066e ),
+ .LO(\blk00000003/sig0000066a )
+ );
+ MUXCY_L \blk00000003/blk0000053c (
+ .CI(\blk00000003/sig0000066a ),
+ .DI(\blk00000003/sig00000675 ),
+ .S(\blk00000003/sig0000066b ),
+ .LO(\blk00000003/sig00000667 )
+ );
+ MUXCY_L \blk00000003/blk0000053b (
+ .CI(\blk00000003/sig00000667 ),
+ .DI(\blk00000003/sig00000674 ),
+ .S(\blk00000003/sig00000668 ),
+ .LO(\blk00000003/sig00000664 )
+ );
+ MUXCY_D \blk00000003/blk0000053a (
+ .CI(\blk00000003/sig00000664 ),
+ .DI(\blk00000003/sig00000673 ),
+ .S(\blk00000003/sig00000665 ),
+ .O(\NLW_blk00000003/blk0000053a_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk0000053a_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk00000539 (
+ .CI(\blk00000003/sig00000670 ),
+ .LI(\blk00000003/sig00000671 ),
+ .O(\blk00000003/sig00000672 )
+ );
+ XORCY \blk00000003/blk00000538 (
+ .CI(\blk00000003/sig0000066d ),
+ .LI(\blk00000003/sig0000066e ),
+ .O(\blk00000003/sig0000066f )
+ );
+ XORCY \blk00000003/blk00000537 (
+ .CI(\blk00000003/sig0000066a ),
+ .LI(\blk00000003/sig0000066b ),
+ .O(\blk00000003/sig0000066c )
+ );
+ XORCY \blk00000003/blk00000536 (
+ .CI(\blk00000003/sig00000667 ),
+ .LI(\blk00000003/sig00000668 ),
+ .O(\blk00000003/sig00000669 )
+ );
+ XORCY \blk00000003/blk00000535 (
+ .CI(\blk00000003/sig00000664 ),
+ .LI(\blk00000003/sig00000665 ),
+ .O(\blk00000003/sig00000666 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000534 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000663 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000004a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000533 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000662 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[46])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000532 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000661 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[45])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000531 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000660 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[44])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000530 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[43])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[42])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[41])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[40])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[39])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[38])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000659 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[37])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000529 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000658 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[36])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000528 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000657 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[35])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000527 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000656 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[34])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000526 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000655 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[33])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000525 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000654 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[32])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000524 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000653 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[31])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000523 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000652 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[30])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000522 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000651 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[29])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000521 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000650 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[28])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000520 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[27])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[26])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[25])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[24])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[23])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[22])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000649 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[21])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000519 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000648 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[20])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000518 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000647 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[19])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000517 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000646 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[18])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000516 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000645 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[17])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000515 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000644 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[16])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000514 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000643 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[15])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000513 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000642 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[14])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000512 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000641 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[13])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000511 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000640 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[12])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000510 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[11])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[10])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[9])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[8])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[7])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[6])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000639 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[5])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000509 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000638 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[4])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000508 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000637 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[3])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000507 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000636 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[2])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000506 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000635 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[1])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000505 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000634 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[0])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000504 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000633 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[46])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000503 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000632 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[45])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000502 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000631 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[44])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000501 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000630 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[43])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000500 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000062f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[42])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000062e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[41])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000062d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[40])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000062c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[39])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000062b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[38])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000062a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[37])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000629 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[36])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000628 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[35])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000627 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[34])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000626 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[33])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000625 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[32])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000624 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[31])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000623 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[30])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000622 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[29])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000621 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[28])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000620 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[27])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000061f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[26])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000061e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[25])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000061d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[24])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000061c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[23])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000061b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[22])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000061a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[21])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000619 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[20])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000618 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[19])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000617 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[18])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000616 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[17])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000615 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[16])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000614 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[15])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000613 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[14])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000612 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[13])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000611 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[12])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000610 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[11])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004e0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000060f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[10])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004df (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000060e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[9])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004de (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000060d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[8])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004dd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000060c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[7])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004dc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000060b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[6])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004db (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000060a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[5])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004da (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000609 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[4])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000608 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[3])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000607 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[2])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000606 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[1])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000605 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[0])
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000604 ),
+ .Q(\blk00000003/sig000001dd )
+ );
+ MUXCY_L \blk00000003/blk000004d4 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000603 ),
+ .S(\blk00000003/sig000005f1 ),
+ .LO(\blk00000003/sig000005fc )
+ );
+ MUXCY_L \blk00000003/blk000004d3 (
+ .CI(\blk00000003/sig000005fc ),
+ .DI(\blk00000003/sig00000602 ),
+ .S(\blk00000003/sig000005fd ),
+ .LO(\blk00000003/sig000005f9 )
+ );
+ MUXCY_L \blk00000003/blk000004d2 (
+ .CI(\blk00000003/sig000005f9 ),
+ .DI(\blk00000003/sig00000601 ),
+ .S(\blk00000003/sig000005fa ),
+ .LO(\blk00000003/sig000005f6 )
+ );
+ MUXCY_L \blk00000003/blk000004d1 (
+ .CI(\blk00000003/sig000005f6 ),
+ .DI(\blk00000003/sig00000600 ),
+ .S(\blk00000003/sig000005f7 ),
+ .LO(\blk00000003/sig000005f3 )
+ );
+ MUXCY_D \blk00000003/blk000004d0 (
+ .CI(\blk00000003/sig000005f3 ),
+ .DI(\blk00000003/sig000005ff ),
+ .S(\blk00000003/sig000005f4 ),
+ .O(\NLW_blk00000003/blk000004d0_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000004d0_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000004cf (
+ .CI(\blk00000003/sig000005fc ),
+ .LI(\blk00000003/sig000005fd ),
+ .O(\blk00000003/sig000005fe )
+ );
+ XORCY \blk00000003/blk000004ce (
+ .CI(\blk00000003/sig000005f9 ),
+ .LI(\blk00000003/sig000005fa ),
+ .O(\blk00000003/sig000005fb )
+ );
+ XORCY \blk00000003/blk000004cd (
+ .CI(\blk00000003/sig000005f6 ),
+ .LI(\blk00000003/sig000005f7 ),
+ .O(\blk00000003/sig000005f8 )
+ );
+ XORCY \blk00000003/blk000004cc (
+ .CI(\blk00000003/sig000005f3 ),
+ .LI(\blk00000003/sig000005f4 ),
+ .O(\blk00000003/sig000005f5 )
+ );
+ XORCY \blk00000003/blk000004cb (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000005f1 ),
+ .O(\blk00000003/sig000005f2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a3 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003f0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000050e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a2 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003ef ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000050d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a1 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003ee ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000050c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a0 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003ed ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000050b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000049f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003ec ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000050a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000049e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003eb ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000509 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000049d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003ea ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000508 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000049c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000507 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000049b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000506 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000049a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000505 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000499 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000504 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000498 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000503 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000497 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000502 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000496 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000501 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000495 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000500 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000494 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ff )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000493 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003e0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004fe )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000492 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003df ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004fd )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000491 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003de ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004fc )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000490 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003dd ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004fb )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000048f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003dc ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004fa )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000048e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003db ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000048d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003da ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000048c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig000003d9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000048b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000450 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000526 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000048a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000044f ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000525 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000489 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000044e ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000524 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000488 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000044d ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000523 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000487 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000044c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000522 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000486 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000044b ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000521 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000485 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000044a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000520 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000484 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000449 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000051f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000483 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000448 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000051e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000482 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000447 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000051d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000481 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000446 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000051c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000480 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000445 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000051b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000047f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000444 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000051a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000047e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000443 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000519 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000047d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000442 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000518 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000047c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000441 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000517 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000047b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000440 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000516 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000047a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000043f ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000515 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000479 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000043e ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000514 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000478 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000043d ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000513 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000477 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000043c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000512 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000476 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000043b ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000511 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000475 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig0000043a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000510 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000474 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ef ),
+ .D(\blk00000003/sig00000439 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000050f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004aa ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000586 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000585 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000584 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000449 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000583 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000448 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000582 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000447 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000581 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000446 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000580 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000445 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000444 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000443 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000442 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004a0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000441 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig0000049f ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000440 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig0000049e ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000043f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig0000049d ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000579 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000043e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig0000049c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000578 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000043d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig0000049b ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000577 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000043c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig0000049a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000576 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000043b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000499 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000575 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000043a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000498 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000574 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000439 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000497 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000573 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000438 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000496 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000572 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000437 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000495 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000571 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000436 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000494 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000570 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000435 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig00000493 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000056f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000434 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000408 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000053e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000433 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000407 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000053d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000432 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000406 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000053c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000431 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000405 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000053b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000430 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000404 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000053a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000042f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000403 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000539 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000042e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000402 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000538 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000042d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000401 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000537 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000042c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000400 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000536 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000042b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003ff ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000535 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000042a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003fe ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000534 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000429 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003fd ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000533 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000428 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003fc ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000532 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000427 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003fb ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000531 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000426 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003fa ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000530 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000425 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000052f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000424 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000052e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000423 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000052d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000422 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000052c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000421 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000052b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000420 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000052a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000529 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000528 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig000003f1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000527 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004da ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000041a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000419 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000418 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000417 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000416 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005b0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000415 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005af )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000414 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005ae )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000413 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005ad )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000412 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004d0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005ac )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000411 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004cf ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005ab )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000410 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004ce ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005aa )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000040f (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004cd ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000040e (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004cc ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000040d (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004cb ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000040c (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004ca ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000040b (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000040a (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000409 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000408 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000407 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000406 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000005a0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000405 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ee ),
+ .D(\blk00000003/sig000004c3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000059f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000404 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000468 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000556 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000403 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000467 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000555 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000402 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000466 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000554 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000401 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000465 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000553 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000400 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000464 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000552 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ff (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000463 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000551 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fe (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000462 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000550 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fd (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000461 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000054f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fc (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000460 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000054e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fb (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig0000045f ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000054d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fa (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig0000045e ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000054c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f9 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig0000045d ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000054b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f8 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig0000045c ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000054a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f7 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig0000045b ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000549 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f6 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig0000045a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000548 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f5 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000459 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000547 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f4 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000458 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000546 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f3 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000457 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000545 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f2 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000456 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000544 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f1 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000455 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000543 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f0 (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000454 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000542 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ef (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000453 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000541 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ee (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000452 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000540 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ed (
+ .C(clk),
+ .CE(\blk00000003/sig000005ed ),
+ .D(\blk00000003/sig00000451 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000053f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000116 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ef )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000115 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ed )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000114 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000113 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002ba ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004eb )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000112 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002be ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000111 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000110 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004ef ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004f0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004ed ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ee )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000109 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004eb ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ec )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000108 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004e9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ea )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000107 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004e7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000106 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004e5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000105 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004e3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004e4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000104 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004e1 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004e2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000103 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000232 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004e1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000102 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004dd ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004e0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000101 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004dc ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004df )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000100 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004db ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004de )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026b ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004dd )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000268 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004dc )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000264 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000004db )
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000fc (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000fc_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000fc_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000fc_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000fc_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000fc_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000fc_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000fc_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ac }),
+ .PCIN({\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b ,
+\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 ,
+\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 ,
+\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , \blk00000003/sig0000037a ,
+\blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f ,
+\blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 , \blk00000003/sig00000384 ,
+\blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , \blk00000003/sig00000389 ,
+\blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e ,
+\blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 ,
+\blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000fc_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig00000469 , \blk00000003/sig0000046a , \blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d ,
+\blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 ,
+\blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 ,
+\blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a }),
+ .BCOUT({\NLW_blk00000003/blk000000fc_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fc_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000004ab , \blk00000003/sig000004ab , \blk00000003/sig000004ac , \blk00000003/sig000004ad , \blk00000003/sig000004ae ,
+\blk00000003/sig000004af , \blk00000003/sig000004b0 , \blk00000003/sig000004b1 , \blk00000003/sig000004b2 , \blk00000003/sig000004b3 ,
+\blk00000003/sig000004b4 , \blk00000003/sig000004b5 , \blk00000003/sig000004b6 , \blk00000003/sig000004b7 , \blk00000003/sig000004b8 ,
+\blk00000003/sig000004b9 , \blk00000003/sig000004ba , \blk00000003/sig000004bb , \blk00000003/sig000004bc , \blk00000003/sig000004bd ,
+\blk00000003/sig000004be , \blk00000003/sig000004bf , \blk00000003/sig000004c0 , \blk00000003/sig000004c1 , \blk00000003/sig000004c2 }),
+ .P({\NLW_blk00000003/blk000000fc_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fc_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fc_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c3 ,
+\blk00000003/sig000004c3 , \blk00000003/sig000004c3 , \blk00000003/sig000004c4 , \blk00000003/sig000004c5 , \blk00000003/sig000004c6 ,
+\blk00000003/sig000004c7 , \blk00000003/sig000004c8 , \blk00000003/sig000004c9 , \blk00000003/sig000004ca , \blk00000003/sig000004cb ,
+\blk00000003/sig000004cc , \blk00000003/sig000004cd , \blk00000003/sig000004ce , \blk00000003/sig000004cf , \blk00000003/sig000004d0 ,
+\blk00000003/sig000004d1 , \blk00000003/sig000004d2 , \blk00000003/sig000004d3 , \blk00000003/sig000004d4 , \blk00000003/sig000004d5 ,
+\blk00000003/sig000004d6 , \blk00000003/sig000004d7 , \blk00000003/sig000004d8 , \blk00000003/sig000004d9 , \blk00000003/sig000004da }),
+ .PCOUT({\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d ,
+\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 ,
+\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 ,
+\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , \blk00000003/sig0000041c ,
+\blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 ,
+\blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 ,
+\blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b ,
+\blk00000003/sig0000042c , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 ,
+\blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 ,
+\blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000fb (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000fb_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000fb_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000fb_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000fb_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000fb_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000fb_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000fb_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ac }),
+ .PCIN({\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b ,
+\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 ,
+\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 ,
+\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , \blk00000003/sig0000031a ,
+\blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f ,
+\blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 ,
+\blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 ,
+\blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e ,
+\blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 ,
+\blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000fb_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig00000469 , \blk00000003/sig0000046a , \blk00000003/sig0000046b , \blk00000003/sig0000046c , \blk00000003/sig0000046d ,
+\blk00000003/sig0000046e , \blk00000003/sig0000046f , \blk00000003/sig00000470 , \blk00000003/sig00000471 , \blk00000003/sig00000472 ,
+\blk00000003/sig00000473 , \blk00000003/sig00000474 , \blk00000003/sig00000475 , \blk00000003/sig00000476 , \blk00000003/sig00000477 ,
+\blk00000003/sig00000478 , \blk00000003/sig00000479 , \blk00000003/sig0000047a }),
+ .BCOUT({\NLW_blk00000003/blk000000fb_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fb_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig0000047b , \blk00000003/sig0000047b , \blk00000003/sig0000047c , \blk00000003/sig0000047d , \blk00000003/sig0000047e ,
+\blk00000003/sig0000047f , \blk00000003/sig00000480 , \blk00000003/sig00000481 , \blk00000003/sig00000482 , \blk00000003/sig00000483 ,
+\blk00000003/sig00000484 , \blk00000003/sig00000485 , \blk00000003/sig00000486 , \blk00000003/sig00000487 , \blk00000003/sig00000488 ,
+\blk00000003/sig00000489 , \blk00000003/sig0000048a , \blk00000003/sig0000048b , \blk00000003/sig0000048c , \blk00000003/sig0000048d ,
+\blk00000003/sig0000048e , \blk00000003/sig0000048f , \blk00000003/sig00000490 , \blk00000003/sig00000491 , \blk00000003/sig00000492 }),
+ .P({\NLW_blk00000003/blk000000fb_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fb_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fb_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000493 ,
+\blk00000003/sig00000493 , \blk00000003/sig00000493 , \blk00000003/sig00000494 , \blk00000003/sig00000495 , \blk00000003/sig00000496 ,
+\blk00000003/sig00000497 , \blk00000003/sig00000498 , \blk00000003/sig00000499 , \blk00000003/sig0000049a , \blk00000003/sig0000049b ,
+\blk00000003/sig0000049c , \blk00000003/sig0000049d , \blk00000003/sig0000049e , \blk00000003/sig0000049f , \blk00000003/sig000004a0 ,
+\blk00000003/sig000004a1 , \blk00000003/sig000004a2 , \blk00000003/sig000004a3 , \blk00000003/sig000004a4 , \blk00000003/sig000004a5 ,
+\blk00000003/sig000004a6 , \blk00000003/sig000004a7 , \blk00000003/sig000004a8 , \blk00000003/sig000004a9 , \blk00000003/sig000004aa }),
+ .PCOUT({\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b ,
+\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 ,
+\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 ,
+\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa ,
+\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af ,
+\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 ,
+\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 ,
+\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be ,
+\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 ,
+\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000fa (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000fa_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000fa_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000fa_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000fa_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000fa_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000fa_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000fa_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ac }),
+ .PCIN({\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d ,
+\blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 , \blk00000003/sig00000412 ,
+\blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 , \blk00000003/sig00000417 ,
+\blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b , \blk00000003/sig0000041c ,
+\blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 , \blk00000003/sig00000421 ,
+\blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 , \blk00000003/sig00000426 ,
+\blk00000003/sig00000427 , \blk00000003/sig00000428 , \blk00000003/sig00000429 , \blk00000003/sig0000042a , \blk00000003/sig0000042b ,
+\blk00000003/sig0000042c , \blk00000003/sig0000042d , \blk00000003/sig0000042e , \blk00000003/sig0000042f , \blk00000003/sig00000430 ,
+\blk00000003/sig00000431 , \blk00000003/sig00000432 , \blk00000003/sig00000433 , \blk00000003/sig00000434 , \blk00000003/sig00000435 ,
+\blk00000003/sig00000436 , \blk00000003/sig00000437 , \blk00000003/sig00000438 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000fa_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb ,
+\blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 ,
+\blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 ,
+\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 }),
+ .BCOUT({\NLW_blk00000003/blk000000fa_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000fa_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000439 , \blk00000003/sig00000439 , \blk00000003/sig0000043a , \blk00000003/sig0000043b , \blk00000003/sig0000043c ,
+\blk00000003/sig0000043d , \blk00000003/sig0000043e , \blk00000003/sig0000043f , \blk00000003/sig00000440 , \blk00000003/sig00000441 ,
+\blk00000003/sig00000442 , \blk00000003/sig00000443 , \blk00000003/sig00000444 , \blk00000003/sig00000445 , \blk00000003/sig00000446 ,
+\blk00000003/sig00000447 , \blk00000003/sig00000448 , \blk00000003/sig00000449 , \blk00000003/sig0000044a , \blk00000003/sig0000044b ,
+\blk00000003/sig0000044c , \blk00000003/sig0000044d , \blk00000003/sig0000044e , \blk00000003/sig0000044f , \blk00000003/sig00000450 }),
+ .P({\NLW_blk00000003/blk000000fa_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000fa_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000fa_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000451 ,
+\blk00000003/sig00000451 , \blk00000003/sig00000451 , \blk00000003/sig00000452 , \blk00000003/sig00000453 , \blk00000003/sig00000454 ,
+\blk00000003/sig00000455 , \blk00000003/sig00000456 , \blk00000003/sig00000457 , \blk00000003/sig00000458 , \blk00000003/sig00000459 ,
+\blk00000003/sig0000045a , \blk00000003/sig0000045b , \blk00000003/sig0000045c , \blk00000003/sig0000045d , \blk00000003/sig0000045e ,
+\blk00000003/sig0000045f , \blk00000003/sig00000460 , \blk00000003/sig00000461 , \blk00000003/sig00000462 , \blk00000003/sig00000463 ,
+\blk00000003/sig00000464 , \blk00000003/sig00000465 , \blk00000003/sig00000466 , \blk00000003/sig00000467 , \blk00000003/sig00000468 }),
+ .PCOUT({\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 ,
+\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc ,
+\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 ,
+\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 ,
+\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db ,
+\blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 ,
+\blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 ,
+\blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea ,
+\blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef ,
+\blk00000003/sig000000f0 , \blk00000003/sig000000f1 , \blk00000003/sig000000f2 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000f9 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000f9_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000f9_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000f9_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000f9_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000f9_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000f9_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000f9_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ac }),
+ .PCIN({\blk00000003/sig00000397 , \blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b ,
+\blk00000003/sig0000039c , \blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 ,
+\blk00000003/sig000003a1 , \blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 ,
+\blk00000003/sig000003a6 , \blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa ,
+\blk00000003/sig000003ab , \blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af ,
+\blk00000003/sig000003b0 , \blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 , \blk00000003/sig000003b4 ,
+\blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 , \blk00000003/sig000003b9 ,
+\blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd , \blk00000003/sig000003be ,
+\blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 , \blk00000003/sig000003c3 ,
+\blk00000003/sig000003c4 , \blk00000003/sig000003c5 , \blk00000003/sig000003c6 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000f9_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 , \blk00000003/sig000003ca , \blk00000003/sig000003cb ,
+\blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce , \blk00000003/sig000003cf , \blk00000003/sig000003d0 ,
+\blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 , \blk00000003/sig000003d4 , \blk00000003/sig000003d5 ,
+\blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 }),
+ .BCOUT({\NLW_blk00000003/blk000000f9_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f9_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000003d9 , \blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , \blk00000003/sig000003dc ,
+\blk00000003/sig000003dd , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 ,
+\blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 ,
+\blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb ,
+\blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 }),
+ .P({\NLW_blk00000003/blk000000f9_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f9_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f9_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f1 ,
+\blk00000003/sig000003f1 , \blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 ,
+\blk00000003/sig000003f5 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 ,
+\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe ,
+\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 ,
+\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 }),
+ .PCOUT({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 ,
+\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 ,
+\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a ,
+\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f ,
+\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 ,
+\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 ,
+\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e ,
+\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 ,
+\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 ,
+\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000f8 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000f8_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000f8_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000f8_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000f8_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000f8_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000f8_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000f8_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ac }),
+ .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000f8_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000002c5 , \blk00000003/sig000002c6 , \blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 ,
+\blk00000003/sig000002ca , \blk00000003/sig000002cb , \blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce ,
+\blk00000003/sig000002cf , \blk00000003/sig000002d0 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 ,
+\blk00000003/sig000002d4 , \blk00000003/sig000002d5 , \blk00000003/sig000002d6 }),
+ .BCOUT({\NLW_blk00000003/blk000000f8_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f8_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000337 , \blk00000003/sig00000337 , \blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a ,
+\blk00000003/sig0000033b , \blk00000003/sig0000033c , \blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f ,
+\blk00000003/sig00000340 , \blk00000003/sig00000341 , \blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 ,
+\blk00000003/sig00000345 , \blk00000003/sig00000346 , \blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 ,
+\blk00000003/sig0000034a , \blk00000003/sig0000034b , \blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e }),
+ .P({\NLW_blk00000003/blk000000f8_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f8_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f8_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig0000034f ,
+\blk00000003/sig0000034f , \blk00000003/sig0000034f , \blk00000003/sig00000350 , \blk00000003/sig00000351 , \blk00000003/sig00000352 ,
+\blk00000003/sig00000353 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 ,
+\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c ,
+\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 ,
+\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 }),
+ .PCOUT({\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b ,
+\blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f , \blk00000003/sig00000370 ,
+\blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 , \blk00000003/sig00000375 ,
+\blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 , \blk00000003/sig0000037a ,
+\blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e , \blk00000003/sig0000037f ,
+\blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 , \blk00000003/sig00000384 ,
+\blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 , \blk00000003/sig00000389 ,
+\blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d , \blk00000003/sig0000038e ,
+\blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 , \blk00000003/sig00000393 ,
+\blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk000000f7 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk000000f7_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk000000f7_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk000000f7_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk000000f7_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk000000f7_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk000000f7_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk000000f7_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig000000ac ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ac }),
+ .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk000000f7_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000002c5 , \blk00000003/sig000002c6 , \blk00000003/sig000002c7 , \blk00000003/sig000002c8 , \blk00000003/sig000002c9 ,
+\blk00000003/sig000002ca , \blk00000003/sig000002cb , \blk00000003/sig000002cc , \blk00000003/sig000002cd , \blk00000003/sig000002ce ,
+\blk00000003/sig000002cf , \blk00000003/sig000002d0 , \blk00000003/sig000002d1 , \blk00000003/sig000002d2 , \blk00000003/sig000002d3 ,
+\blk00000003/sig000002d4 , \blk00000003/sig000002d5 , \blk00000003/sig000002d6 }),
+ .BCOUT({\NLW_blk00000003/blk000000f7_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk000000f7_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000002d7 , \blk00000003/sig000002d7 , \blk00000003/sig000002d8 , \blk00000003/sig000002d9 , \blk00000003/sig000002da ,
+\blk00000003/sig000002db , \blk00000003/sig000002dc , \blk00000003/sig000002dd , \blk00000003/sig000002de , \blk00000003/sig000002df ,
+\blk00000003/sig000002e0 , \blk00000003/sig000002e1 , \blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 ,
+\blk00000003/sig000002e5 , \blk00000003/sig000002e6 , \blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 ,
+\blk00000003/sig000002ea , \blk00000003/sig000002eb , \blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee }),
+ .P({\NLW_blk00000003/blk000000f7_P<47>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<45>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<44>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<42>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<41>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<39>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<38>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<36>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<35>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<33>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<32>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<30>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<29>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<27>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<26>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<24>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<23>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<21>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<20>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<18>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<17>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<15>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<14>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<12>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<11>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<9>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<8>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<6>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<5>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<3>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<2>_UNCONNECTED , \NLW_blk00000003/blk000000f7_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk000000f7_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002ef ,
+\blk00000003/sig000002ef , \blk00000003/sig000002ef , \blk00000003/sig000002f0 , \blk00000003/sig000002f1 , \blk00000003/sig000002f2 ,
+\blk00000003/sig000002f3 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 ,
+\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc ,
+\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 ,
+\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 }),
+ .PCOUT({\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b ,
+\blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f , \blk00000003/sig00000310 ,
+\blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 , \blk00000003/sig00000315 ,
+\blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 , \blk00000003/sig0000031a ,
+\blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e , \blk00000003/sig0000031f ,
+\blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 , \blk00000003/sig00000324 ,
+\blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 , \blk00000003/sig00000329 ,
+\blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d , \blk00000003/sig0000032e ,
+\blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 , \blk00000003/sig00000333 ,
+\blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cd ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000002c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c2 ),
+ .Q(\blk00000003/sig000002c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c1 ),
+ .Q(\blk00000003/sig000002b9 )
+ );
+ XORCY \blk00000003/blk000000f3 (
+ .CI(\blk00000003/sig000002bc ),
+ .LI(\blk00000003/sig000002bf ),
+ .O(\blk00000003/sig000002c0 )
+ );
+ MUXCY_D \blk00000003/blk000000f2 (
+ .CI(\blk00000003/sig000002bc ),
+ .DI(\blk00000003/sig000002be ),
+ .S(\blk00000003/sig000002bf ),
+ .O(\NLW_blk00000003/blk000000f2_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000f2_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000f1 (
+ .CI(\blk00000003/sig000002b9 ),
+ .LI(\blk00000003/sig000002bb ),
+ .O(\blk00000003/sig000002bd )
+ );
+ MUXCY_L \blk00000003/blk000000f0 (
+ .CI(\blk00000003/sig000002b9 ),
+ .DI(\blk00000003/sig000002ba ),
+ .S(\blk00000003/sig000002bb ),
+ .LO(\blk00000003/sig000002bc )
+ );
+ MUXCY_L \blk00000003/blk000000ef (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000002b8 ),
+ .S(\blk00000003/sig000002b2 ),
+ .LO(\blk00000003/sig000002b4 )
+ );
+ MUXCY_D \blk00000003/blk000000ee (
+ .CI(\blk00000003/sig000002b4 ),
+ .DI(\blk00000003/sig000002b7 ),
+ .S(\blk00000003/sig000002b5 ),
+ .O(\NLW_blk00000003/blk000000ee_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000ee_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000ed (
+ .CI(\blk00000003/sig000002b4 ),
+ .LI(\blk00000003/sig000002b5 ),
+ .O(\blk00000003/sig000002b6 )
+ );
+ XORCY \blk00000003/blk000000ec (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000002b2 ),
+ .O(\blk00000003/sig000002b3 )
+ );
+ MUXCY_L \blk00000003/blk000000eb (
+ .CI(\blk00000003/sig000002a9 ),
+ .DI(\blk00000003/sig000002b1 ),
+ .S(\blk00000003/sig000002aa ),
+ .LO(\blk00000003/sig000002ac )
+ );
+ MUXCY_D \blk00000003/blk000000ea (
+ .CI(\blk00000003/sig000002ac ),
+ .DI(\blk00000003/sig000002b0 ),
+ .S(\blk00000003/sig000002ad ),
+ .O(\NLW_blk00000003/blk000000ea_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000ea_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000e9 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ac ),
+ .S(\blk00000003/sig000002af ),
+ .O(\blk00000003/sig000002a9 )
+ );
+ XORCY \blk00000003/blk000000e8 (
+ .CI(\blk00000003/sig000002ac ),
+ .LI(\blk00000003/sig000002ad ),
+ .O(\blk00000003/sig000002ae )
+ );
+ XORCY \blk00000003/blk000000e7 (
+ .CI(\blk00000003/sig000002a9 ),
+ .LI(\blk00000003/sig000002aa ),
+ .O(\blk00000003/sig000002ab )
+ );
+ FDE \blk00000003/blk000000e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a7 ),
+ .Q(\blk00000003/sig000002a8 )
+ );
+ MUXCY_L \blk00000003/blk000000e5 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000002a6 ),
+ .S(\blk00000003/sig000002a0 ),
+ .LO(\blk00000003/sig000002a2 )
+ );
+ MUXCY_D \blk00000003/blk000000e4 (
+ .CI(\blk00000003/sig000002a2 ),
+ .DI(\blk00000003/sig000002a5 ),
+ .S(\blk00000003/sig000002a3 ),
+ .O(\NLW_blk00000003/blk000000e4_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000e4_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000e3 (
+ .CI(\blk00000003/sig000002a2 ),
+ .LI(\blk00000003/sig000002a3 ),
+ .O(\blk00000003/sig000002a4 )
+ );
+ XORCY \blk00000003/blk000000e2 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000002a0 ),
+ .O(\blk00000003/sig000002a1 )
+ );
+ MUXCY_L \blk00000003/blk000000e1 (
+ .CI(\blk00000003/sig00000299 ),
+ .DI(\blk00000003/sig000001e7 ),
+ .S(\blk00000003/sig0000029a ),
+ .LO(\blk00000003/sig0000029c )
+ );
+ MUXCY_D \blk00000003/blk000000e0 (
+ .CI(\blk00000003/sig0000029c ),
+ .DI(\blk00000003/sig000001e6 ),
+ .S(\blk00000003/sig0000029d ),
+ .O(\NLW_blk00000003/blk000000e0_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000e0_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000df (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ac ),
+ .S(\blk00000003/sig0000029f ),
+ .O(\blk00000003/sig00000299 )
+ );
+ XORCY \blk00000003/blk000000de (
+ .CI(\blk00000003/sig0000029c ),
+ .LI(\blk00000003/sig0000029d ),
+ .O(\blk00000003/sig0000029e )
+ );
+ XORCY \blk00000003/blk000000dd (
+ .CI(\blk00000003/sig00000299 ),
+ .LI(\blk00000003/sig0000029a ),
+ .O(\blk00000003/sig0000029b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000dc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000297 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000298 )
+ );
+ MUXCY_D \blk00000003/blk000000db (
+ .CI(\blk00000003/sig00000294 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000296 ),
+ .O(\NLW_blk00000003/blk000000db_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000297 )
+ );
+ MUXCY_D \blk00000003/blk000000da (
+ .CI(\blk00000003/sig000000ac ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000295 ),
+ .O(\blk00000003/sig00000292 ),
+ .LO(\NLW_blk00000003/blk000000da_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d9 (
+ .CI(\blk00000003/sig00000292 ),
+ .DI(\blk00000003/sig00000291 ),
+ .S(\blk00000003/sig00000293 ),
+ .O(\blk00000003/sig00000294 ),
+ .LO(\blk00000003/sig00000290 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000d8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000290 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000291 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000000d7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000281 ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000280 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021c )
+ );
+ MUXCY_D \blk00000003/blk000000d5 (
+ .CI(\blk00000003/sig0000021c ),
+ .DI(\blk00000003/sig0000028e ),
+ .S(\blk00000003/sig0000028f ),
+ .O(\blk00000003/sig0000028b ),
+ .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d4 (
+ .CI(\blk00000003/sig0000028b ),
+ .DI(\blk00000003/sig0000028c ),
+ .S(\blk00000003/sig0000028d ),
+ .O(\blk00000003/sig00000289 ),
+ .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d3 (
+ .CI(\blk00000003/sig00000289 ),
+ .DI(\blk00000003/sig0000027f ),
+ .S(\blk00000003/sig0000028a ),
+ .O(\blk00000003/sig00000286 ),
+ .LO(\NLW_blk00000003/blk000000d3_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d2 (
+ .CI(\blk00000003/sig00000286 ),
+ .DI(\blk00000003/sig00000287 ),
+ .S(\blk00000003/sig00000288 ),
+ .O(\blk00000003/sig00000284 ),
+ .LO(\NLW_blk00000003/blk000000d2_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d1 (
+ .CI(\blk00000003/sig00000284 ),
+ .DI(\blk00000003/sig00000244 ),
+ .S(\blk00000003/sig00000285 ),
+ .O(\blk00000003/sig00000282 ),
+ .LO(\NLW_blk00000003/blk000000d1_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d0 (
+ .CI(\blk00000003/sig00000282 ),
+ .DI(\blk00000003/sig00000219 ),
+ .S(\blk00000003/sig00000283 ),
+ .O(\NLW_blk00000003/blk000000d0_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000280 )
+ );
+ XORCY \blk00000003/blk000000cf (
+ .CI(\blk00000003/sig00000280 ),
+ .LI(\blk00000003/sig000000ac ),
+ .O(\blk00000003/sig00000281 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000000ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026f ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000027f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000001cd )
+ );
+ MUXCY_D \blk00000003/blk000000cc (
+ .CI(\blk00000003/sig000001cd ),
+ .DI(\blk00000003/sig0000027d ),
+ .S(\blk00000003/sig0000027e ),
+ .O(\blk00000003/sig0000027b ),
+ .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cb (
+ .CI(\blk00000003/sig0000027b ),
+ .DI(\blk00000003/sig000001ce ),
+ .S(\blk00000003/sig0000027c ),
+ .O(\blk00000003/sig00000279 ),
+ .LO(\NLW_blk00000003/blk000000cb_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000ca (
+ .CI(\blk00000003/sig00000279 ),
+ .DI(\blk00000003/sig000001cd ),
+ .S(\blk00000003/sig0000027a ),
+ .O(\blk00000003/sig00000276 ),
+ .LO(\NLW_blk00000003/blk000000ca_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c9 (
+ .CI(\blk00000003/sig00000276 ),
+ .DI(\blk00000003/sig00000277 ),
+ .S(\blk00000003/sig00000278 ),
+ .O(\blk00000003/sig00000274 ),
+ .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c8 (
+ .CI(\blk00000003/sig00000274 ),
+ .DI(\blk00000003/sig0000021f ),
+ .S(\blk00000003/sig00000275 ),
+ .O(\blk00000003/sig00000270 ),
+ .LO(\NLW_blk00000003/blk000000c8_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000c7 (
+ .CI(\blk00000003/sig00000272 ),
+ .DI(\blk00000003/sig0000021f ),
+ .S(\blk00000003/sig00000273 ),
+ .O(\NLW_blk00000003/blk000000c7_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000026e )
+ );
+ MUXCY_D \blk00000003/blk000000c6 (
+ .CI(\blk00000003/sig00000270 ),
+ .DI(\blk00000003/sig00000239 ),
+ .S(\blk00000003/sig00000271 ),
+ .O(\blk00000003/sig00000272 ),
+ .LO(\NLW_blk00000003/blk000000c6_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000c5 (
+ .CI(\blk00000003/sig0000026e ),
+ .LI(\blk00000003/sig000000ac ),
+ .O(\blk00000003/sig0000026f )
+ );
+ FDE \blk00000003/blk000000c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026c ),
+ .Q(\blk00000003/sig0000026d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021c ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000026b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024a ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000263 )
+ );
+ XORCY \blk00000003/blk000000c1 (
+ .CI(\blk00000003/sig00000266 ),
+ .LI(\blk00000003/sig00000269 ),
+ .O(\blk00000003/sig0000026a )
+ );
+ MUXCY_D \blk00000003/blk000000c0 (
+ .CI(\blk00000003/sig00000266 ),
+ .DI(\blk00000003/sig00000268 ),
+ .S(\blk00000003/sig00000269 ),
+ .O(\NLW_blk00000003/blk000000c0_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000c0_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000bf (
+ .CI(\blk00000003/sig00000263 ),
+ .LI(\blk00000003/sig00000265 ),
+ .O(\blk00000003/sig00000267 )
+ );
+ MUXCY_L \blk00000003/blk000000be (
+ .CI(\blk00000003/sig00000263 ),
+ .DI(\blk00000003/sig00000264 ),
+ .S(\blk00000003/sig00000265 ),
+ .LO(\blk00000003/sig00000266 )
+ );
+ MUXCY_L \blk00000003/blk000000bd (
+ .CI(\blk00000003/sig0000025a ),
+ .DI(\blk00000003/sig00000262 ),
+ .S(\blk00000003/sig0000025b ),
+ .LO(\blk00000003/sig0000025d )
+ );
+ MUXCY_D \blk00000003/blk000000bc (
+ .CI(\blk00000003/sig0000025d ),
+ .DI(\blk00000003/sig00000261 ),
+ .S(\blk00000003/sig0000025e ),
+ .O(\NLW_blk00000003/blk000000bc_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000bc_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000bb (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ac ),
+ .S(\blk00000003/sig00000260 ),
+ .O(\blk00000003/sig0000025a )
+ );
+ XORCY \blk00000003/blk000000ba (
+ .CI(\blk00000003/sig0000025d ),
+ .LI(\blk00000003/sig0000025e ),
+ .O(\blk00000003/sig0000025f )
+ );
+ XORCY \blk00000003/blk000000b9 (
+ .CI(\blk00000003/sig0000025a ),
+ .LI(\blk00000003/sig0000025b ),
+ .O(\blk00000003/sig0000025c )
+ );
+ MUXCY_L \blk00000003/blk000000b8 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000259 ),
+ .S(\blk00000003/sig00000257 ),
+ .LO(\blk00000003/sig00000253 )
+ );
+ XORCY \blk00000003/blk000000b7 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000257 ),
+ .O(\blk00000003/sig00000258 )
+ );
+ MUXCY_D \blk00000003/blk000000b6 (
+ .CI(\blk00000003/sig00000253 ),
+ .DI(\blk00000003/sig00000256 ),
+ .S(\blk00000003/sig00000254 ),
+ .O(\NLW_blk00000003/blk000000b6_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000b6_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000b5 (
+ .CI(\blk00000003/sig00000253 ),
+ .LI(\blk00000003/sig00000254 ),
+ .O(\blk00000003/sig00000255 )
+ );
+ MUXCY_L \blk00000003/blk000000b4 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000252 ),
+ .S(\blk00000003/sig00000250 ),
+ .LO(\blk00000003/sig0000024c )
+ );
+ XORCY \blk00000003/blk000000b3 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000250 ),
+ .O(\blk00000003/sig00000251 )
+ );
+ MUXCY_D \blk00000003/blk000000b2 (
+ .CI(\blk00000003/sig0000024c ),
+ .DI(\blk00000003/sig0000024f ),
+ .S(\blk00000003/sig0000024d ),
+ .O(\NLW_blk00000003/blk000000b2_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000b2_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000b1 (
+ .CI(\blk00000003/sig0000024c ),
+ .LI(\blk00000003/sig0000024d ),
+ .O(\blk00000003/sig0000024e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000b0 (
+ .C(clk),
+ .CE(ce),
+ .D(coef_ld),
+ .Q(\blk00000003/sig0000024b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000af (
+ .C(clk),
+ .CE(ce),
+ .D(coef_we),
+ .Q(\blk00000003/sig0000024a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ae (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e0 ),
+ .Q(\blk00000003/sig0000023e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000249 ),
+ .Q(\blk00000003/sig00000231 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000247 ),
+ .Q(\blk00000003/sig00000248 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000246 ),
+ .Q(\blk00000003/sig0000022f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000245 ),
+ .Q(\blk00000003/sig0000023c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000243 ),
+ .Q(\blk00000003/sig00000244 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000241 ),
+ .Q(\blk00000003/sig00000242 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023f ),
+ .Q(\blk00000003/sig00000240 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023e ),
+ .Q(\blk00000003/sig0000023a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023c ),
+ .Q(\blk00000003/sig0000023d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023a ),
+ .Q(\blk00000003/sig0000023b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021b ),
+ .Q(\blk00000003/sig00000239 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000234 ),
+ .Q(\blk00000003/sig00000238 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000022e ),
+ .R(coef_ld),
+ .Q(\NLW_blk00000003/blk000000a1_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000022c ),
+ .R(coef_ld),
+ .Q(\NLW_blk00000003/blk000000a0_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000228 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000227 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000224 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000222 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021c ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000237 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000235 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000236 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000233 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000234 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000231 ),
+ .Q(\blk00000003/sig00000232 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000099 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000022f ),
+ .Q(\blk00000003/sig00000230 )
+ );
+ MUXCY_D \blk00000003/blk00000098 (
+ .CI(\blk00000003/sig0000022b ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig0000022d ),
+ .O(\NLW_blk00000003/blk00000098_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000022e )
+ );
+ MUXCY_D \blk00000003/blk00000097 (
+ .CI(coef_we),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig0000022a ),
+ .O(\blk00000003/sig0000022b ),
+ .LO(\blk00000003/sig0000022c )
+ );
+ MUXCY_D \blk00000003/blk00000096 (
+ .CI(\blk00000003/sig000000ac ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000229 ),
+ .O(\blk00000003/sig00000226 ),
+ .LO(\NLW_blk00000003/blk00000096_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000095 (
+ .CI(\blk00000003/sig00000226 ),
+ .DI(\blk00000003/sig00000227 ),
+ .S(coef_we),
+ .O(\NLW_blk00000003/blk00000095_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000228 )
+ );
+ MUXCY_D \blk00000003/blk00000094 (
+ .CI(\blk00000003/sig000000ac ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000225 ),
+ .O(\blk00000003/sig00000221 ),
+ .LO(\NLW_blk00000003/blk00000094_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000093 (
+ .CI(\blk00000003/sig00000221 ),
+ .DI(\blk00000003/sig00000222 ),
+ .S(\blk00000003/sig00000223 ),
+ .O(\NLW_blk00000003/blk00000093_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000224 )
+ );
+ XORCY \blk00000003/blk00000092 (
+ .CI(\blk00000003/sig0000021a ),
+ .LI(\blk00000003/sig000000ac ),
+ .O(\blk00000003/sig00000218 )
+ );
+ MUXCY_D \blk00000003/blk00000091 (
+ .CI(\blk00000003/sig0000021e ),
+ .DI(\blk00000003/sig0000021f ),
+ .S(\blk00000003/sig00000220 ),
+ .O(\NLW_blk00000003/blk00000091_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000021a )
+ );
+ MUXCY_D \blk00000003/blk00000090 (
+ .CI(\blk00000003/sig0000021b ),
+ .DI(\blk00000003/sig0000021c ),
+ .S(\blk00000003/sig0000021d ),
+ .O(\blk00000003/sig0000021e ),
+ .LO(\NLW_blk00000003/blk00000090_LO_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000008f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021a ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021b )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk0000008e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000218 ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000219 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e4 )
+ );
+ FDR #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000029 (
+ .C(clk),
+ .D(\blk00000003/sig000000b7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000b7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000028 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000027 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e3 ),
+ .R(\blk00000003/sig000001de ),
+ .Q(data_valid)
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000026 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e2 )
+ );
+ FDRE \blk00000003/blk00000025 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001df ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000024 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001dd ),
+ .R(\blk00000003/sig000001de ),
+ .Q(rdy)
+ );
+ FDSE \blk00000003/blk00000023 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001db ),
+ .S(sclr),
+ .Q(\blk00000003/sig000001dc )
+ );
+ FDRE \blk00000003/blk00000022 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001da )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000021 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cb ),
+ .S(sclr),
+ .Q(NlwRenamedSig_OI_rfd)
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000020 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001c9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001c6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001c5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001c3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cf ),
+ .R(sclr),
+ .Q(\NLW_blk00000003/blk0000001a_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000019 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cf ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000018 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cd ),
+ .Q(\blk00000003/sig000001ce )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000017 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000c1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000bf )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000016 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000bc ),
+ .R(sclr),
+ .Q(\NLW_blk00000003/blk00000016_Q_UNCONNECTED )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000015 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000bd ),
+ .S(sclr),
+ .Q(\blk00000003/sig000001cc )
+ );
+ MUXCY \blk00000003/blk00000014 (
+ .CI(\blk00000003/sig000001c8 ),
+ .DI(\blk00000003/sig000000ac ),
+ .S(\blk00000003/sig000001ca ),
+ .O(\blk00000003/sig000001cb )
+ );
+ MUXCY_D \blk00000003/blk00000013 (
+ .CI(\blk00000003/sig000001c6 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000001c7 ),
+ .O(\blk00000003/sig000001c8 ),
+ .LO(\blk00000003/sig000001c9 )
+ );
+ MUXCY_D \blk00000003/blk00000012 (
+ .CI(\blk00000003/sig000001c3 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000001c4 ),
+ .O(\NLW_blk00000003/blk00000012_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000001c5 )
+ );
+ DSP48E1 #(
+ .ACASCREG ( 2 ),
+ .ADREG ( 0 ),
+ .ALUMODEREG ( 1 ),
+ .AREG ( 2 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 2 ),
+ .BREG ( 2 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 0 ),
+ .INMODEREG ( 0 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 1 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "FALSE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000011 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000011_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(ce),
+ .CEAD(\blk00000003/sig00000049 ),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000011_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000011_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000011_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000011_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(\blk00000003/sig00000049 ),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(ce),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000011_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000011_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b1 , \blk00000003/sig00000049 , \blk00000003/sig000000b5 ,
+\blk00000003/sig000000b3 , \blk00000003/sig000000b5 }),
+ .PCIN({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 ,
+\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 ,
+\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a ,
+\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f ,
+\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 ,
+\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 ,
+\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e ,
+\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 ,
+\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 ,
+\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000011_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , \blk00000003/sig000000f7 ,
+\blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc ,
+\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 ,
+\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 }),
+ .BCOUT({\NLW_blk00000003/blk00000011_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .P({\NLW_blk00000003/blk00000011_P<47>_UNCONNECTED , \blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e ,
+\blk00000003/sig0000017f , \blk00000003/sig00000180 , \blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 ,
+\blk00000003/sig00000184 , \blk00000003/sig00000185 , \blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 ,
+\blk00000003/sig00000189 , \blk00000003/sig0000018a , \blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d ,
+\blk00000003/sig0000018e , \blk00000003/sig0000018f , \blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 ,
+\blk00000003/sig00000193 , \blk00000003/sig00000194 , \blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 ,
+\blk00000003/sig00000198 , \blk00000003/sig00000199 , \blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c ,
+\blk00000003/sig0000019d , \blk00000003/sig0000019e , \blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 ,
+\blk00000003/sig000001a2 , \blk00000003/sig000001a3 , \blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 ,
+\blk00000003/sig000001a7 , \blk00000003/sig000001a8 , \blk00000003/sig000001a9 , \blk00000003/sig000001aa }),
+ .A({\blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ab ,
+\blk00000003/sig000001ab , \blk00000003/sig000001ab , \blk00000003/sig000001ac , \blk00000003/sig000001ad , \blk00000003/sig000001ae ,
+\blk00000003/sig000001af , \blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 ,
+\blk00000003/sig000001b4 , \blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 ,
+\blk00000003/sig000001b9 , \blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd ,
+\blk00000003/sig000001be , \blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 }),
+ .PCOUT({\NLW_blk00000003/blk00000011_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<44>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<42>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<38>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<36>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<32>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<30>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000011_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000011_PCOUT<0>_UNCONNECTED }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 2 ),
+ .ADREG ( 0 ),
+ .ALUMODEREG ( 1 ),
+ .AREG ( 2 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 2 ),
+ .BREG ( 2 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 0 ),
+ .INMODEREG ( 0 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 1 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "FALSE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000010 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000010_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(ce),
+ .CEAD(\blk00000003/sig00000049 ),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000010_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000010_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000010_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000010_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(\blk00000003/sig00000049 ),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(ce),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000010_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000010_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b1 , \blk00000003/sig00000049 , \blk00000003/sig000000b5 ,
+\blk00000003/sig000000b3 , \blk00000003/sig000000b5 }),
+ .PCIN({\blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 , \blk00000003/sig000000c7 ,
+\blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb , \blk00000003/sig000000cc ,
+\blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 , \blk00000003/sig000000d1 ,
+\blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 , \blk00000003/sig000000d6 ,
+\blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da , \blk00000003/sig000000db ,
+\blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df , \blk00000003/sig000000e0 ,
+\blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 , \blk00000003/sig000000e5 ,
+\blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 , \blk00000003/sig000000ea ,
+\blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee , \blk00000003/sig000000ef ,
+\blk00000003/sig000000f0 , \blk00000003/sig000000f1 , \blk00000003/sig000000f2 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000010_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ac , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 , \blk00000003/sig000000f7 ,
+\blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb , \blk00000003/sig000000fc ,
+\blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 , \blk00000003/sig00000101 ,
+\blk00000003/sig00000102 , \blk00000003/sig00000103 , \blk00000003/sig00000104 }),
+ .BCOUT({\NLW_blk00000003/blk00000010_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .P({\NLW_blk00000003/blk00000010_P<47>_UNCONNECTED , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 ,
+\blk00000003/sig00000108 , \blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c ,
+\blk00000003/sig0000010d , \blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 ,
+\blk00000003/sig00000112 , \blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 ,
+\blk00000003/sig00000117 , \blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b ,
+\blk00000003/sig0000011c , \blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 ,
+\blk00000003/sig00000121 , \blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 ,
+\blk00000003/sig00000126 , \blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a ,
+\blk00000003/sig0000012b , \blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f ,
+\blk00000003/sig00000130 , \blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }),
+ .A({\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 ,
+\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 ,
+\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c ,
+\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 ,
+\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 ,
+\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b }),
+ .PCOUT({\NLW_blk00000003/blk00000010_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<44>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<42>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<38>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<36>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<32>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<30>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000010_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000010_PCOUT<0>_UNCONNECTED }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ MUXCY_D \blk00000003/blk0000000f (
+ .CI(\blk00000003/sig000000ac ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000000c2 ),
+ .O(\blk00000003/sig000000be ),
+ .LO(\NLW_blk00000003/blk0000000f_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk0000000e (
+ .CI(\blk00000003/sig000000be ),
+ .DI(\blk00000003/sig000000bf ),
+ .S(\blk00000003/sig000000c0 ),
+ .O(\blk00000003/sig000000b6 ),
+ .LO(\blk00000003/sig000000c1 )
+ );
+ XORCY \blk00000003/blk0000000d (
+ .CI(\blk00000003/sig000000bc ),
+ .LI(\blk00000003/sig000000ac ),
+ .O(\blk00000003/sig000000bd )
+ );
+ MUXCY_D \blk00000003/blk0000000c (
+ .CI(\blk00000003/sig000000b9 ),
+ .DI(\blk00000003/sig000000ba ),
+ .S(\blk00000003/sig000000bb ),
+ .O(\NLW_blk00000003/blk0000000c_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000000bc )
+ );
+ MUXCY_D \blk00000003/blk0000000b (
+ .CI(\blk00000003/sig000000b6 ),
+ .DI(\blk00000003/sig000000b7 ),
+ .S(\blk00000003/sig000000b8 ),
+ .O(\blk00000003/sig000000b9 ),
+ .LO(\NLW_blk00000003/blk0000000b_LO_UNCONNECTED )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000000a (
+ .C(clk),
+ .D(\blk00000003/sig000000b4 ),
+ .Q(\blk00000003/sig000000b5 )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000009 (
+ .C(clk),
+ .D(\blk00000003/sig000000b2 ),
+ .Q(\blk00000003/sig000000b3 )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000008 (
+ .C(clk),
+ .D(\blk00000003/sig000000b0 ),
+ .Q(\blk00000003/sig000000b1 )
+ );
+ XORCY \blk00000003/blk00000007 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000000ae ),
+ .O(\blk00000003/sig000000af )
+ );
+ MUXCY_D \blk00000003/blk00000006 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ad ),
+ .S(\blk00000003/sig000000ae ),
+ .O(\NLW_blk00000003/blk00000006_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk00000006_LO_UNCONNECTED )
+ );
+ VCC \blk00000003/blk00000005 (
+ .P(\blk00000003/sig000000ac )
+ );
+ GND \blk00000003/blk00000004 (
+ .G(\blk00000003/sig00000049 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000002b/blk0000008d (
+ .I0(nd),
+ .I1(ce),
+ .O(\blk00000003/blk0000002b/sig00000800 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000008c (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[22]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000008c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007fe )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000008b (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[21]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000008b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007fd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000008a (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[23]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000008a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007ff )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000089 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[19]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000089_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007fb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000088 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[18]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000088_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007fa )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000087 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[20]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000087_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007fc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000086 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[16]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000086_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000085 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[15]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000085_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000084 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[17]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000084_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000083 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[13]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000083_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000082 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[12]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000082_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000081 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[14]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000081_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000080 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[10]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000080_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000007f (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[9]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000007f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000007e (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[11]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000007e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000007d (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[7]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000007d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007ef )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000007c (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[6]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000007c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007ee )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000007b (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[8]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000007b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007f0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000007a (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[4]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000007a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007ec )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000079 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[3]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000079_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007eb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000078 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[5]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000078_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007ed )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000077 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[1]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000077_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000076 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[0]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000076_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000075 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_2_2[2]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000075_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007ea )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000074 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[22]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000074_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000073 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[21]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000073_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000072 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[23]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000072_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000071 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[19]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000071_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000070 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[18]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000070_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000006f (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[20]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000006f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000006e (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[16]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000006e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000006d (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[15]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000006d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007df )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000006c (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[17]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000006c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007e1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000006b (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[13]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000006b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007dd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000006a (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[12]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000006a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007dc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000069 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[14]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000069_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007de )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000068 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[10]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000068_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007da )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000067 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[9]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000067_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000066 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[11]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000066_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007db )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000065 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[7]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000065_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000064 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[6]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000064_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000063 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[8]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000063_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000062 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[4]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000062_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000061 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[3]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000061_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk00000060 (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[5]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk00000060_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000005f (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[1]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000005f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000005e (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[0]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000005e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002b/blk0000005d (
+ .A0(\blk00000003/sig000001e7 ),
+ .A1(\blk00000003/sig000001e6 ),
+ .A2(\blk00000003/blk0000002b/sig000007cf ),
+ .A3(\blk00000003/blk0000002b/sig000007cf ),
+ .A4(\blk00000003/blk0000002b/sig000007cf ),
+ .D(din_1_1[2]),
+ .DPRA0(\blk00000003/sig000001dc ),
+ .DPRA1(\blk00000003/sig000001da ),
+ .DPRA2(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA3(\blk00000003/blk0000002b/sig000007cf ),
+ .DPRA4(\blk00000003/blk0000002b/sig000007cf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002b/sig00000800 ),
+ .SPO(\NLW_blk00000003/blk0000002b/blk0000005d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002b/sig000007d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000005c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007ff ),
+ .Q(\blk00000003/sig000001e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000005b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007fe ),
+ .Q(\blk00000003/sig000001e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000005a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007fd ),
+ .Q(\blk00000003/sig000001ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000059 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007fc ),
+ .Q(\blk00000003/sig000001eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000058 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007fb ),
+ .Q(\blk00000003/sig000001ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000057 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007fa ),
+ .Q(\blk00000003/sig000001ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000056 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f9 ),
+ .Q(\blk00000003/sig000001ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000055 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f8 ),
+ .Q(\blk00000003/sig000001ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000054 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f7 ),
+ .Q(\blk00000003/sig000001f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000053 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f6 ),
+ .Q(\blk00000003/sig000001f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000052 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f5 ),
+ .Q(\blk00000003/sig000001f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000051 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f4 ),
+ .Q(\blk00000003/sig000001f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000050 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f3 ),
+ .Q(\blk00000003/sig000001f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000004f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f2 ),
+ .Q(\blk00000003/sig000001f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000004e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f1 ),
+ .Q(\blk00000003/sig000001f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000004d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007f0 ),
+ .Q(\blk00000003/sig000001f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000004c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007ef ),
+ .Q(\blk00000003/sig000001f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000004b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007ee ),
+ .Q(\blk00000003/sig000001f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000004a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007ed ),
+ .Q(\blk00000003/sig000001fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000049 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007ec ),
+ .Q(\blk00000003/sig000001fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000048 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007eb ),
+ .Q(\blk00000003/sig000001fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000047 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007ea ),
+ .Q(\blk00000003/sig000001fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000046 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e9 ),
+ .Q(\blk00000003/sig000001fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000045 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e8 ),
+ .Q(\blk00000003/sig000001ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000044 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e7 ),
+ .Q(\blk00000003/sig00000200 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000043 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e6 ),
+ .Q(\blk00000003/sig00000201 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000042 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e5 ),
+ .Q(\blk00000003/sig00000202 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000041 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e4 ),
+ .Q(\blk00000003/sig00000203 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000040 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e3 ),
+ .Q(\blk00000003/sig00000204 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000003f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e2 ),
+ .Q(\blk00000003/sig00000205 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000003e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e1 ),
+ .Q(\blk00000003/sig00000206 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000003d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007e0 ),
+ .Q(\blk00000003/sig00000207 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000003c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007df ),
+ .Q(\blk00000003/sig00000208 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000003b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007de ),
+ .Q(\blk00000003/sig00000209 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000003a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007dd ),
+ .Q(\blk00000003/sig0000020a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000039 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007dc ),
+ .Q(\blk00000003/sig0000020b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000038 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007db ),
+ .Q(\blk00000003/sig0000020c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000037 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007da ),
+ .Q(\blk00000003/sig0000020d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000036 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d9 ),
+ .Q(\blk00000003/sig0000020e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000035 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d8 ),
+ .Q(\blk00000003/sig0000020f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000034 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d7 ),
+ .Q(\blk00000003/sig00000210 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000033 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d6 ),
+ .Q(\blk00000003/sig00000211 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000032 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d5 ),
+ .Q(\blk00000003/sig00000212 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000031 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d4 ),
+ .Q(\blk00000003/sig00000213 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk00000030 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d3 ),
+ .Q(\blk00000003/sig00000214 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000002f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d2 ),
+ .Q(\blk00000003/sig00000215 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000002e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d1 ),
+ .Q(\blk00000003/sig00000216 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002b/blk0000002d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002b/sig000007d0 ),
+ .Q(\blk00000003/sig00000217 )
+ );
+ GND \blk00000003/blk0000002b/blk0000002c (
+ .G(\blk00000003/blk0000002b/sig000007cf )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000117/blk00000149 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e6 ),
+ .O(\blk00000003/blk00000117/sig0000084f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000148 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047c ),
+ .Q(\blk00000003/blk00000117/sig0000084d ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000148_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000147 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047d ),
+ .Q(\blk00000003/blk00000117/sig0000084c ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000147_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000146 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047b ),
+ .Q(\blk00000003/blk00000117/sig0000084e ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000146_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000145 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047f ),
+ .Q(\blk00000003/blk00000117/sig0000084a ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000145_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000144 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000480 ),
+ .Q(\blk00000003/blk00000117/sig00000849 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000144_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000143 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047e ),
+ .Q(\blk00000003/blk00000117/sig0000084b ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000143_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000142 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000482 ),
+ .Q(\blk00000003/blk00000117/sig00000847 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000142_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000141 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000483 ),
+ .Q(\blk00000003/blk00000117/sig00000846 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000141_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000140 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000481 ),
+ .Q(\blk00000003/blk00000117/sig00000848 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000140_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk0000013f (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000485 ),
+ .Q(\blk00000003/blk00000117/sig00000844 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk0000013f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk0000013e (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000486 ),
+ .Q(\blk00000003/blk00000117/sig00000843 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk0000013e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk0000013d (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000484 ),
+ .Q(\blk00000003/blk00000117/sig00000845 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk0000013d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk0000013c (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000488 ),
+ .Q(\blk00000003/blk00000117/sig00000841 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk0000013c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk0000013b (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000489 ),
+ .Q(\blk00000003/blk00000117/sig00000840 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk0000013b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk0000013a (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000487 ),
+ .Q(\blk00000003/blk00000117/sig00000842 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk0000013a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000139 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048b ),
+ .Q(\blk00000003/blk00000117/sig0000083e ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000139_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000138 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048c ),
+ .Q(\blk00000003/blk00000117/sig0000083d ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000138_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000137 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048a ),
+ .Q(\blk00000003/blk00000117/sig0000083f ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000137_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000136 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048e ),
+ .Q(\blk00000003/blk00000117/sig0000083b ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000136_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000135 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048f ),
+ .Q(\blk00000003/blk00000117/sig0000083a ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000135_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000134 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048d ),
+ .Q(\blk00000003/blk00000117/sig0000083c ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000134_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000133 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000491 ),
+ .Q(\blk00000003/blk00000117/sig00000838 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000133_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000132 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000492 ),
+ .Q(\blk00000003/blk00000117/sig00000837 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000132_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000117/blk00000131 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk00000117/sig00000836 ),
+ .A3(\blk00000003/blk00000117/sig00000836 ),
+ .CE(\blk00000003/blk00000117/sig0000084f ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000490 ),
+ .Q(\blk00000003/blk00000117/sig00000839 ),
+ .Q15(\NLW_blk00000003/blk00000117/blk00000131_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000130 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000084e ),
+ .Q(\blk00000003/sig000003d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000012f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000084d ),
+ .Q(\blk00000003/sig000003da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000012e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000084c ),
+ .Q(\blk00000003/sig000003db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000012d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000084b ),
+ .Q(\blk00000003/sig000003dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000012c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000084a ),
+ .Q(\blk00000003/sig000003dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000012b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000849 ),
+ .Q(\blk00000003/sig000003de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000012a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000848 ),
+ .Q(\blk00000003/sig000003df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000129 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000847 ),
+ .Q(\blk00000003/sig000003e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000128 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000846 ),
+ .Q(\blk00000003/sig000003e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000127 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000845 ),
+ .Q(\blk00000003/sig000003e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000126 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000844 ),
+ .Q(\blk00000003/sig000003e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000125 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000843 ),
+ .Q(\blk00000003/sig000003e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000124 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000842 ),
+ .Q(\blk00000003/sig000003e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000123 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000841 ),
+ .Q(\blk00000003/sig000003e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000122 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000840 ),
+ .Q(\blk00000003/sig000003e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000121 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000083f ),
+ .Q(\blk00000003/sig000003e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000120 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000083e ),
+ .Q(\blk00000003/sig000003e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000011f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000083d ),
+ .Q(\blk00000003/sig000003ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000011e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000083c ),
+ .Q(\blk00000003/sig000003eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000011d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000083b ),
+ .Q(\blk00000003/sig000003ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000011c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig0000083a ),
+ .Q(\blk00000003/sig000003ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000011b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000839 ),
+ .Q(\blk00000003/sig000003ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk0000011a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000838 ),
+ .Q(\blk00000003/sig000003ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117/blk00000119 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000117/sig00000837 ),
+ .Q(\blk00000003/sig000003f0 )
+ );
+ GND \blk00000003/blk00000117/blk00000118 (
+ .G(\blk00000003/blk00000117/sig00000836 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000014a/blk0000017c (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e4 ),
+ .O(\blk00000003/blk0000014a/sig0000089e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000017b (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004f8 ),
+ .Q(\blk00000003/blk0000014a/sig0000089c ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000017b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000017a (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004f9 ),
+ .Q(\blk00000003/blk0000014a/sig0000089b ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000017a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000179 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004f7 ),
+ .Q(\blk00000003/blk0000014a/sig0000089d ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000179_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000178 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004fb ),
+ .Q(\blk00000003/blk0000014a/sig00000899 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000178_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000177 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004fc ),
+ .Q(\blk00000003/blk0000014a/sig00000898 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000177_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000176 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004fa ),
+ .Q(\blk00000003/blk0000014a/sig0000089a ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000176_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000175 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004fe ),
+ .Q(\blk00000003/blk0000014a/sig00000896 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000175_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000174 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ff ),
+ .Q(\blk00000003/blk0000014a/sig00000895 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000174_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000173 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004fd ),
+ .Q(\blk00000003/blk0000014a/sig00000897 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000173_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000172 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000501 ),
+ .Q(\blk00000003/blk0000014a/sig00000893 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000172_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000171 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000502 ),
+ .Q(\blk00000003/blk0000014a/sig00000892 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000171_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000170 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000500 ),
+ .Q(\blk00000003/blk0000014a/sig00000894 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000170_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000016f (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000504 ),
+ .Q(\blk00000003/blk0000014a/sig00000890 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000016f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000016e (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000505 ),
+ .Q(\blk00000003/blk0000014a/sig0000088f ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000016e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000016d (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000503 ),
+ .Q(\blk00000003/blk0000014a/sig00000891 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000016d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000016c (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000507 ),
+ .Q(\blk00000003/blk0000014a/sig0000088d ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000016c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000016b (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000508 ),
+ .Q(\blk00000003/blk0000014a/sig0000088c ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000016b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk0000016a (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000506 ),
+ .Q(\blk00000003/blk0000014a/sig0000088e ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk0000016a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000169 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000050a ),
+ .Q(\blk00000003/blk0000014a/sig0000088a ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000169_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000168 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000050b ),
+ .Q(\blk00000003/blk0000014a/sig00000889 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000168_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000167 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000509 ),
+ .Q(\blk00000003/blk0000014a/sig0000088b ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000167_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000166 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000050d ),
+ .Q(\blk00000003/blk0000014a/sig00000887 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000166_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000165 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000050e ),
+ .Q(\blk00000003/blk0000014a/sig00000886 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000165_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014a/blk00000164 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk0000014a/sig00000885 ),
+ .A3(\blk00000003/blk0000014a/sig00000885 ),
+ .CE(\blk00000003/blk0000014a/sig0000089e ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000050c ),
+ .Q(\blk00000003/blk0000014a/sig00000888 ),
+ .Q15(\NLW_blk00000003/blk0000014a/blk00000164_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000163 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000089d ),
+ .Q(\blk00000003/sig000003f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000162 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000089c ),
+ .Q(\blk00000003/sig000003f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000161 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000089b ),
+ .Q(\blk00000003/sig000003f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000160 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000089a ),
+ .Q(\blk00000003/sig000003f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000015f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000899 ),
+ .Q(\blk00000003/sig000003f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000015e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000898 ),
+ .Q(\blk00000003/sig000003f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000015d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000897 ),
+ .Q(\blk00000003/sig000003f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000015c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000896 ),
+ .Q(\blk00000003/sig000003f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000015b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000895 ),
+ .Q(\blk00000003/sig000003f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000015a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000894 ),
+ .Q(\blk00000003/sig000003fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000159 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000893 ),
+ .Q(\blk00000003/sig000003fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000158 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000892 ),
+ .Q(\blk00000003/sig000003fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000157 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000891 ),
+ .Q(\blk00000003/sig000003fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000156 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000890 ),
+ .Q(\blk00000003/sig000003fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000155 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000088f ),
+ .Q(\blk00000003/sig000003ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000154 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000088e ),
+ .Q(\blk00000003/sig00000400 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000153 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000088d ),
+ .Q(\blk00000003/sig00000401 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000152 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000088c ),
+ .Q(\blk00000003/sig00000402 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000151 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000088b ),
+ .Q(\blk00000003/sig00000403 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk00000150 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig0000088a ),
+ .Q(\blk00000003/sig00000404 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000014f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000889 ),
+ .Q(\blk00000003/sig00000405 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000014e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000888 ),
+ .Q(\blk00000003/sig00000406 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000014d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000887 ),
+ .Q(\blk00000003/sig00000407 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014a/blk0000014c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014a/sig00000886 ),
+ .Q(\blk00000003/sig00000408 )
+ );
+ GND \blk00000003/blk0000014a/blk0000014b (
+ .G(\blk00000003/blk0000014a/sig00000885 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000017d/blk000001af (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e6 ),
+ .O(\blk00000003/blk0000017d/sig000008ed )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001ae (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ac ),
+ .Q(\blk00000003/blk0000017d/sig000008eb ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001ad (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ad ),
+ .Q(\blk00000003/blk0000017d/sig000008ea ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001ac (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ab ),
+ .Q(\blk00000003/blk0000017d/sig000008ec ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001ab (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004af ),
+ .Q(\blk00000003/blk0000017d/sig000008e8 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001aa (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b0 ),
+ .Q(\blk00000003/blk0000017d/sig000008e7 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a9 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ae ),
+ .Q(\blk00000003/blk0000017d/sig000008e9 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a8 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b2 ),
+ .Q(\blk00000003/blk0000017d/sig000008e5 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a7 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b3 ),
+ .Q(\blk00000003/blk0000017d/sig000008e4 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a6 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b1 ),
+ .Q(\blk00000003/blk0000017d/sig000008e6 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a5 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b5 ),
+ .Q(\blk00000003/blk0000017d/sig000008e2 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a4 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b6 ),
+ .Q(\blk00000003/blk0000017d/sig000008e1 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a3 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b4 ),
+ .Q(\blk00000003/blk0000017d/sig000008e3 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a2 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b8 ),
+ .Q(\blk00000003/blk0000017d/sig000008df ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a1 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b9 ),
+ .Q(\blk00000003/blk0000017d/sig000008de ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk000001a0 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b7 ),
+ .Q(\blk00000003/blk0000017d/sig000008e0 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk000001a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk0000019f (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bb ),
+ .Q(\blk00000003/blk0000017d/sig000008dc ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk0000019f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk0000019e (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bc ),
+ .Q(\blk00000003/blk0000017d/sig000008db ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk0000019e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk0000019d (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ba ),
+ .Q(\blk00000003/blk0000017d/sig000008dd ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk0000019d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk0000019c (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004be ),
+ .Q(\blk00000003/blk0000017d/sig000008d9 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk0000019c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk0000019b (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bf ),
+ .Q(\blk00000003/blk0000017d/sig000008d8 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk0000019b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk0000019a (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bd ),
+ .Q(\blk00000003/blk0000017d/sig000008da ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk0000019a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk00000199 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c1 ),
+ .Q(\blk00000003/blk0000017d/sig000008d6 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk00000199_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk00000198 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c2 ),
+ .Q(\blk00000003/blk0000017d/sig000008d5 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk00000198_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017d/blk00000197 (
+ .A0(\blk00000003/sig000004f4 ),
+ .A1(\blk00000003/sig000004f2 ),
+ .A2(\blk00000003/blk0000017d/sig000008d4 ),
+ .A3(\blk00000003/blk0000017d/sig000008d4 ),
+ .CE(\blk00000003/blk0000017d/sig000008ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c0 ),
+ .Q(\blk00000003/blk0000017d/sig000008d7 ),
+ .Q15(\NLW_blk00000003/blk0000017d/blk00000197_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000196 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008ec ),
+ .Q(\blk00000003/sig00000439 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000195 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008eb ),
+ .Q(\blk00000003/sig0000043a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000194 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008ea ),
+ .Q(\blk00000003/sig0000043b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000193 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e9 ),
+ .Q(\blk00000003/sig0000043c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000192 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e8 ),
+ .Q(\blk00000003/sig0000043d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000191 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e7 ),
+ .Q(\blk00000003/sig0000043e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000190 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e6 ),
+ .Q(\blk00000003/sig0000043f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000018f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e5 ),
+ .Q(\blk00000003/sig00000440 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000018e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e4 ),
+ .Q(\blk00000003/sig00000441 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000018d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e3 ),
+ .Q(\blk00000003/sig00000442 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000018c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e2 ),
+ .Q(\blk00000003/sig00000443 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000018b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e1 ),
+ .Q(\blk00000003/sig00000444 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000018a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008e0 ),
+ .Q(\blk00000003/sig00000445 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000189 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008df ),
+ .Q(\blk00000003/sig00000446 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000188 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008de ),
+ .Q(\blk00000003/sig00000447 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000187 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008dd ),
+ .Q(\blk00000003/sig00000448 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000186 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008dc ),
+ .Q(\blk00000003/sig00000449 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000185 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008db ),
+ .Q(\blk00000003/sig0000044a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000184 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008da ),
+ .Q(\blk00000003/sig0000044b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000183 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008d9 ),
+ .Q(\blk00000003/sig0000044c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000182 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008d8 ),
+ .Q(\blk00000003/sig0000044d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000181 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008d7 ),
+ .Q(\blk00000003/sig0000044e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk00000180 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008d6 ),
+ .Q(\blk00000003/sig0000044f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017d/blk0000017f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017d/sig000008d5 ),
+ .Q(\blk00000003/sig00000450 )
+ );
+ GND \blk00000003/blk0000017d/blk0000017e (
+ .G(\blk00000003/blk0000017d/sig000008d4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000001b0/blk000001e2 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e4 ),
+ .O(\blk00000003/blk000001b0/sig0000093c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001e1 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000510 ),
+ .Q(\blk00000003/blk000001b0/sig0000093a ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001e0 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000511 ),
+ .Q(\blk00000003/blk000001b0/sig00000939 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001df (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000050f ),
+ .Q(\blk00000003/blk000001b0/sig0000093b ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001de (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000513 ),
+ .Q(\blk00000003/blk000001b0/sig00000937 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001dd (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000514 ),
+ .Q(\blk00000003/blk000001b0/sig00000936 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001dc (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000512 ),
+ .Q(\blk00000003/blk000001b0/sig00000938 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001db (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000516 ),
+ .Q(\blk00000003/blk000001b0/sig00000934 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001da (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000517 ),
+ .Q(\blk00000003/blk000001b0/sig00000933 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d9 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000515 ),
+ .Q(\blk00000003/blk000001b0/sig00000935 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d8 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000519 ),
+ .Q(\blk00000003/blk000001b0/sig00000931 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d7 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000051a ),
+ .Q(\blk00000003/blk000001b0/sig00000930 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d6 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000518 ),
+ .Q(\blk00000003/blk000001b0/sig00000932 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d5 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000051c ),
+ .Q(\blk00000003/blk000001b0/sig0000092e ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d4 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000051d ),
+ .Q(\blk00000003/blk000001b0/sig0000092d ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d3 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000051b ),
+ .Q(\blk00000003/blk000001b0/sig0000092f ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d2 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000051f ),
+ .Q(\blk00000003/blk000001b0/sig0000092b ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d1 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000520 ),
+ .Q(\blk00000003/blk000001b0/sig0000092a ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001d0 (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000051e ),
+ .Q(\blk00000003/blk000001b0/sig0000092c ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001d0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001cf (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000522 ),
+ .Q(\blk00000003/blk000001b0/sig00000928 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001cf_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001ce (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000523 ),
+ .Q(\blk00000003/blk000001b0/sig00000927 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001ce_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001cd (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000521 ),
+ .Q(\blk00000003/blk000001b0/sig00000929 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001cd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001cc (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000525 ),
+ .Q(\blk00000003/blk000001b0/sig00000925 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001cc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001cb (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000526 ),
+ .Q(\blk00000003/blk000001b0/sig00000924 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001cb_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b0/blk000001ca (
+ .A0(\blk00000003/sig000004f0 ),
+ .A1(\blk00000003/sig000004ee ),
+ .A2(\blk00000003/blk000001b0/sig00000923 ),
+ .A3(\blk00000003/blk000001b0/sig00000923 ),
+ .CE(\blk00000003/blk000001b0/sig0000093c ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000524 ),
+ .Q(\blk00000003/blk000001b0/sig00000926 ),
+ .Q15(\NLW_blk00000003/blk000001b0/blk000001ca_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000093b ),
+ .Q(\blk00000003/sig00000451 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000093a ),
+ .Q(\blk00000003/sig00000452 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000939 ),
+ .Q(\blk00000003/sig00000453 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000938 ),
+ .Q(\blk00000003/sig00000454 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000937 ),
+ .Q(\blk00000003/sig00000455 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000936 ),
+ .Q(\blk00000003/sig00000456 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000935 ),
+ .Q(\blk00000003/sig00000457 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000934 ),
+ .Q(\blk00000003/sig00000458 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000933 ),
+ .Q(\blk00000003/sig00000459 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000932 ),
+ .Q(\blk00000003/sig0000045a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000931 ),
+ .Q(\blk00000003/sig0000045b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000930 ),
+ .Q(\blk00000003/sig0000045c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000092f ),
+ .Q(\blk00000003/sig0000045d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000092e ),
+ .Q(\blk00000003/sig0000045e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000092d ),
+ .Q(\blk00000003/sig0000045f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000092c ),
+ .Q(\blk00000003/sig00000460 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000092b ),
+ .Q(\blk00000003/sig00000461 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig0000092a ),
+ .Q(\blk00000003/sig00000462 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000929 ),
+ .Q(\blk00000003/sig00000463 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000928 ),
+ .Q(\blk00000003/sig00000464 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000927 ),
+ .Q(\blk00000003/sig00000465 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000926 ),
+ .Q(\blk00000003/sig00000466 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000925 ),
+ .Q(\blk00000003/sig00000467 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b0/blk000001b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b0/sig00000924 ),
+ .Q(\blk00000003/sig00000468 )
+ );
+ GND \blk00000003/blk000001b0/blk000001b1 (
+ .G(\blk00000003/blk000001b0/sig00000923 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000001e3/blk00000215 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e5 ),
+ .O(\blk00000003/blk000001e3/sig0000098b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000214 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002d8 ),
+ .Q(\blk00000003/blk000001e3/sig00000989 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000214_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000213 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002d9 ),
+ .Q(\blk00000003/blk000001e3/sig00000988 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000213_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000212 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002d7 ),
+ .Q(\blk00000003/blk000001e3/sig0000098a ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000212_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000211 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002db ),
+ .Q(\blk00000003/blk000001e3/sig00000986 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000211_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000210 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002dc ),
+ .Q(\blk00000003/blk000001e3/sig00000985 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000210_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk0000020f (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002da ),
+ .Q(\blk00000003/blk000001e3/sig00000987 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk0000020f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk0000020e (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002de ),
+ .Q(\blk00000003/blk000001e3/sig00000983 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk0000020e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk0000020d (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002df ),
+ .Q(\blk00000003/blk000001e3/sig00000982 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk0000020d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk0000020c (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002dd ),
+ .Q(\blk00000003/blk000001e3/sig00000984 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk0000020c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk0000020b (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e1 ),
+ .Q(\blk00000003/blk000001e3/sig00000980 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk0000020b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk0000020a (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e2 ),
+ .Q(\blk00000003/blk000001e3/sig0000097f ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk0000020a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000209 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e0 ),
+ .Q(\blk00000003/blk000001e3/sig00000981 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000209_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000208 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e4 ),
+ .Q(\blk00000003/blk000001e3/sig0000097d ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000208_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000207 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e5 ),
+ .Q(\blk00000003/blk000001e3/sig0000097c ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000207_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000206 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e3 ),
+ .Q(\blk00000003/blk000001e3/sig0000097e ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000206_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000205 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e7 ),
+ .Q(\blk00000003/blk000001e3/sig0000097a ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000205_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000204 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e8 ),
+ .Q(\blk00000003/blk000001e3/sig00000979 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000204_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000203 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e6 ),
+ .Q(\blk00000003/blk000001e3/sig0000097b ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000203_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000202 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ea ),
+ .Q(\blk00000003/blk000001e3/sig00000977 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000202_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000201 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002eb ),
+ .Q(\blk00000003/blk000001e3/sig00000976 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000201_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk00000200 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002e9 ),
+ .Q(\blk00000003/blk000001e3/sig00000978 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk00000200_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk000001ff (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ed ),
+ .Q(\blk00000003/blk000001e3/sig00000974 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk000001ff_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk000001fe (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ee ),
+ .Q(\blk00000003/blk000001e3/sig00000973 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk000001fe_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e3/blk000001fd (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk000001e3/sig00000972 ),
+ .A3(\blk00000003/blk000001e3/sig00000972 ),
+ .CE(\blk00000003/blk000001e3/sig0000098b ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ec ),
+ .Q(\blk00000003/blk000001e3/sig00000975 ),
+ .Q15(\NLW_blk00000003/blk000001e3/blk000001fd_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000098a ),
+ .Q(\blk00000003/sig0000047b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000989 ),
+ .Q(\blk00000003/sig0000047c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000988 ),
+ .Q(\blk00000003/sig0000047d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000987 ),
+ .Q(\blk00000003/sig0000047e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000986 ),
+ .Q(\blk00000003/sig0000047f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000985 ),
+ .Q(\blk00000003/sig00000480 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000984 ),
+ .Q(\blk00000003/sig00000481 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000983 ),
+ .Q(\blk00000003/sig00000482 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000982 ),
+ .Q(\blk00000003/sig00000483 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000981 ),
+ .Q(\blk00000003/sig00000484 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000980 ),
+ .Q(\blk00000003/sig00000485 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000097f ),
+ .Q(\blk00000003/sig00000486 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000097e ),
+ .Q(\blk00000003/sig00000487 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000097d ),
+ .Q(\blk00000003/sig00000488 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000097c ),
+ .Q(\blk00000003/sig00000489 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000097b ),
+ .Q(\blk00000003/sig0000048a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig0000097a ),
+ .Q(\blk00000003/sig0000048b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000979 ),
+ .Q(\blk00000003/sig0000048c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000978 ),
+ .Q(\blk00000003/sig0000048d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000977 ),
+ .Q(\blk00000003/sig0000048e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000976 ),
+ .Q(\blk00000003/sig0000048f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000975 ),
+ .Q(\blk00000003/sig00000490 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000974 ),
+ .Q(\blk00000003/sig00000491 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e3/blk000001e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e3/sig00000973 ),
+ .Q(\blk00000003/sig00000492 )
+ );
+ GND \blk00000003/blk000001e3/blk000001e4 (
+ .G(\blk00000003/blk000001e3/sig00000972 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000216/blk00000248 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e3 ),
+ .O(\blk00000003/blk00000216/sig000009da )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000247 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000528 ),
+ .Q(\blk00000003/blk00000216/sig000009d8 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000247_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000246 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000529 ),
+ .Q(\blk00000003/blk00000216/sig000009d7 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000246_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000245 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000527 ),
+ .Q(\blk00000003/blk00000216/sig000009d9 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000245_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000244 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000052b ),
+ .Q(\blk00000003/blk00000216/sig000009d5 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000244_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000243 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000052c ),
+ .Q(\blk00000003/blk00000216/sig000009d4 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000243_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000242 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000052a ),
+ .Q(\blk00000003/blk00000216/sig000009d6 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000242_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000241 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000052e ),
+ .Q(\blk00000003/blk00000216/sig000009d2 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000241_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000240 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000052f ),
+ .Q(\blk00000003/blk00000216/sig000009d1 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000240_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk0000023f (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000052d ),
+ .Q(\blk00000003/blk00000216/sig000009d3 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk0000023f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk0000023e (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000531 ),
+ .Q(\blk00000003/blk00000216/sig000009cf ),
+ .Q15(\NLW_blk00000003/blk00000216/blk0000023e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk0000023d (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000532 ),
+ .Q(\blk00000003/blk00000216/sig000009ce ),
+ .Q15(\NLW_blk00000003/blk00000216/blk0000023d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk0000023c (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000530 ),
+ .Q(\blk00000003/blk00000216/sig000009d0 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk0000023c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk0000023b (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000534 ),
+ .Q(\blk00000003/blk00000216/sig000009cc ),
+ .Q15(\NLW_blk00000003/blk00000216/blk0000023b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk0000023a (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000535 ),
+ .Q(\blk00000003/blk00000216/sig000009cb ),
+ .Q15(\NLW_blk00000003/blk00000216/blk0000023a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000239 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000533 ),
+ .Q(\blk00000003/blk00000216/sig000009cd ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000239_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000238 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000537 ),
+ .Q(\blk00000003/blk00000216/sig000009c9 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000238_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000237 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000538 ),
+ .Q(\blk00000003/blk00000216/sig000009c8 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000237_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000236 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000536 ),
+ .Q(\blk00000003/blk00000216/sig000009ca ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000236_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000235 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000053a ),
+ .Q(\blk00000003/blk00000216/sig000009c6 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000235_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000234 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000053b ),
+ .Q(\blk00000003/blk00000216/sig000009c5 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000234_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000233 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000539 ),
+ .Q(\blk00000003/blk00000216/sig000009c7 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000233_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000232 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000053d ),
+ .Q(\blk00000003/blk00000216/sig000009c3 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000232_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000231 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000053e ),
+ .Q(\blk00000003/blk00000216/sig000009c2 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000231_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000216/blk00000230 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk00000216/sig000009c1 ),
+ .A3(\blk00000003/blk00000216/sig000009c1 ),
+ .CE(\blk00000003/blk00000216/sig000009da ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000053c ),
+ .Q(\blk00000003/blk00000216/sig000009c4 ),
+ .Q15(\NLW_blk00000003/blk00000216/blk00000230_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000022f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d9 ),
+ .Q(\blk00000003/sig00000493 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000022e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d8 ),
+ .Q(\blk00000003/sig00000494 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000022d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d7 ),
+ .Q(\blk00000003/sig00000495 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000022c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d6 ),
+ .Q(\blk00000003/sig00000496 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000022b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d5 ),
+ .Q(\blk00000003/sig00000497 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000022a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d4 ),
+ .Q(\blk00000003/sig00000498 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000229 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d3 ),
+ .Q(\blk00000003/sig00000499 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000228 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d2 ),
+ .Q(\blk00000003/sig0000049a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000227 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d1 ),
+ .Q(\blk00000003/sig0000049b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000226 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009d0 ),
+ .Q(\blk00000003/sig0000049c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000225 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009cf ),
+ .Q(\blk00000003/sig0000049d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000224 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009ce ),
+ .Q(\blk00000003/sig0000049e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000223 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009cd ),
+ .Q(\blk00000003/sig0000049f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000222 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009cc ),
+ .Q(\blk00000003/sig000004a0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000221 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009cb ),
+ .Q(\blk00000003/sig000004a1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000220 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009ca ),
+ .Q(\blk00000003/sig000004a2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000021f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c9 ),
+ .Q(\blk00000003/sig000004a3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000021e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c8 ),
+ .Q(\blk00000003/sig000004a4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000021d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c7 ),
+ .Q(\blk00000003/sig000004a5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000021c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c6 ),
+ .Q(\blk00000003/sig000004a6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000021b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c5 ),
+ .Q(\blk00000003/sig000004a7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk0000021a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c4 ),
+ .Q(\blk00000003/sig000004a8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000219 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c3 ),
+ .Q(\blk00000003/sig000004a9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000216/blk00000218 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000216/sig000009c2 ),
+ .Q(\blk00000003/sig000004aa )
+ );
+ GND \blk00000003/blk00000216/blk00000217 (
+ .G(\blk00000003/blk00000216/sig000009c1 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000249/blk0000027b (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e5 ),
+ .O(\blk00000003/blk00000249/sig00000a29 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000027a (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000338 ),
+ .Q(\blk00000003/blk00000249/sig00000a27 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000027a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000279 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000339 ),
+ .Q(\blk00000003/blk00000249/sig00000a26 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000279_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000278 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000337 ),
+ .Q(\blk00000003/blk00000249/sig00000a28 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000278_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000277 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000033b ),
+ .Q(\blk00000003/blk00000249/sig00000a24 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000277_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000276 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000033c ),
+ .Q(\blk00000003/blk00000249/sig00000a23 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000276_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000275 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000033a ),
+ .Q(\blk00000003/blk00000249/sig00000a25 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000275_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000274 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000033e ),
+ .Q(\blk00000003/blk00000249/sig00000a21 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000274_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000273 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000033f ),
+ .Q(\blk00000003/blk00000249/sig00000a20 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000273_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000272 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000033d ),
+ .Q(\blk00000003/blk00000249/sig00000a22 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000272_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000271 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000341 ),
+ .Q(\blk00000003/blk00000249/sig00000a1e ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000271_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000270 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000342 ),
+ .Q(\blk00000003/blk00000249/sig00000a1d ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000270_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000026f (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000340 ),
+ .Q(\blk00000003/blk00000249/sig00000a1f ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000026f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000026e (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000344 ),
+ .Q(\blk00000003/blk00000249/sig00000a1b ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000026e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000026d (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000345 ),
+ .Q(\blk00000003/blk00000249/sig00000a1a ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000026d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000026c (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000343 ),
+ .Q(\blk00000003/blk00000249/sig00000a1c ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000026c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000026b (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000347 ),
+ .Q(\blk00000003/blk00000249/sig00000a18 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000026b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk0000026a (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000348 ),
+ .Q(\blk00000003/blk00000249/sig00000a17 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk0000026a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000269 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000346 ),
+ .Q(\blk00000003/blk00000249/sig00000a19 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000269_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000268 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000034a ),
+ .Q(\blk00000003/blk00000249/sig00000a15 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000268_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000267 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000034b ),
+ .Q(\blk00000003/blk00000249/sig00000a14 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000267_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000266 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000349 ),
+ .Q(\blk00000003/blk00000249/sig00000a16 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000266_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000265 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000034d ),
+ .Q(\blk00000003/blk00000249/sig00000a12 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000265_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000264 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000034e ),
+ .Q(\blk00000003/blk00000249/sig00000a11 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000264_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000249/blk00000263 (
+ .A0(\blk00000003/sig000004f3 ),
+ .A1(\blk00000003/sig000004f1 ),
+ .A2(\blk00000003/blk00000249/sig00000a10 ),
+ .A3(\blk00000003/blk00000249/sig00000a10 ),
+ .CE(\blk00000003/blk00000249/sig00000a29 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000034c ),
+ .Q(\blk00000003/blk00000249/sig00000a13 ),
+ .Q15(\NLW_blk00000003/blk00000249/blk00000263_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000262 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a28 ),
+ .Q(\blk00000003/sig000004ab )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000261 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a27 ),
+ .Q(\blk00000003/sig000004ac )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000260 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a26 ),
+ .Q(\blk00000003/sig000004ad )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000025f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a25 ),
+ .Q(\blk00000003/sig000004ae )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000025e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a24 ),
+ .Q(\blk00000003/sig000004af )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000025d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a23 ),
+ .Q(\blk00000003/sig000004b0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000025c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a22 ),
+ .Q(\blk00000003/sig000004b1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000025b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a21 ),
+ .Q(\blk00000003/sig000004b2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000025a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a20 ),
+ .Q(\blk00000003/sig000004b3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000259 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a1f ),
+ .Q(\blk00000003/sig000004b4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000258 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a1e ),
+ .Q(\blk00000003/sig000004b5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000257 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a1d ),
+ .Q(\blk00000003/sig000004b6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000256 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a1c ),
+ .Q(\blk00000003/sig000004b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000255 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a1b ),
+ .Q(\blk00000003/sig000004b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000254 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a1a ),
+ .Q(\blk00000003/sig000004b9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000253 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a19 ),
+ .Q(\blk00000003/sig000004ba )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000252 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a18 ),
+ .Q(\blk00000003/sig000004bb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000251 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a17 ),
+ .Q(\blk00000003/sig000004bc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk00000250 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a16 ),
+ .Q(\blk00000003/sig000004bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000024f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a15 ),
+ .Q(\blk00000003/sig000004be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000024e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a14 ),
+ .Q(\blk00000003/sig000004bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000024d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a13 ),
+ .Q(\blk00000003/sig000004c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000024c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a12 ),
+ .Q(\blk00000003/sig000004c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000249/blk0000024b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000249/sig00000a11 ),
+ .Q(\blk00000003/sig000004c2 )
+ );
+ GND \blk00000003/blk00000249/blk0000024a (
+ .G(\blk00000003/blk00000249/sig00000a10 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000027c/blk000002ae (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e3 ),
+ .O(\blk00000003/blk0000027c/sig00000a78 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002ad (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000540 ),
+ .Q(\blk00000003/blk0000027c/sig00000a76 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002ac (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000541 ),
+ .Q(\blk00000003/blk0000027c/sig00000a75 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002ab (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000053f ),
+ .Q(\blk00000003/blk0000027c/sig00000a77 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002aa (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000543 ),
+ .Q(\blk00000003/blk0000027c/sig00000a73 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a9 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000544 ),
+ .Q(\blk00000003/blk0000027c/sig00000a72 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a8 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000542 ),
+ .Q(\blk00000003/blk0000027c/sig00000a74 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a7 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000546 ),
+ .Q(\blk00000003/blk0000027c/sig00000a70 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a6 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000547 ),
+ .Q(\blk00000003/blk0000027c/sig00000a6f ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a5 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000545 ),
+ .Q(\blk00000003/blk0000027c/sig00000a71 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a4 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000549 ),
+ .Q(\blk00000003/blk0000027c/sig00000a6d ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a3 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054a ),
+ .Q(\blk00000003/blk0000027c/sig00000a6c ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a2 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000548 ),
+ .Q(\blk00000003/blk0000027c/sig00000a6e ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a1 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054c ),
+ .Q(\blk00000003/blk0000027c/sig00000a6a ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk000002a0 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054d ),
+ .Q(\blk00000003/blk0000027c/sig00000a69 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk000002a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk0000029f (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054b ),
+ .Q(\blk00000003/blk0000027c/sig00000a6b ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk0000029f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk0000029e (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054f ),
+ .Q(\blk00000003/blk0000027c/sig00000a67 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk0000029e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk0000029d (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000550 ),
+ .Q(\blk00000003/blk0000027c/sig00000a66 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk0000029d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk0000029c (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000054e ),
+ .Q(\blk00000003/blk0000027c/sig00000a68 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk0000029c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk0000029b (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000552 ),
+ .Q(\blk00000003/blk0000027c/sig00000a64 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk0000029b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk0000029a (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000553 ),
+ .Q(\blk00000003/blk0000027c/sig00000a63 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk0000029a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk00000299 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000551 ),
+ .Q(\blk00000003/blk0000027c/sig00000a65 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk00000299_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk00000298 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000555 ),
+ .Q(\blk00000003/blk0000027c/sig00000a61 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk00000298_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk00000297 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000556 ),
+ .Q(\blk00000003/blk0000027c/sig00000a60 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk00000297_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027c/blk00000296 (
+ .A0(\blk00000003/sig000004ef ),
+ .A1(\blk00000003/sig000004ed ),
+ .A2(\blk00000003/blk0000027c/sig00000a5f ),
+ .A3(\blk00000003/blk0000027c/sig00000a5f ),
+ .CE(\blk00000003/blk0000027c/sig00000a78 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000554 ),
+ .Q(\blk00000003/blk0000027c/sig00000a62 ),
+ .Q15(\NLW_blk00000003/blk0000027c/blk00000296_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000295 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a77 ),
+ .Q(\blk00000003/sig000004c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000294 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a76 ),
+ .Q(\blk00000003/sig000004c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000293 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a75 ),
+ .Q(\blk00000003/sig000004c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000292 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a74 ),
+ .Q(\blk00000003/sig000004c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000291 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a73 ),
+ .Q(\blk00000003/sig000004c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000290 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a72 ),
+ .Q(\blk00000003/sig000004c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000028f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a71 ),
+ .Q(\blk00000003/sig000004c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000028e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a70 ),
+ .Q(\blk00000003/sig000004ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000028d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a6f ),
+ .Q(\blk00000003/sig000004cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000028c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a6e ),
+ .Q(\blk00000003/sig000004cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000028b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a6d ),
+ .Q(\blk00000003/sig000004cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000028a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a6c ),
+ .Q(\blk00000003/sig000004ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000289 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a6b ),
+ .Q(\blk00000003/sig000004cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000288 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a6a ),
+ .Q(\blk00000003/sig000004d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000287 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a69 ),
+ .Q(\blk00000003/sig000004d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000286 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a68 ),
+ .Q(\blk00000003/sig000004d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000285 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a67 ),
+ .Q(\blk00000003/sig000004d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000284 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a66 ),
+ .Q(\blk00000003/sig000004d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000283 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a65 ),
+ .Q(\blk00000003/sig000004d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000282 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a64 ),
+ .Q(\blk00000003/sig000004d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000281 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a63 ),
+ .Q(\blk00000003/sig000004d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk00000280 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a62 ),
+ .Q(\blk00000003/sig000004d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000027f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a61 ),
+ .Q(\blk00000003/sig000004d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027c/blk0000027e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027c/sig00000a60 ),
+ .Q(\blk00000003/sig000004da )
+ );
+ GND \blk00000003/blk0000027c/blk0000027d (
+ .G(\blk00000003/blk0000027c/sig00000a5f )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000002af/blk000002e1 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004f6 ),
+ .O(\blk00000003/blk000002af/sig00000ac7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002e0 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000558 ),
+ .Q(\blk00000003/blk000002af/sig00000ac5 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002df (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000559 ),
+ .Q(\blk00000003/blk000002af/sig00000ac4 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002de (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000557 ),
+ .Q(\blk00000003/blk000002af/sig00000ac6 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002dd (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000055b ),
+ .Q(\blk00000003/blk000002af/sig00000ac2 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002dc (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000055c ),
+ .Q(\blk00000003/blk000002af/sig00000ac1 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002db (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000055a ),
+ .Q(\blk00000003/blk000002af/sig00000ac3 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002da (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000055e ),
+ .Q(\blk00000003/blk000002af/sig00000abf ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d9 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000055f ),
+ .Q(\blk00000003/blk000002af/sig00000abe ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d8 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000055d ),
+ .Q(\blk00000003/blk000002af/sig00000ac0 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d7 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000561 ),
+ .Q(\blk00000003/blk000002af/sig00000abc ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d6 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000562 ),
+ .Q(\blk00000003/blk000002af/sig00000abb ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d5 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000560 ),
+ .Q(\blk00000003/blk000002af/sig00000abd ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d4 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000564 ),
+ .Q(\blk00000003/blk000002af/sig00000ab9 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d3 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000565 ),
+ .Q(\blk00000003/blk000002af/sig00000ab8 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d2 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000563 ),
+ .Q(\blk00000003/blk000002af/sig00000aba ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d1 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000567 ),
+ .Q(\blk00000003/blk000002af/sig00000ab6 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002d0 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000568 ),
+ .Q(\blk00000003/blk000002af/sig00000ab5 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002d0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002cf (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000566 ),
+ .Q(\blk00000003/blk000002af/sig00000ab7 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002cf_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002ce (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000056a ),
+ .Q(\blk00000003/blk000002af/sig00000ab3 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002ce_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002cd (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000056b ),
+ .Q(\blk00000003/blk000002af/sig00000ab2 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002cd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002cc (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000569 ),
+ .Q(\blk00000003/blk000002af/sig00000ab4 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002cc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002cb (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000056d ),
+ .Q(\blk00000003/blk000002af/sig00000ab0 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002cb_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002ca (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000056e ),
+ .Q(\blk00000003/blk000002af/sig00000aaf ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002ca_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002af/blk000002c9 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk000002af/sig00000aae ),
+ .A3(\blk00000003/blk000002af/sig00000aae ),
+ .CE(\blk00000003/blk000002af/sig00000ac7 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000056c ),
+ .Q(\blk00000003/blk000002af/sig00000ab1 ),
+ .Q15(\NLW_blk00000003/blk000002af/blk000002c9_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac6 ),
+ .Q(\blk00000003/sig000002d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac5 ),
+ .Q(\blk00000003/sig000002d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac4 ),
+ .Q(\blk00000003/sig000002d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac3 ),
+ .Q(\blk00000003/sig000002da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac2 ),
+ .Q(\blk00000003/sig000002db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac1 ),
+ .Q(\blk00000003/sig000002dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ac0 ),
+ .Q(\blk00000003/sig000002dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000abf ),
+ .Q(\blk00000003/sig000002de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000abe ),
+ .Q(\blk00000003/sig000002df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000abd ),
+ .Q(\blk00000003/sig000002e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000abc ),
+ .Q(\blk00000003/sig000002e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000abb ),
+ .Q(\blk00000003/sig000002e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000aba ),
+ .Q(\blk00000003/sig000002e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab9 ),
+ .Q(\blk00000003/sig000002e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab8 ),
+ .Q(\blk00000003/sig000002e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab7 ),
+ .Q(\blk00000003/sig000002e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab6 ),
+ .Q(\blk00000003/sig000002e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab5 ),
+ .Q(\blk00000003/sig000002e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab4 ),
+ .Q(\blk00000003/sig000002e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab3 ),
+ .Q(\blk00000003/sig000002ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab2 ),
+ .Q(\blk00000003/sig000002eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab1 ),
+ .Q(\blk00000003/sig000002ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000ab0 ),
+ .Q(\blk00000003/sig000002ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002af/blk000002b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002af/sig00000aaf ),
+ .Q(\blk00000003/sig000002ee )
+ );
+ GND \blk00000003/blk000002af/blk000002b0 (
+ .G(\blk00000003/blk000002af/sig00000aae )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000002e2/blk00000314 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004f5 ),
+ .O(\blk00000003/blk000002e2/sig00000b16 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000313 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000570 ),
+ .Q(\blk00000003/blk000002e2/sig00000b14 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000313_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000312 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000571 ),
+ .Q(\blk00000003/blk000002e2/sig00000b13 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000312_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000311 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000056f ),
+ .Q(\blk00000003/blk000002e2/sig00000b15 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000311_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000310 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000573 ),
+ .Q(\blk00000003/blk000002e2/sig00000b11 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000310_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk0000030f (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000574 ),
+ .Q(\blk00000003/blk000002e2/sig00000b10 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk0000030f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk0000030e (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000572 ),
+ .Q(\blk00000003/blk000002e2/sig00000b12 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk0000030e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk0000030d (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000576 ),
+ .Q(\blk00000003/blk000002e2/sig00000b0e ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk0000030d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk0000030c (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000577 ),
+ .Q(\blk00000003/blk000002e2/sig00000b0d ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk0000030c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk0000030b (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000575 ),
+ .Q(\blk00000003/blk000002e2/sig00000b0f ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk0000030b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk0000030a (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000579 ),
+ .Q(\blk00000003/blk000002e2/sig00000b0b ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk0000030a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000309 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000057a ),
+ .Q(\blk00000003/blk000002e2/sig00000b0a ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000309_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000308 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000578 ),
+ .Q(\blk00000003/blk000002e2/sig00000b0c ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000308_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000307 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000057c ),
+ .Q(\blk00000003/blk000002e2/sig00000b08 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000307_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000306 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000057d ),
+ .Q(\blk00000003/blk000002e2/sig00000b07 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000306_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000305 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000057b ),
+ .Q(\blk00000003/blk000002e2/sig00000b09 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000305_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000304 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000057f ),
+ .Q(\blk00000003/blk000002e2/sig00000b05 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000304_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000303 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000580 ),
+ .Q(\blk00000003/blk000002e2/sig00000b04 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000303_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000302 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000057e ),
+ .Q(\blk00000003/blk000002e2/sig00000b06 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000302_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000301 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000582 ),
+ .Q(\blk00000003/blk000002e2/sig00000b02 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000301_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk00000300 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000583 ),
+ .Q(\blk00000003/blk000002e2/sig00000b01 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk00000300_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk000002ff (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000581 ),
+ .Q(\blk00000003/blk000002e2/sig00000b03 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk000002ff_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk000002fe (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000585 ),
+ .Q(\blk00000003/blk000002e2/sig00000aff ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk000002fe_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk000002fd (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000586 ),
+ .Q(\blk00000003/blk000002e2/sig00000afe ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk000002fd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000002e2/blk000002fc (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk000002e2/sig00000afd ),
+ .A3(\blk00000003/blk000002e2/sig00000afd ),
+ .CE(\blk00000003/blk000002e2/sig00000b16 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000584 ),
+ .Q(\blk00000003/blk000002e2/sig00000b00 ),
+ .Q15(\NLW_blk00000003/blk000002e2/blk000002fc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b15 ),
+ .Q(\blk00000003/sig000002ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b14 ),
+ .Q(\blk00000003/sig000002f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b13 ),
+ .Q(\blk00000003/sig000002f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b12 ),
+ .Q(\blk00000003/sig000002f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b11 ),
+ .Q(\blk00000003/sig000002f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b10 ),
+ .Q(\blk00000003/sig000002f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b0f ),
+ .Q(\blk00000003/sig000002f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b0e ),
+ .Q(\blk00000003/sig000002f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b0d ),
+ .Q(\blk00000003/sig000002f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b0c ),
+ .Q(\blk00000003/sig000002f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b0b ),
+ .Q(\blk00000003/sig000002f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b0a ),
+ .Q(\blk00000003/sig000002fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b09 ),
+ .Q(\blk00000003/sig000002fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b08 ),
+ .Q(\blk00000003/sig000002fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b07 ),
+ .Q(\blk00000003/sig000002fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b06 ),
+ .Q(\blk00000003/sig000002fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b05 ),
+ .Q(\blk00000003/sig000002ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b04 ),
+ .Q(\blk00000003/sig00000300 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b03 ),
+ .Q(\blk00000003/sig00000301 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b02 ),
+ .Q(\blk00000003/sig00000302 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b01 ),
+ .Q(\blk00000003/sig00000303 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000b00 ),
+ .Q(\blk00000003/sig00000304 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000aff ),
+ .Q(\blk00000003/sig00000305 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002e2/blk000002e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002e2/sig00000afe ),
+ .Q(\blk00000003/sig00000306 )
+ );
+ GND \blk00000003/blk000002e2/blk000002e3 (
+ .G(\blk00000003/blk000002e2/sig00000afd )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000315/blk00000347 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004f6 ),
+ .O(\blk00000003/blk00000315/sig00000b65 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000346 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000588 ),
+ .Q(\blk00000003/blk00000315/sig00000b63 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000346_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000345 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000589 ),
+ .Q(\blk00000003/blk00000315/sig00000b62 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000345_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000344 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000587 ),
+ .Q(\blk00000003/blk00000315/sig00000b64 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000344_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000343 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000058b ),
+ .Q(\blk00000003/blk00000315/sig00000b60 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000343_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000342 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000058c ),
+ .Q(\blk00000003/blk00000315/sig00000b5f ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000342_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000341 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000058a ),
+ .Q(\blk00000003/blk00000315/sig00000b61 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000341_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000340 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000058e ),
+ .Q(\blk00000003/blk00000315/sig00000b5d ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000340_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000033f (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000058f ),
+ .Q(\blk00000003/blk00000315/sig00000b5c ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000033f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000033e (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000058d ),
+ .Q(\blk00000003/blk00000315/sig00000b5e ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000033e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000033d (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000591 ),
+ .Q(\blk00000003/blk00000315/sig00000b5a ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000033d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000033c (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000592 ),
+ .Q(\blk00000003/blk00000315/sig00000b59 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000033c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000033b (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000590 ),
+ .Q(\blk00000003/blk00000315/sig00000b5b ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000033b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000033a (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000594 ),
+ .Q(\blk00000003/blk00000315/sig00000b57 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000033a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000339 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000595 ),
+ .Q(\blk00000003/blk00000315/sig00000b56 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000339_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000338 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000593 ),
+ .Q(\blk00000003/blk00000315/sig00000b58 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000338_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000337 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000597 ),
+ .Q(\blk00000003/blk00000315/sig00000b54 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000337_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000336 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000598 ),
+ .Q(\blk00000003/blk00000315/sig00000b53 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000336_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000335 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000596 ),
+ .Q(\blk00000003/blk00000315/sig00000b55 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000335_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000334 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000059a ),
+ .Q(\blk00000003/blk00000315/sig00000b51 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000334_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000333 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000059b ),
+ .Q(\blk00000003/blk00000315/sig00000b50 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000333_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000332 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000599 ),
+ .Q(\blk00000003/blk00000315/sig00000b52 ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000332_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000331 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000059d ),
+ .Q(\blk00000003/blk00000315/sig00000b4e ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000331_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk00000330 (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000059e ),
+ .Q(\blk00000003/blk00000315/sig00000b4d ),
+ .Q15(\NLW_blk00000003/blk00000315/blk00000330_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000315/blk0000032f (
+ .A0(\blk00000003/sig000002b1 ),
+ .A1(\blk00000003/sig000002b0 ),
+ .A2(\blk00000003/blk00000315/sig00000b4c ),
+ .A3(\blk00000003/blk00000315/sig00000b4c ),
+ .CE(\blk00000003/blk00000315/sig00000b65 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000059c ),
+ .Q(\blk00000003/blk00000315/sig00000b4f ),
+ .Q15(\NLW_blk00000003/blk00000315/blk0000032f_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000032e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b64 ),
+ .Q(\blk00000003/sig00000337 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000032d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b63 ),
+ .Q(\blk00000003/sig00000338 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000032c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b62 ),
+ .Q(\blk00000003/sig00000339 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000032b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b61 ),
+ .Q(\blk00000003/sig0000033a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000032a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b60 ),
+ .Q(\blk00000003/sig0000033b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000329 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b5f ),
+ .Q(\blk00000003/sig0000033c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000328 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b5e ),
+ .Q(\blk00000003/sig0000033d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000327 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b5d ),
+ .Q(\blk00000003/sig0000033e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000326 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b5c ),
+ .Q(\blk00000003/sig0000033f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000325 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b5b ),
+ .Q(\blk00000003/sig00000340 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000324 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b5a ),
+ .Q(\blk00000003/sig00000341 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000323 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b59 ),
+ .Q(\blk00000003/sig00000342 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000322 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b58 ),
+ .Q(\blk00000003/sig00000343 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000321 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b57 ),
+ .Q(\blk00000003/sig00000344 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000320 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b56 ),
+ .Q(\blk00000003/sig00000345 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000031f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b55 ),
+ .Q(\blk00000003/sig00000346 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000031e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b54 ),
+ .Q(\blk00000003/sig00000347 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000031d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b53 ),
+ .Q(\blk00000003/sig00000348 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000031c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b52 ),
+ .Q(\blk00000003/sig00000349 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000031b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b51 ),
+ .Q(\blk00000003/sig0000034a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk0000031a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b50 ),
+ .Q(\blk00000003/sig0000034b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000319 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b4f ),
+ .Q(\blk00000003/sig0000034c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000318 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b4e ),
+ .Q(\blk00000003/sig0000034d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315/blk00000317 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000315/sig00000b4d ),
+ .Q(\blk00000003/sig0000034e )
+ );
+ GND \blk00000003/blk00000315/blk00000316 (
+ .G(\blk00000003/blk00000315/sig00000b4c )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000348/blk0000037a (
+ .I0(ce),
+ .I1(\blk00000003/sig000004f5 ),
+ .O(\blk00000003/blk00000348/sig00000bb4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000379 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a0 ),
+ .Q(\blk00000003/blk00000348/sig00000bb2 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000379_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000378 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a1 ),
+ .Q(\blk00000003/blk00000348/sig00000bb1 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000378_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000377 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000059f ),
+ .Q(\blk00000003/blk00000348/sig00000bb3 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000377_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000376 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a3 ),
+ .Q(\blk00000003/blk00000348/sig00000baf ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000376_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000375 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a4 ),
+ .Q(\blk00000003/blk00000348/sig00000bae ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000375_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000374 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a2 ),
+ .Q(\blk00000003/blk00000348/sig00000bb0 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000374_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000373 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a6 ),
+ .Q(\blk00000003/blk00000348/sig00000bac ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000373_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000372 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a7 ),
+ .Q(\blk00000003/blk00000348/sig00000bab ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000372_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000371 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a5 ),
+ .Q(\blk00000003/blk00000348/sig00000bad ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000371_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000370 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a9 ),
+ .Q(\blk00000003/blk00000348/sig00000ba9 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000370_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk0000036f (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005aa ),
+ .Q(\blk00000003/blk00000348/sig00000ba8 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk0000036f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk0000036e (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005a8 ),
+ .Q(\blk00000003/blk00000348/sig00000baa ),
+ .Q15(\NLW_blk00000003/blk00000348/blk0000036e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk0000036d (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ac ),
+ .Q(\blk00000003/blk00000348/sig00000ba6 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk0000036d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk0000036c (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ad ),
+ .Q(\blk00000003/blk00000348/sig00000ba5 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk0000036c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk0000036b (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ab ),
+ .Q(\blk00000003/blk00000348/sig00000ba7 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk0000036b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk0000036a (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005af ),
+ .Q(\blk00000003/blk00000348/sig00000ba3 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk0000036a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000369 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b0 ),
+ .Q(\blk00000003/blk00000348/sig00000ba2 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000369_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000368 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ae ),
+ .Q(\blk00000003/blk00000348/sig00000ba4 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000368_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000367 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b2 ),
+ .Q(\blk00000003/blk00000348/sig00000ba0 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000367_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000366 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b3 ),
+ .Q(\blk00000003/blk00000348/sig00000b9f ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000366_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000365 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b1 ),
+ .Q(\blk00000003/blk00000348/sig00000ba1 ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000365_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000364 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b5 ),
+ .Q(\blk00000003/blk00000348/sig00000b9d ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000364_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000363 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b6 ),
+ .Q(\blk00000003/blk00000348/sig00000b9c ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000363_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000348/blk00000362 (
+ .A0(\blk00000003/sig000002b8 ),
+ .A1(\blk00000003/sig000002b7 ),
+ .A2(\blk00000003/blk00000348/sig00000b9b ),
+ .A3(\blk00000003/blk00000348/sig00000b9b ),
+ .CE(\blk00000003/blk00000348/sig00000bb4 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000005b4 ),
+ .Q(\blk00000003/blk00000348/sig00000b9e ),
+ .Q15(\NLW_blk00000003/blk00000348/blk00000362_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000361 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bb3 ),
+ .Q(\blk00000003/sig0000034f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000360 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bb2 ),
+ .Q(\blk00000003/sig00000350 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000035f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bb1 ),
+ .Q(\blk00000003/sig00000351 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000035e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bb0 ),
+ .Q(\blk00000003/sig00000352 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000035d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000baf ),
+ .Q(\blk00000003/sig00000353 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000035c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bae ),
+ .Q(\blk00000003/sig00000354 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000035b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bad ),
+ .Q(\blk00000003/sig00000355 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000035a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bac ),
+ .Q(\blk00000003/sig00000356 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000359 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000bab ),
+ .Q(\blk00000003/sig00000357 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000358 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000baa ),
+ .Q(\blk00000003/sig00000358 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000357 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba9 ),
+ .Q(\blk00000003/sig00000359 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000356 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba8 ),
+ .Q(\blk00000003/sig0000035a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000355 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba7 ),
+ .Q(\blk00000003/sig0000035b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000354 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba6 ),
+ .Q(\blk00000003/sig0000035c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000353 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba5 ),
+ .Q(\blk00000003/sig0000035d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000352 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba4 ),
+ .Q(\blk00000003/sig0000035e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000351 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba3 ),
+ .Q(\blk00000003/sig0000035f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk00000350 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba2 ),
+ .Q(\blk00000003/sig00000360 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000034f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba1 ),
+ .Q(\blk00000003/sig00000361 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000034e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000ba0 ),
+ .Q(\blk00000003/sig00000362 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000034d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000b9f ),
+ .Q(\blk00000003/sig00000363 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000034c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000b9e ),
+ .Q(\blk00000003/sig00000364 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000034b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000b9d ),
+ .Q(\blk00000003/sig00000365 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348/blk0000034a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000348/sig00000b9c ),
+ .Q(\blk00000003/sig00000366 )
+ );
+ GND \blk00000003/blk00000348/blk00000349 (
+ .G(\blk00000003/blk00000348/sig00000b9b )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000037b/blk000003b3 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000232 ),
+ .O(\blk00000003/blk0000037b/sig00000c19 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003b2 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005b7 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c06 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c18 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003b1 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005b8 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c05 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c17 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003b0 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005b9 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c04 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c16 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003af (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005ba ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c03 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c15 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003ae (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005bb ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c02 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c14 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003ad (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005bc ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c01 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c13 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003ac (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005be ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bff ),
+ .DPO(\blk00000003/blk0000037b/sig00000c11 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000D ))
+ \blk00000003/blk0000037b/blk000003ab (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005bf ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bfe ),
+ .DPO(\blk00000003/blk0000037b/sig00000c10 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000037b/blk000003aa (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005bd ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000c00 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c12 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000009 ))
+ \blk00000003/blk0000037b/blk000003a9 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c0 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bfd ),
+ .DPO(\blk00000003/blk0000037b/sig00000c0f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk0000037b/blk000003a8 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c1 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bfc ),
+ .DPO(\blk00000003/blk0000037b/sig00000c0e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000F ))
+ \blk00000003/blk0000037b/blk000003a7 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c2 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bfb ),
+ .DPO(\blk00000003/blk0000037b/sig00000c0d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000037b/blk000003a6 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c3 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bfa ),
+ .DPO(\blk00000003/blk0000037b/sig00000c0c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000008 ))
+ \blk00000003/blk0000037b/blk000003a5 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c4 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bf9 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c0b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000004 ))
+ \blk00000003/blk0000037b/blk000003a4 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c5 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bf8 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c0a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000B ))
+ \blk00000003/blk0000037b/blk000003a3 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c7 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bf6 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c08 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000008 ))
+ \blk00000003/blk0000037b/blk000003a2 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c8 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bf5 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c07 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000008 ))
+ \blk00000003/blk0000037b/blk000003a1 (
+ .A0(\blk00000003/sig00000264 ),
+ .A1(\blk00000003/sig00000268 ),
+ .A2(\blk00000003/sig0000026b ),
+ .A3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .A4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .D(\blk00000003/sig000005c6 ),
+ .DPRA0(\blk00000003/sig000002ba ),
+ .DPRA1(\blk00000003/sig000002be ),
+ .DPRA2(\blk00000003/sig000002c4 ),
+ .DPRA3(\blk00000003/blk0000037b/sig00000bf4 ),
+ .DPRA4(\blk00000003/blk0000037b/sig00000bf4 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000037b/sig00000c19 ),
+ .SPO(\blk00000003/blk0000037b/sig00000bf7 ),
+ .DPO(\blk00000003/blk0000037b/sig00000c09 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk000003a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c18 ),
+ .Q(\blk00000003/sig000002c5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000039f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c17 ),
+ .Q(\blk00000003/sig000002c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000039e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c16 ),
+ .Q(\blk00000003/sig000002c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000039d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c15 ),
+ .Q(\blk00000003/sig000002c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000039c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c14 ),
+ .Q(\blk00000003/sig000002c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000039b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c13 ),
+ .Q(\blk00000003/sig000002ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000039a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c12 ),
+ .Q(\blk00000003/sig000002cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000399 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c11 ),
+ .Q(\blk00000003/sig000002cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000398 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c10 ),
+ .Q(\blk00000003/sig000002cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000397 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c0f ),
+ .Q(\blk00000003/sig000002ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000396 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c0e ),
+ .Q(\blk00000003/sig000002cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000395 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c0d ),
+ .Q(\blk00000003/sig000002d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000394 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c0c ),
+ .Q(\blk00000003/sig000002d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000393 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c0b ),
+ .Q(\blk00000003/sig000002d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000392 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c0a ),
+ .Q(\blk00000003/sig000002d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000391 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c09 ),
+ .Q(\blk00000003/sig000002d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000390 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c08 ),
+ .Q(\blk00000003/sig000002d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000038f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c07 ),
+ .Q(\blk00000003/sig000002d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000038e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c06 ),
+ .Q(\blk00000003/sig000005c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000038d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c05 ),
+ .Q(\blk00000003/sig000005ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000038c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c04 ),
+ .Q(\blk00000003/sig000005cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000038b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c03 ),
+ .Q(\blk00000003/sig000005cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000038a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c02 ),
+ .Q(\blk00000003/sig000005cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000389 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c01 ),
+ .Q(\blk00000003/sig000005ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000388 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000c00 ),
+ .Q(\blk00000003/sig000005cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000387 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bff ),
+ .Q(\blk00000003/sig000005d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000386 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bfe ),
+ .Q(\blk00000003/sig000005d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000385 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bfd ),
+ .Q(\blk00000003/sig000005d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000384 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bfc ),
+ .Q(\blk00000003/sig000005d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000383 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bfb ),
+ .Q(\blk00000003/sig000005d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000382 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bfa ),
+ .Q(\blk00000003/sig000005d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000381 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bf9 ),
+ .Q(\blk00000003/sig000005d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk00000380 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bf8 ),
+ .Q(\blk00000003/sig000005d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000037f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bf7 ),
+ .Q(\blk00000003/sig000005d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000037e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bf6 ),
+ .Q(\blk00000003/sig000005d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000037b/blk0000037d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000037b/sig00000bf5 ),
+ .Q(\blk00000003/sig000005da )
+ );
+ GND \blk00000003/blk0000037b/blk0000037c (
+ .G(\blk00000003/blk0000037b/sig00000bf4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000003b4/blk000003ec (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e1 ),
+ .O(\blk00000003/blk000003b4/sig00000c7e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk000003b4/blk000003eb (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005c9 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c6b ),
+ .DPO(\blk00000003/blk000003b4/sig00000c7d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk000003b4/blk000003ea (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005ca ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c6a ),
+ .DPO(\blk00000003/blk000003b4/sig00000c7c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk000003b4/blk000003e9 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005cb ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c69 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c7b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk000003b4/blk000003e8 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005cc ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c68 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c7a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk000003b4/blk000003e7 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005cd ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c67 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c79 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000D ))
+ \blk00000003/blk000003b4/blk000003e6 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005ce ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c66 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c78 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000008 ))
+ \blk00000003/blk000003b4/blk000003e5 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d0 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c64 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c76 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000009 ))
+ \blk00000003/blk000003b4/blk000003e4 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d1 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c63 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c75 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000003b4/blk000003e3 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005cf ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c65 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c77 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000A ))
+ \blk00000003/blk000003b4/blk000003e2 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d2 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c62 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c74 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000006 ))
+ \blk00000003/blk000003b4/blk000003e1 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d3 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c61 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c73 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000C ))
+ \blk00000003/blk000003b4/blk000003e0 (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d4 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c60 ),
+ .DPO(\blk00000003/blk000003b4/sig00000c72 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000A ))
+ \blk00000003/blk000003b4/blk000003df (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d5 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c5f ),
+ .DPO(\blk00000003/blk000003b4/sig00000c71 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000003b4/blk000003de (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d6 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c5e ),
+ .DPO(\blk00000003/blk000003b4/sig00000c70 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000003 ))
+ \blk00000003/blk000003b4/blk000003dd (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d7 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c5d ),
+ .DPO(\blk00000003/blk000003b4/sig00000c6f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000B ))
+ \blk00000003/blk000003b4/blk000003dc (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d9 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c5b ),
+ .DPO(\blk00000003/blk000003b4/sig00000c6d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000003b4/blk000003db (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005da ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c5a ),
+ .DPO(\blk00000003/blk000003b4/sig00000c6c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000F ))
+ \blk00000003/blk000003b4/blk000003da (
+ .A0(\blk00000003/sig000004db ),
+ .A1(\blk00000003/sig000004dc ),
+ .A2(\blk00000003/sig000004dd ),
+ .A3(\blk00000003/blk000003b4/sig00000c59 ),
+ .A4(\blk00000003/blk000003b4/sig00000c59 ),
+ .D(\blk00000003/sig000005d8 ),
+ .DPRA0(\blk00000003/sig000004eb ),
+ .DPRA1(\blk00000003/sig000004e9 ),
+ .DPRA2(\blk00000003/sig000004e7 ),
+ .DPRA3(\blk00000003/blk000003b4/sig00000c59 ),
+ .DPRA4(\blk00000003/blk000003b4/sig00000c59 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000003b4/sig00000c7e ),
+ .SPO(\blk00000003/blk000003b4/sig00000c5c ),
+ .DPO(\blk00000003/blk000003b4/sig00000c6e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c7d ),
+ .Q(\blk00000003/sig00000469 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c7c ),
+ .Q(\blk00000003/sig0000046a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c7b ),
+ .Q(\blk00000003/sig0000046b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c7a ),
+ .Q(\blk00000003/sig0000046c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c79 ),
+ .Q(\blk00000003/sig0000046d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c78 ),
+ .Q(\blk00000003/sig0000046e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c77 ),
+ .Q(\blk00000003/sig0000046f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c76 ),
+ .Q(\blk00000003/sig00000470 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c75 ),
+ .Q(\blk00000003/sig00000471 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c74 ),
+ .Q(\blk00000003/sig00000472 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c73 ),
+ .Q(\blk00000003/sig00000473 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c72 ),
+ .Q(\blk00000003/sig00000474 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c71 ),
+ .Q(\blk00000003/sig00000475 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c70 ),
+ .Q(\blk00000003/sig00000476 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c6f ),
+ .Q(\blk00000003/sig00000477 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c6e ),
+ .Q(\blk00000003/sig00000478 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c6d ),
+ .Q(\blk00000003/sig00000479 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c6c ),
+ .Q(\blk00000003/sig0000047a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c6b ),
+ .Q(\blk00000003/sig000005db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c6a ),
+ .Q(\blk00000003/sig000005dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c69 ),
+ .Q(\blk00000003/sig000005dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c68 ),
+ .Q(\blk00000003/sig000005de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c67 ),
+ .Q(\blk00000003/sig000005df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c66 ),
+ .Q(\blk00000003/sig000005e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c65 ),
+ .Q(\blk00000003/sig000005e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c64 ),
+ .Q(\blk00000003/sig000005e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c63 ),
+ .Q(\blk00000003/sig000005e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c62 ),
+ .Q(\blk00000003/sig000005e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c61 ),
+ .Q(\blk00000003/sig000005e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c60 ),
+ .Q(\blk00000003/sig000005e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c5f ),
+ .Q(\blk00000003/sig000005e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c5e ),
+ .Q(\blk00000003/sig000005e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c5d ),
+ .Q(\blk00000003/sig000005e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c5c ),
+ .Q(\blk00000003/sig000005ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c5b ),
+ .Q(\blk00000003/sig000005eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4/blk000003b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000003b4/sig00000c5a ),
+ .Q(\blk00000003/sig000005ec )
+ );
+ GND \blk00000003/blk000003b4/blk000003b5 (
+ .G(\blk00000003/blk000003b4/sig00000c59 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000044d/blk00000473 (
+ .I0(ce),
+ .I1(\blk00000003/sig000004e2 ),
+ .O(\blk00000003/blk0000044d/sig00000cbf )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000044d/blk00000472 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005db ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000472_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cbe )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000D ))
+ \blk00000003/blk0000044d/blk00000471 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005dc ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000471_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cbd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000044d/blk00000470 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005dd ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000470_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cbc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000009 ))
+ \blk00000003/blk0000044d/blk0000046f (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005de ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk0000046f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cbb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk0000044d/blk0000046e (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005df ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk0000046e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cba )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000007 ))
+ \blk00000003/blk0000044d/blk0000046d (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e0 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk0000046d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000009 ))
+ \blk00000003/blk0000044d/blk0000046c (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e2 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk0000046c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000044d/blk0000046b (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e3 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk0000046b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000007 ))
+ \blk00000003/blk0000044d/blk0000046a (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e1 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk0000046a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk0000044d/blk00000469 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e4 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000469_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000044d/blk00000468 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e5 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000468_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000C ))
+ \blk00000003/blk0000044d/blk00000467 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e6 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000467_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000002 ))
+ \blk00000003/blk0000044d/blk00000466 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e7 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000466_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000005 ))
+ \blk00000003/blk0000044d/blk00000465 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e8 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000465_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000007 ))
+ \blk00000003/blk0000044d/blk00000464 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005e9 ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000464_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cb0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000044d/blk00000463 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005eb ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000463_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cae )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000009 ))
+ \blk00000003/blk0000044d/blk00000462 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005ec ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000462_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000cad )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk0000044d/blk00000461 (
+ .A0(\blk00000003/sig000004de ),
+ .A1(\blk00000003/sig000004df ),
+ .A2(\blk00000003/sig000004e0 ),
+ .A3(\blk00000003/blk0000044d/sig00000cac ),
+ .A4(\blk00000003/blk0000044d/sig00000cac ),
+ .D(\blk00000003/sig000005ea ),
+ .DPRA0(\blk00000003/sig000004ec ),
+ .DPRA1(\blk00000003/sig000004ea ),
+ .DPRA2(\blk00000003/sig000004e8 ),
+ .DPRA3(\blk00000003/blk0000044d/sig00000cac ),
+ .DPRA4(\blk00000003/blk0000044d/sig00000cac ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000044d/sig00000cbf ),
+ .SPO(\NLW_blk00000003/blk0000044d/blk00000461_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000044d/sig00000caf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000460 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cbe ),
+ .Q(\blk00000003/sig000003c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000045f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cbd ),
+ .Q(\blk00000003/sig000003c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000045e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cbc ),
+ .Q(\blk00000003/sig000003c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000045d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cbb ),
+ .Q(\blk00000003/sig000003ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000045c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cba ),
+ .Q(\blk00000003/sig000003cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000045b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb9 ),
+ .Q(\blk00000003/sig000003cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000045a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb8 ),
+ .Q(\blk00000003/sig000003cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000459 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb7 ),
+ .Q(\blk00000003/sig000003ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000458 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb6 ),
+ .Q(\blk00000003/sig000003cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000457 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb5 ),
+ .Q(\blk00000003/sig000003d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000456 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb4 ),
+ .Q(\blk00000003/sig000003d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000455 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb3 ),
+ .Q(\blk00000003/sig000003d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000454 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb2 ),
+ .Q(\blk00000003/sig000003d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000453 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb1 ),
+ .Q(\blk00000003/sig000003d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000452 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cb0 ),
+ .Q(\blk00000003/sig000003d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000451 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000caf ),
+ .Q(\blk00000003/sig000003d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk00000450 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cae ),
+ .Q(\blk00000003/sig000003d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000044d/blk0000044f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000044d/sig00000cad ),
+ .Q(\blk00000003/sig000003d8 )
+ );
+ GND \blk00000003/blk0000044d/blk0000044e (
+ .G(\blk00000003/blk0000044d/sig00000cac )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000004a4/blk000004ca (
+ .I0(ce),
+ .I1(\blk00000003/sig00000230 ),
+ .O(\blk00000003/blk000004a4/sig00000cfc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk000004a4/blk000004c9 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005b7 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c9_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cfb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c8 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005b8 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c8_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cfa )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c7 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005b9 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c7_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c6 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005ba ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c6_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c5 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005bb ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c5_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c4 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005bc ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c4_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c3 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005be ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c3_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c2 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005bf ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c2_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c1 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005bd ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c1_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004c0 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c0 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004c0_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004bf (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c1 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004bf_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004be (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c2 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004be_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cf0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004bd (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c3 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004bd_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cef )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004bc (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c4 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004bc_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cee )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004bb (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c5 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004bb_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000ced )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004ba (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c7 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004ba_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000ceb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004b9 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c8 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004b9_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cea )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk000004a4/blk000004b8 (
+ .A0(\blk00000003/sig00000237 ),
+ .A1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .A4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .D(\blk00000003/sig000005c6 ),
+ .DPRA0(\blk00000003/sig000005f0 ),
+ .DPRA1(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA2(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA3(\blk00000003/blk000004a4/sig00000ce9 ),
+ .DPRA4(\blk00000003/blk000004a4/sig00000ce9 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000004a4/sig00000cfc ),
+ .SPO(\NLW_blk00000003/blk000004a4/blk000004b8_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000004a4/sig00000cec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cfb ),
+ .Q(\blk00000003/sig000000f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cfa ),
+ .Q(\blk00000003/sig000000f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf9 ),
+ .Q(\blk00000003/sig000000f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf8 ),
+ .Q(\blk00000003/sig000000f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf7 ),
+ .Q(\blk00000003/sig000000f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf6 ),
+ .Q(\blk00000003/sig000000f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf5 ),
+ .Q(\blk00000003/sig000000f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004b0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf4 ),
+ .Q(\blk00000003/sig000000fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004af (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf3 ),
+ .Q(\blk00000003/sig000000fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004ae (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf2 ),
+ .Q(\blk00000003/sig000000fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf1 ),
+ .Q(\blk00000003/sig000000fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cf0 ),
+ .Q(\blk00000003/sig000000fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cef ),
+ .Q(\blk00000003/sig000000ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cee ),
+ .Q(\blk00000003/sig00000100 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000ced ),
+ .Q(\blk00000003/sig00000101 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cec ),
+ .Q(\blk00000003/sig00000102 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000ceb ),
+ .Q(\blk00000003/sig00000103 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004a4/blk000004a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000004a4/sig00000cea ),
+ .Q(\blk00000003/sig00000104 )
+ );
+ GND \blk00000003/blk000004a4/blk000004a5 (
+ .G(\blk00000003/blk000004a4/sig00000ce9 )
+ );
+
+// synthesis translate_on
+
+endmodule
+
+// synthesis translate_off
+
+`ifndef GLBL
+`define GLBL
+
+`timescale 1 ps / 1 ps
+
+module glbl ();
+
+ parameter ROC_WIDTH = 100000;
+ parameter TOC_WIDTH = 0;
+
+//-------- STARTUP Globals --------------
+ wire GSR;
+ wire GTS;
+ wire GWE;
+ wire PRLD;
+ tri1 p_up_tmp;
+ tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+ wire PROGB_GLBL;
+ wire CCLKO_GLBL;
+
+ reg GSR_int;
+ reg GTS_int;
+ reg PRLD_int;
+
+//-------- JTAG Globals --------------
+ wire JTAG_TDO_GLBL;
+ wire JTAG_TCK_GLBL;
+ wire JTAG_TDI_GLBL;
+ wire JTAG_TMS_GLBL;
+ wire JTAG_TRST_GLBL;
+
+ reg JTAG_CAPTURE_GLBL;
+ reg JTAG_RESET_GLBL;
+ reg JTAG_SHIFT_GLBL;
+ reg JTAG_UPDATE_GLBL;
+ reg JTAG_RUNTEST_GLBL;
+
+ reg JTAG_SEL1_GLBL = 0;
+ reg JTAG_SEL2_GLBL = 0 ;
+ reg JTAG_SEL3_GLBL = 0;
+ reg JTAG_SEL4_GLBL = 0;
+
+ reg JTAG_USER_TDO1_GLBL = 1'bz;
+ reg JTAG_USER_TDO2_GLBL = 1'bz;
+ reg JTAG_USER_TDO3_GLBL = 1'bz;
+ reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+ assign (weak1, weak0) GSR = GSR_int;
+ assign (weak1, weak0) GTS = GTS_int;
+ assign (weak1, weak0) PRLD = PRLD_int;
+
+ initial begin
+ GSR_int = 1'b1;
+ PRLD_int = 1'b1;
+ #(ROC_WIDTH)
+ GSR_int = 1'b0;
+ PRLD_int = 1'b0;
+ end
+
+ initial begin
+ GTS_int = 1'b1;
+ #(TOC_WIDTH)
+ GTS_int = 1'b0;
+ end
+
+endmodule
+
+`endif
+
+// synthesis translate_on
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec2_stub.v b/fpga/usrp3/top/x400/coregen_dsp/hbdec2_stub.v
new file mode 100644
index 000000000..4e0ae6ac4
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec2_stub.v
@@ -0,0 +1,20 @@
+module hbdec2(
+ sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk,
+ coef_ld, dout_1, dout_2, din_1, din_2, coef_din
+)
+/* synthesis syn_black_box black_box_pad_pin="sclr,ce,rfd,rdy,data_valid,coef_we,nd,clk,coef_ld,dout_1[47:0],dout_2[47:0],din_1[23:0],din_2[23:0],coef_din[17:0]" */;
+ input sclr;
+ input ce;
+ output rfd;
+ output rdy;
+ output data_valid;
+ input coef_we;
+ input nd;
+ input clk;
+ input coef_ld;
+ output [46:0]dout_1;
+ output [46:0]dout_2;
+ input [23:0]din_1;
+ input [23:0]din_2;
+ input [17:0]coef_din;
+endmodule
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec3.edif b/fpga/usrp3/top/x400/coregen_dsp/hbdec3.edif
new file mode 100644
index 000000000..a6ee381dd
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec3.edif
@@ -0,0 +1,36338 @@
+(edif hbdec3
+ (edifVersion 2 0 0)
+ (edifLevel 0)
+ (keywordMap (keywordLevel 0))
+ (status
+ (written
+ (timestamp 2018 8 29 14 59 46)
+ (program "Xilinx ngc2edif" (version "P_INT.20171106"))
+ (author "Xilinx. Inc ")
+ (comment "This EDIF netlist is to be used within supported synthesis tools")
+ (comment "for determining resource/timing estimates of the design component")
+ (comment "represented by this netlist.")
+ (comment "Command line: hbdec3.ngc hbdec3.edif ")))
+ (external UNISIMS
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell VCC
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port P
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell GND
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port G
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FD
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY_D
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell XORCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port LI
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell DSP48E1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port PATTERNBDETECT
+ (direction OUTPUT)
+ )
+ (port RSTC
+ (direction INPUT)
+ )
+ (port CEB1
+ (direction INPUT)
+ )
+ (port CEAD
+ (direction INPUT)
+ )
+ (port MULTSIGNOUT
+ (direction OUTPUT)
+ )
+ (port CEC
+ (direction INPUT)
+ )
+ (port RSTM
+ (direction INPUT)
+ )
+ (port MULTSIGNIN
+ (direction INPUT)
+ )
+ (port CEB2
+ (direction INPUT)
+ )
+ (port RSTCTRL
+ (direction INPUT)
+ )
+ (port CEP
+ (direction INPUT)
+ )
+ (port CARRYCASCOUT
+ (direction OUTPUT)
+ )
+ (port RSTA
+ (direction INPUT)
+ )
+ (port CECARRYIN
+ (direction INPUT)
+ )
+ (port UNDERFLOW
+ (direction OUTPUT)
+ )
+ (port PATTERNDETECT
+ (direction OUTPUT)
+ )
+ (port RSTALUMODE
+ (direction INPUT)
+ )
+ (port RSTALLCARRYIN
+ (direction INPUT)
+ )
+ (port CED
+ (direction INPUT)
+ )
+ (port RSTD
+ (direction INPUT)
+ )
+ (port CEALUMODE
+ (direction INPUT)
+ )
+ (port CEA2
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port CEA1
+ (direction INPUT)
+ )
+ (port RSTB
+ (direction INPUT)
+ )
+ (port OVERFLOW
+ (direction OUTPUT)
+ )
+ (port CECTRL
+ (direction INPUT)
+ )
+ (port CEM
+ (direction INPUT)
+ )
+ (port CARRYIN
+ (direction INPUT)
+ )
+ (port CARRYCASCIN
+ (direction INPUT)
+ )
+ (port RSTINMODE
+ (direction INPUT)
+ )
+ (port CEINMODE
+ (direction INPUT)
+ )
+ (port RSTP
+ (direction INPUT)
+ )
+ (port (rename ACOUT_29_ "ACOUT<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_28_ "ACOUT<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_27_ "ACOUT<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_26_ "ACOUT<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_25_ "ACOUT<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_24_ "ACOUT<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_23_ "ACOUT<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_22_ "ACOUT<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_21_ "ACOUT<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_20_ "ACOUT<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_19_ "ACOUT<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_18_ "ACOUT<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_17_ "ACOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_16_ "ACOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_15_ "ACOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_14_ "ACOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_13_ "ACOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_12_ "ACOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_11_ "ACOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_10_ "ACOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_9_ "ACOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_8_ "ACOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_7_ "ACOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_6_ "ACOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_5_ "ACOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_4_ "ACOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_3_ "ACOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_2_ "ACOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_1_ "ACOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename ACOUT_0_ "ACOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "ACOUT<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_6_ "OPMODE<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_5_ "OPMODE<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_4_ "OPMODE<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_3_ "OPMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_2_ "OPMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_1_ "OPMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename OPMODE_0_ "OPMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "OPMODE<6:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCIN_47_ "PCIN<47>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename PCIN_46_ "PCIN<46>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename PCIN_45_ "PCIN<45>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename PCIN_44_ "PCIN<44>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename PCIN_43_ "PCIN<43>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename PCIN_42_ "PCIN<42>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename PCIN_41_ "PCIN<41>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCIN_40_ "PCIN<40>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename PCIN_39_ "PCIN<39>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename PCIN_38_ "PCIN<38>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename PCIN_37_ "PCIN<37>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename PCIN_36_ "PCIN<36>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename PCIN_35_ "PCIN<35>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename PCIN_34_ "PCIN<34>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename PCIN_33_ "PCIN<33>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename PCIN_32_ "PCIN<32>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename PCIN_31_ "PCIN<31>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename PCIN_30_ "PCIN<30>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename PCIN_29_ "PCIN<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename PCIN_28_ "PCIN<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename PCIN_27_ "PCIN<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename PCIN_26_ "PCIN<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename PCIN_25_ "PCIN<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename PCIN_24_ "PCIN<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename PCIN_23_ "PCIN<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename PCIN_22_ "PCIN<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename PCIN_21_ "PCIN<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename PCIN_20_ "PCIN<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename PCIN_19_ "PCIN<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename PCIN_18_ "PCIN<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCIN_17_ "PCIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename PCIN_16_ "PCIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename PCIN_15_ "PCIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename PCIN_14_ "PCIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename PCIN_13_ "PCIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename PCIN_12_ "PCIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename PCIN_11_ "PCIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename PCIN_10_ "PCIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename PCIN_9_ "PCIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename PCIN_8_ "PCIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename PCIN_7_ "PCIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename PCIN_6_ "PCIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename PCIN_5_ "PCIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename PCIN_4_ "PCIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename PCIN_3_ "PCIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename PCIN_2_ "PCIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename PCIN_1_ "PCIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename PCIN_0_ "PCIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "PCIN<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_3_ "ALUMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_2_ "ALUMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_1_ "ALUMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ALUMODE_0_ "ALUMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ALUMODE<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename C_47_ "C<47>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename C_46_ "C<46>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename C_45_ "C<45>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename C_44_ "C<44>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename C_43_ "C<43>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename C_42_ "C<42>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename C_41_ "C<41>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename C_40_ "C<40>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename C_39_ "C<39>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename C_38_ "C<38>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename C_37_ "C<37>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename C_36_ "C<36>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename C_35_ "C<35>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename C_34_ "C<34>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename C_33_ "C<33>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename C_32_ "C<32>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename C_31_ "C<31>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename C_30_ "C<30>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename C_29_ "C<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename C_28_ "C<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename C_27_ "C<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename C_26_ "C<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename C_25_ "C<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename C_24_ "C<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename C_23_ "C<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename C_22_ "C<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename C_21_ "C<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename C_20_ "C<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename C_19_ "C<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename C_18_ "C<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename C_17_ "C<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename C_16_ "C<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename C_15_ "C<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename C_14_ "C<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename C_13_ "C<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename C_12_ "C<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename C_11_ "C<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename C_10_ "C<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename C_9_ "C<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename C_8_ "C<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename C_7_ "C<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename C_6_ "C<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename C_5_ "C<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename C_4_ "C<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename C_3_ "C<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename C_2_ "C<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename C_1_ "C<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename C_0_ "C<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "C<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_3_ "CARRYOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_2_ "CARRYOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_1_ "CARRYOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename CARRYOUT_0_ "CARRYOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "CARRYOUT<3:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename INMODE_4_ "INMODE<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename INMODE_3_ "INMODE<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename INMODE_2_ "INMODE<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename INMODE_1_ "INMODE<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename INMODE_0_ "INMODE<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "INMODE<4:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCIN_17_ "BCIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename BCIN_16_ "BCIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename BCIN_15_ "BCIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename BCIN_14_ "BCIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename BCIN_13_ "BCIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCIN_12_ "BCIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename BCIN_11_ "BCIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename BCIN_10_ "BCIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename BCIN_9_ "BCIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename BCIN_8_ "BCIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename BCIN_7_ "BCIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename BCIN_6_ "BCIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename BCIN_5_ "BCIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename BCIN_4_ "BCIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename BCIN_3_ "BCIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename BCIN_2_ "BCIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename BCIN_1_ "BCIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename BCIN_0_ "BCIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "BCIN<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename B_17_ "B<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename B_16_ "B<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename B_15_ "B<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename B_14_ "B<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename B_13_ "B<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename B_12_ "B<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename B_11_ "B<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename B_10_ "B<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename B_9_ "B<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename B_8_ "B<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename B_7_ "B<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename B_6_ "B<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename B_5_ "B<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename B_4_ "B<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename B_3_ "B<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename B_2_ "B<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename B_1_ "B<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename B_0_ "B<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "B<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_17_ "BCOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_16_ "BCOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_15_ "BCOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_14_ "BCOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_13_ "BCOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_12_ "BCOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_11_ "BCOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_10_ "BCOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_9_ "BCOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_8_ "BCOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_7_ "BCOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_6_ "BCOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_5_ "BCOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_4_ "BCOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_3_ "BCOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_2_ "BCOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_1_ "BCOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename BCOUT_0_ "BCOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "BCOUT<17:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename D_24_ "D<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename D_23_ "D<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename D_22_ "D<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename D_21_ "D<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename D_20_ "D<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename D_19_ "D<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename D_18_ "D<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename D_17_ "D<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename D_16_ "D<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename D_15_ "D<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename D_14_ "D<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename D_13_ "D<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename D_12_ "D<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename D_11_ "D<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename D_10_ "D<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename D_9_ "D<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename D_8_ "D<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename D_7_ "D<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename D_6_ "D<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename D_5_ "D<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename D_4_ "D<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename D_3_ "D<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename D_2_ "D<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename D_1_ "D<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename D_0_ "D<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "D<24:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename P_47_ "P<47>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename P_46_ "P<46>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename P_45_ "P<45>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename P_44_ "P<44>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename P_43_ "P<43>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename P_42_ "P<42>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename P_41_ "P<41>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename P_40_ "P<40>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename P_39_ "P<39>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename P_38_ "P<38>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename P_37_ "P<37>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename P_36_ "P<36>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename P_35_ "P<35>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename P_34_ "P<34>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename P_33_ "P<33>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename P_32_ "P<32>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename P_31_ "P<31>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename P_30_ "P<30>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename P_29_ "P<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename P_28_ "P<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename P_27_ "P<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename P_26_ "P<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename P_25_ "P<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename P_24_ "P<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename P_23_ "P<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename P_22_ "P<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename P_21_ "P<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename P_20_ "P<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename P_19_ "P<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename P_18_ "P<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename P_17_ "P<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename P_16_ "P<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename P_15_ "P<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename P_14_ "P<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename P_13_ "P<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename P_12_ "P<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename P_11_ "P<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename P_10_ "P<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename P_9_ "P<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename P_8_ "P<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename P_7_ "P<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename P_6_ "P<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename P_5_ "P<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename P_4_ "P<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename P_3_ "P<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename P_2_ "P<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename P_1_ "P<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename P_0_ "P<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "P<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename A_29_ "A<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename A_28_ "A<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename A_27_ "A<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename A_26_ "A<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename A_25_ "A<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename A_24_ "A<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename A_23_ "A<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename A_22_ "A<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename A_21_ "A<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename A_20_ "A<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename A_19_ "A<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename A_18_ "A<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename A_17_ "A<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename A_16_ "A<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename A_15_ "A<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename A_14_ "A<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename A_13_ "A<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename A_12_ "A<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename A_11_ "A<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename A_10_ "A<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename A_9_ "A<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename A_8_ "A<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename A_7_ "A<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename A_6_ "A<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename A_5_ "A<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename A_4_ "A<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename A_3_ "A<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename A_2_ "A<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename A_1_ "A<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename A_0_ "A<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "A<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_47_ "PCOUT<47>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_46_ "PCOUT<46>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_45_ "PCOUT<45>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_44_ "PCOUT<44>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_43_ "PCOUT<43>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_42_ "PCOUT<42>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_41_ "PCOUT<41>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_40_ "PCOUT<40>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_39_ "PCOUT<39>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_38_ "PCOUT<38>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_37_ "PCOUT<37>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_36_ "PCOUT<36>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_35_ "PCOUT<35>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_34_ "PCOUT<34>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_33_ "PCOUT<33>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_32_ "PCOUT<32>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_31_ "PCOUT<31>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_30_ "PCOUT<30>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_29_ "PCOUT<29>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_28_ "PCOUT<28>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_27_ "PCOUT<27>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_26_ "PCOUT<26>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_25_ "PCOUT<25>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_24_ "PCOUT<24>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_23_ "PCOUT<23>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_22_ "PCOUT<22>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_21_ "PCOUT<21>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_20_ "PCOUT<20>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_19_ "PCOUT<19>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_18_ "PCOUT<18>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_17_ "PCOUT<17>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 30) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_16_ "PCOUT<16>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 31) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_15_ "PCOUT<15>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 32) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_14_ "PCOUT<14>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 33) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_13_ "PCOUT<13>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 34) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_12_ "PCOUT<12>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 35) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_11_ "PCOUT<11>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 36) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_10_ "PCOUT<10>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 37) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_9_ "PCOUT<9>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 38) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_8_ "PCOUT<8>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 39) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_7_ "PCOUT<7>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 40) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_6_ "PCOUT<6>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 41) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_5_ "PCOUT<5>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 42) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_4_ "PCOUT<4>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 43) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_3_ "PCOUT<3>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 44) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_2_ "PCOUT<2>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 45) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_1_ "PCOUT<1>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 46) (owner "Xilinx"))
+ )
+ (port (rename PCOUT_0_ "PCOUT<0>")
+ (direction OUTPUT)
+ (property PIN_BUSNAME (string "PCOUT<47:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 47) (owner "Xilinx"))
+ )
+ (port (rename ACIN_29_ "ACIN<29>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename ACIN_28_ "ACIN<28>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename ACIN_27_ "ACIN<27>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ (port (rename ACIN_26_ "ACIN<26>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 3) (owner "Xilinx"))
+ )
+ (port (rename ACIN_25_ "ACIN<25>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 4) (owner "Xilinx"))
+ )
+ (port (rename ACIN_24_ "ACIN<24>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 5) (owner "Xilinx"))
+ )
+ (port (rename ACIN_23_ "ACIN<23>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 6) (owner "Xilinx"))
+ )
+ (port (rename ACIN_22_ "ACIN<22>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 7) (owner "Xilinx"))
+ )
+ (port (rename ACIN_21_ "ACIN<21>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 8) (owner "Xilinx"))
+ )
+ (port (rename ACIN_20_ "ACIN<20>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 9) (owner "Xilinx"))
+ )
+ (port (rename ACIN_19_ "ACIN<19>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 10) (owner "Xilinx"))
+ )
+ (port (rename ACIN_18_ "ACIN<18>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 11) (owner "Xilinx"))
+ )
+ (port (rename ACIN_17_ "ACIN<17>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 12) (owner "Xilinx"))
+ )
+ (port (rename ACIN_16_ "ACIN<16>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 13) (owner "Xilinx"))
+ )
+ (port (rename ACIN_15_ "ACIN<15>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 14) (owner "Xilinx"))
+ )
+ (port (rename ACIN_14_ "ACIN<14>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 15) (owner "Xilinx"))
+ )
+ (port (rename ACIN_13_ "ACIN<13>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 16) (owner "Xilinx"))
+ )
+ (port (rename ACIN_12_ "ACIN<12>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 17) (owner "Xilinx"))
+ )
+ (port (rename ACIN_11_ "ACIN<11>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 18) (owner "Xilinx"))
+ )
+ (port (rename ACIN_10_ "ACIN<10>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 19) (owner "Xilinx"))
+ )
+ (port (rename ACIN_9_ "ACIN<9>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 20) (owner "Xilinx"))
+ )
+ (port (rename ACIN_8_ "ACIN<8>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 21) (owner "Xilinx"))
+ )
+ (port (rename ACIN_7_ "ACIN<7>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 22) (owner "Xilinx"))
+ )
+ (port (rename ACIN_6_ "ACIN<6>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 23) (owner "Xilinx"))
+ )
+ (port (rename ACIN_5_ "ACIN<5>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 24) (owner "Xilinx"))
+ )
+ (port (rename ACIN_4_ "ACIN<4>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 25) (owner "Xilinx"))
+ )
+ (port (rename ACIN_3_ "ACIN<3>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 26) (owner "Xilinx"))
+ )
+ (port (rename ACIN_2_ "ACIN<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 27) (owner "Xilinx"))
+ )
+ (port (rename ACIN_1_ "ACIN<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 28) (owner "Xilinx"))
+ )
+ (port (rename ACIN_0_ "ACIN<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "ACIN<29:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 29) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_2_ "CARRYINSEL<2>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 0) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_1_ "CARRYINSEL<1>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 1) (owner "Xilinx"))
+ )
+ (port (rename CARRYINSEL_0_ "CARRYINSEL<0>")
+ (direction INPUT)
+ (property PIN_BUSNAME (string "CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property PIN_BUSIDX (integer 2) (owner "Xilinx"))
+ )
+ )
+ )
+ )
+ (cell MUXCY
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDSE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDRE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDE
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell FDR
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port C
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port R
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell RAM32X1D
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A0
+ (direction INPUT)
+ )
+ (port A1
+ (direction INPUT)
+ )
+ (port A2
+ (direction INPUT)
+ )
+ (port A3
+ (direction INPUT)
+ )
+ (port A4
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port DPRA0
+ (direction INPUT)
+ )
+ (port DPRA1
+ (direction INPUT)
+ )
+ (port DPRA2
+ (direction INPUT)
+ )
+ (port DPRA3
+ (direction INPUT)
+ )
+ (port DPRA4
+ (direction INPUT)
+ )
+ (port WCLK
+ (direction INPUT)
+ )
+ (port WE
+ (direction INPUT)
+ )
+ (port SPO
+ (direction OUTPUT)
+ )
+ (port DPO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT2
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell MUXCY_L
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port CI
+ (direction INPUT)
+ )
+ (port DI
+ (direction INPUT)
+ )
+ (port S
+ (direction INPUT)
+ )
+ (port LO
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell SRLC16E
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port A0
+ (direction INPUT)
+ )
+ (port A1
+ (direction INPUT)
+ )
+ (port A2
+ (direction INPUT)
+ )
+ (port A3
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port D
+ (direction INPUT)
+ )
+ (port Q
+ (direction OUTPUT)
+ )
+ (port Q15
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT4
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT5
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port I1
+ (direction INPUT)
+ )
+ (port I2
+ (direction INPUT)
+ )
+ (port I3
+ (direction INPUT)
+ )
+ (port I4
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell LUT1
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I0
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ (cell INV
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port I
+ (direction INPUT)
+ )
+ (port O
+ (direction OUTPUT)
+ )
+ )
+ )
+ )
+ )
+
+ (library hbdec3_lib
+ (edifLevel 0)
+ (technology (numberDefinition))
+ (cell (rename dpr_ram_4_blk00000371 "dpr_ram_4")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000372
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000373
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000374
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000375
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000376
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000377
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000378
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000379
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000037f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000380
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000381
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000382
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000383
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000384
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000385
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000386
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000387
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000388
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000389
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000038a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000038b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000038c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000038d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000038e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk0000038f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000390
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000391
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000392
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000393
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000394
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000395
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000001") (owner "Xilinx"))
+ )
+ (instance blk00000396
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000397
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000a28
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A0 (instanceRef blk00000385))
+ (portRef A0 (instanceRef blk00000386))
+ (portRef A0 (instanceRef blk00000387))
+ (portRef A0 (instanceRef blk00000388))
+ (portRef A0 (instanceRef blk00000389))
+ (portRef A0 (instanceRef blk0000038a))
+ (portRef A0 (instanceRef blk0000038b))
+ (portRef A0 (instanceRef blk0000038c))
+ (portRef A0 (instanceRef blk0000038d))
+ (portRef A0 (instanceRef blk0000038e))
+ (portRef A0 (instanceRef blk0000038f))
+ (portRef A0 (instanceRef blk00000390))
+ (portRef A0 (instanceRef blk00000391))
+ (portRef A0 (instanceRef blk00000392))
+ (portRef A0 (instanceRef blk00000393))
+ (portRef A0 (instanceRef blk00000394))
+ (portRef A0 (instanceRef blk00000395))
+ (portRef A0 (instanceRef blk00000396))
+ )
+ )
+ (net sig00000a29
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000396))
+ )
+ )
+ (net sig00000a2a
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk00000395))
+ )
+ )
+ (net sig00000a2b
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk00000394))
+ )
+ )
+ (net sig00000a2c
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000393))
+ )
+ )
+ (net sig00000a2d
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk00000392))
+ )
+ )
+ (net sig00000a2e
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk00000391))
+ )
+ )
+ (net sig00000a2f
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk0000038e))
+ )
+ )
+ (net sig00000a30
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000390))
+ )
+ )
+ (net sig00000a31
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk0000038f))
+ )
+ )
+ (net sig00000a32
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk0000038d))
+ )
+ )
+ (net sig00000a33
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk0000038c))
+ )
+ )
+ (net sig00000a34
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk0000038b))
+ )
+ )
+ (net sig00000a35
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk0000038a))
+ )
+ )
+ (net sig00000a36
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000389))
+ )
+ )
+ (net sig00000a37
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000388))
+ )
+ )
+ (net sig00000a38
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk00000385))
+ )
+ )
+ (net sig00000a39
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000387))
+ )
+ )
+ (net sig00000a3a
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk00000386))
+ )
+ )
+ (net sig00000a3b
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA0 (instanceRef blk00000385))
+ (portRef DPRA0 (instanceRef blk00000386))
+ (portRef DPRA0 (instanceRef blk00000387))
+ (portRef DPRA0 (instanceRef blk00000388))
+ (portRef DPRA0 (instanceRef blk00000389))
+ (portRef DPRA0 (instanceRef blk0000038a))
+ (portRef DPRA0 (instanceRef blk0000038b))
+ (portRef DPRA0 (instanceRef blk0000038c))
+ (portRef DPRA0 (instanceRef blk0000038d))
+ (portRef DPRA0 (instanceRef blk0000038e))
+ (portRef DPRA0 (instanceRef blk0000038f))
+ (portRef DPRA0 (instanceRef blk00000390))
+ (portRef DPRA0 (instanceRef blk00000391))
+ (portRef DPRA0 (instanceRef blk00000392))
+ (portRef DPRA0 (instanceRef blk00000393))
+ (portRef DPRA0 (instanceRef blk00000394))
+ (portRef DPRA0 (instanceRef blk00000395))
+ (portRef DPRA0 (instanceRef blk00000396))
+ )
+ )
+ (net sig00000a3c
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000397))
+ )
+ )
+ (net sig00000a3d
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000373))
+ (portRef CE (instanceRef blk00000374))
+ (portRef CE (instanceRef blk00000375))
+ (portRef CE (instanceRef blk00000376))
+ (portRef CE (instanceRef blk00000377))
+ (portRef CE (instanceRef blk00000378))
+ (portRef CE (instanceRef blk00000379))
+ (portRef CE (instanceRef blk0000037a))
+ (portRef CE (instanceRef blk0000037b))
+ (portRef CE (instanceRef blk0000037c))
+ (portRef CE (instanceRef blk0000037d))
+ (portRef CE (instanceRef blk0000037e))
+ (portRef CE (instanceRef blk0000037f))
+ (portRef CE (instanceRef blk00000380))
+ (portRef CE (instanceRef blk00000381))
+ (portRef CE (instanceRef blk00000382))
+ (portRef CE (instanceRef blk00000383))
+ (portRef CE (instanceRef blk00000384))
+ (portRef I0 (instanceRef blk00000397))
+ )
+ )
+ (net sig00000a3e
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000373))
+ (portRef C (instanceRef blk00000374))
+ (portRef C (instanceRef blk00000375))
+ (portRef C (instanceRef blk00000376))
+ (portRef C (instanceRef blk00000377))
+ (portRef C (instanceRef blk00000378))
+ (portRef C (instanceRef blk00000379))
+ (portRef C (instanceRef blk0000037a))
+ (portRef C (instanceRef blk0000037b))
+ (portRef C (instanceRef blk0000037c))
+ (portRef C (instanceRef blk0000037d))
+ (portRef C (instanceRef blk0000037e))
+ (portRef C (instanceRef blk0000037f))
+ (portRef C (instanceRef blk00000380))
+ (portRef C (instanceRef blk00000381))
+ (portRef C (instanceRef blk00000382))
+ (portRef C (instanceRef blk00000383))
+ (portRef C (instanceRef blk00000384))
+ (portRef WCLK (instanceRef blk00000385))
+ (portRef WCLK (instanceRef blk00000386))
+ (portRef WCLK (instanceRef blk00000387))
+ (portRef WCLK (instanceRef blk00000388))
+ (portRef WCLK (instanceRef blk00000389))
+ (portRef WCLK (instanceRef blk0000038a))
+ (portRef WCLK (instanceRef blk0000038b))
+ (portRef WCLK (instanceRef blk0000038c))
+ (portRef WCLK (instanceRef blk0000038d))
+ (portRef WCLK (instanceRef blk0000038e))
+ (portRef WCLK (instanceRef blk0000038f))
+ (portRef WCLK (instanceRef blk00000390))
+ (portRef WCLK (instanceRef blk00000391))
+ (portRef WCLK (instanceRef blk00000392))
+ (portRef WCLK (instanceRef blk00000393))
+ (portRef WCLK (instanceRef blk00000394))
+ (portRef WCLK (instanceRef blk00000395))
+ (portRef WCLK (instanceRef blk00000396))
+ )
+ )
+ (net sig00000a3f
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk00000384))
+ )
+ )
+ (net sig00000a40
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk00000383))
+ )
+ )
+ (net sig00000a41
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk00000382))
+ )
+ )
+ (net sig00000a42
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk00000381))
+ )
+ )
+ (net sig00000a43
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000380))
+ )
+ )
+ (net sig00000a44
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk0000037f))
+ )
+ )
+ (net sig00000a45
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk0000037e))
+ )
+ )
+ (net sig00000a46
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk0000037d))
+ )
+ )
+ (net sig00000a47
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk0000037c))
+ )
+ )
+ (net sig00000a48
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk0000037b))
+ )
+ )
+ (net sig00000a49
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk0000037a))
+ )
+ )
+ (net sig00000a4a
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000379))
+ )
+ )
+ (net sig00000a4b
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk00000378))
+ )
+ )
+ (net sig00000a4c
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk00000377))
+ )
+ )
+ (net sig00000a4d
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk00000376))
+ )
+ )
+ (net sig00000a4e
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk00000375))
+ )
+ )
+ (net sig00000a4f
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk00000374))
+ )
+ )
+ (net sig00000a50
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk00000373))
+ )
+ )
+ (net sig00000a51
+ (joined
+ (portRef G (instanceRef blk00000372))
+ (portRef A1 (instanceRef blk00000385))
+ (portRef A2 (instanceRef blk00000385))
+ (portRef A3 (instanceRef blk00000385))
+ (portRef A4 (instanceRef blk00000385))
+ (portRef DPRA1 (instanceRef blk00000385))
+ (portRef DPRA2 (instanceRef blk00000385))
+ (portRef DPRA3 (instanceRef blk00000385))
+ (portRef DPRA4 (instanceRef blk00000385))
+ (portRef A1 (instanceRef blk00000386))
+ (portRef A2 (instanceRef blk00000386))
+ (portRef A3 (instanceRef blk00000386))
+ (portRef A4 (instanceRef blk00000386))
+ (portRef DPRA1 (instanceRef blk00000386))
+ (portRef DPRA2 (instanceRef blk00000386))
+ (portRef DPRA3 (instanceRef blk00000386))
+ (portRef DPRA4 (instanceRef blk00000386))
+ (portRef A1 (instanceRef blk00000387))
+ (portRef A2 (instanceRef blk00000387))
+ (portRef A3 (instanceRef blk00000387))
+ (portRef A4 (instanceRef blk00000387))
+ (portRef DPRA1 (instanceRef blk00000387))
+ (portRef DPRA2 (instanceRef blk00000387))
+ (portRef DPRA3 (instanceRef blk00000387))
+ (portRef DPRA4 (instanceRef blk00000387))
+ (portRef A1 (instanceRef blk00000388))
+ (portRef A2 (instanceRef blk00000388))
+ (portRef A3 (instanceRef blk00000388))
+ (portRef A4 (instanceRef blk00000388))
+ (portRef DPRA1 (instanceRef blk00000388))
+ (portRef DPRA2 (instanceRef blk00000388))
+ (portRef DPRA3 (instanceRef blk00000388))
+ (portRef DPRA4 (instanceRef blk00000388))
+ (portRef A1 (instanceRef blk00000389))
+ (portRef A2 (instanceRef blk00000389))
+ (portRef A3 (instanceRef blk00000389))
+ (portRef A4 (instanceRef blk00000389))
+ (portRef DPRA1 (instanceRef blk00000389))
+ (portRef DPRA2 (instanceRef blk00000389))
+ (portRef DPRA3 (instanceRef blk00000389))
+ (portRef DPRA4 (instanceRef blk00000389))
+ (portRef A1 (instanceRef blk0000038a))
+ (portRef A2 (instanceRef blk0000038a))
+ (portRef A3 (instanceRef blk0000038a))
+ (portRef A4 (instanceRef blk0000038a))
+ (portRef DPRA1 (instanceRef blk0000038a))
+ (portRef DPRA2 (instanceRef blk0000038a))
+ (portRef DPRA3 (instanceRef blk0000038a))
+ (portRef DPRA4 (instanceRef blk0000038a))
+ (portRef A1 (instanceRef blk0000038b))
+ (portRef A2 (instanceRef blk0000038b))
+ (portRef A3 (instanceRef blk0000038b))
+ (portRef A4 (instanceRef blk0000038b))
+ (portRef DPRA1 (instanceRef blk0000038b))
+ (portRef DPRA2 (instanceRef blk0000038b))
+ (portRef DPRA3 (instanceRef blk0000038b))
+ (portRef DPRA4 (instanceRef blk0000038b))
+ (portRef A1 (instanceRef blk0000038c))
+ (portRef A2 (instanceRef blk0000038c))
+ (portRef A3 (instanceRef blk0000038c))
+ (portRef A4 (instanceRef blk0000038c))
+ (portRef DPRA1 (instanceRef blk0000038c))
+ (portRef DPRA2 (instanceRef blk0000038c))
+ (portRef DPRA3 (instanceRef blk0000038c))
+ (portRef DPRA4 (instanceRef blk0000038c))
+ (portRef A1 (instanceRef blk0000038d))
+ (portRef A2 (instanceRef blk0000038d))
+ (portRef A3 (instanceRef blk0000038d))
+ (portRef A4 (instanceRef blk0000038d))
+ (portRef DPRA1 (instanceRef blk0000038d))
+ (portRef DPRA2 (instanceRef blk0000038d))
+ (portRef DPRA3 (instanceRef blk0000038d))
+ (portRef DPRA4 (instanceRef blk0000038d))
+ (portRef A1 (instanceRef blk0000038e))
+ (portRef A2 (instanceRef blk0000038e))
+ (portRef A3 (instanceRef blk0000038e))
+ (portRef A4 (instanceRef blk0000038e))
+ (portRef DPRA1 (instanceRef blk0000038e))
+ (portRef DPRA2 (instanceRef blk0000038e))
+ (portRef DPRA3 (instanceRef blk0000038e))
+ (portRef DPRA4 (instanceRef blk0000038e))
+ (portRef A1 (instanceRef blk0000038f))
+ (portRef A2 (instanceRef blk0000038f))
+ (portRef A3 (instanceRef blk0000038f))
+ (portRef A4 (instanceRef blk0000038f))
+ (portRef DPRA1 (instanceRef blk0000038f))
+ (portRef DPRA2 (instanceRef blk0000038f))
+ (portRef DPRA3 (instanceRef blk0000038f))
+ (portRef DPRA4 (instanceRef blk0000038f))
+ (portRef A1 (instanceRef blk00000390))
+ (portRef A2 (instanceRef blk00000390))
+ (portRef A3 (instanceRef blk00000390))
+ (portRef A4 (instanceRef blk00000390))
+ (portRef DPRA1 (instanceRef blk00000390))
+ (portRef DPRA2 (instanceRef blk00000390))
+ (portRef DPRA3 (instanceRef blk00000390))
+ (portRef DPRA4 (instanceRef blk00000390))
+ (portRef A1 (instanceRef blk00000391))
+ (portRef A2 (instanceRef blk00000391))
+ (portRef A3 (instanceRef blk00000391))
+ (portRef A4 (instanceRef blk00000391))
+ (portRef DPRA1 (instanceRef blk00000391))
+ (portRef DPRA2 (instanceRef blk00000391))
+ (portRef DPRA3 (instanceRef blk00000391))
+ (portRef DPRA4 (instanceRef blk00000391))
+ (portRef A1 (instanceRef blk00000392))
+ (portRef A2 (instanceRef blk00000392))
+ (portRef A3 (instanceRef blk00000392))
+ (portRef A4 (instanceRef blk00000392))
+ (portRef DPRA1 (instanceRef blk00000392))
+ (portRef DPRA2 (instanceRef blk00000392))
+ (portRef DPRA3 (instanceRef blk00000392))
+ (portRef DPRA4 (instanceRef blk00000392))
+ (portRef A1 (instanceRef blk00000393))
+ (portRef A2 (instanceRef blk00000393))
+ (portRef A3 (instanceRef blk00000393))
+ (portRef A4 (instanceRef blk00000393))
+ (portRef DPRA1 (instanceRef blk00000393))
+ (portRef DPRA2 (instanceRef blk00000393))
+ (portRef DPRA3 (instanceRef blk00000393))
+ (portRef DPRA4 (instanceRef blk00000393))
+ (portRef A1 (instanceRef blk00000394))
+ (portRef A2 (instanceRef blk00000394))
+ (portRef A3 (instanceRef blk00000394))
+ (portRef A4 (instanceRef blk00000394))
+ (portRef DPRA1 (instanceRef blk00000394))
+ (portRef DPRA2 (instanceRef blk00000394))
+ (portRef DPRA3 (instanceRef blk00000394))
+ (portRef DPRA4 (instanceRef blk00000394))
+ (portRef A1 (instanceRef blk00000395))
+ (portRef A2 (instanceRef blk00000395))
+ (portRef A3 (instanceRef blk00000395))
+ (portRef A4 (instanceRef blk00000395))
+ (portRef DPRA1 (instanceRef blk00000395))
+ (portRef DPRA2 (instanceRef blk00000395))
+ (portRef DPRA3 (instanceRef blk00000395))
+ (portRef DPRA4 (instanceRef blk00000395))
+ (portRef A1 (instanceRef blk00000396))
+ (portRef A2 (instanceRef blk00000396))
+ (portRef A3 (instanceRef blk00000396))
+ (portRef A4 (instanceRef blk00000396))
+ (portRef DPRA1 (instanceRef blk00000396))
+ (portRef DPRA2 (instanceRef blk00000396))
+ (portRef DPRA3 (instanceRef blk00000396))
+ (portRef DPRA4 (instanceRef blk00000396))
+ )
+ )
+ (net sig00000a52
+ (joined
+ (portRef D (instanceRef blk00000373))
+ (portRef DPO (instanceRef blk00000386))
+ )
+ )
+ (net sig00000a53
+ (joined
+ (portRef D (instanceRef blk00000374))
+ (portRef DPO (instanceRef blk00000387))
+ )
+ )
+ (net sig00000a54
+ (joined
+ (portRef D (instanceRef blk00000375))
+ (portRef DPO (instanceRef blk00000385))
+ )
+ )
+ (net sig00000a55
+ (joined
+ (portRef D (instanceRef blk00000376))
+ (portRef DPO (instanceRef blk00000388))
+ )
+ )
+ (net sig00000a56
+ (joined
+ (portRef D (instanceRef blk00000377))
+ (portRef DPO (instanceRef blk00000389))
+ )
+ )
+ (net sig00000a57
+ (joined
+ (portRef D (instanceRef blk00000378))
+ (portRef DPO (instanceRef blk0000038a))
+ )
+ )
+ (net sig00000a58
+ (joined
+ (portRef D (instanceRef blk00000379))
+ (portRef DPO (instanceRef blk0000038b))
+ )
+ )
+ (net sig00000a59
+ (joined
+ (portRef D (instanceRef blk0000037a))
+ (portRef DPO (instanceRef blk0000038c))
+ )
+ )
+ (net sig00000a5a
+ (joined
+ (portRef D (instanceRef blk0000037b))
+ (portRef DPO (instanceRef blk0000038d))
+ )
+ )
+ (net sig00000a5b
+ (joined
+ (portRef D (instanceRef blk0000037c))
+ (portRef DPO (instanceRef blk0000038f))
+ )
+ )
+ (net sig00000a5c
+ (joined
+ (portRef D (instanceRef blk0000037d))
+ (portRef DPO (instanceRef blk00000390))
+ )
+ )
+ (net sig00000a5d
+ (joined
+ (portRef D (instanceRef blk0000037e))
+ (portRef DPO (instanceRef blk0000038e))
+ )
+ )
+ (net sig00000a5e
+ (joined
+ (portRef D (instanceRef blk0000037f))
+ (portRef DPO (instanceRef blk00000391))
+ )
+ )
+ (net sig00000a5f
+ (joined
+ (portRef D (instanceRef blk00000380))
+ (portRef DPO (instanceRef blk00000392))
+ )
+ )
+ (net sig00000a60
+ (joined
+ (portRef D (instanceRef blk00000381))
+ (portRef DPO (instanceRef blk00000393))
+ )
+ )
+ (net sig00000a61
+ (joined
+ (portRef D (instanceRef blk00000382))
+ (portRef DPO (instanceRef blk00000394))
+ )
+ )
+ (net sig00000a62
+ (joined
+ (portRef D (instanceRef blk00000383))
+ (portRef DPO (instanceRef blk00000395))
+ )
+ )
+ (net sig00000a63
+ (joined
+ (portRef D (instanceRef blk00000384))
+ (portRef DPO (instanceRef blk00000396))
+ )
+ )
+ (net sig00000a64
+ (joined
+ (portRef WE (instanceRef blk00000385))
+ (portRef WE (instanceRef blk00000386))
+ (portRef WE (instanceRef blk00000387))
+ (portRef WE (instanceRef blk00000388))
+ (portRef WE (instanceRef blk00000389))
+ (portRef WE (instanceRef blk0000038a))
+ (portRef WE (instanceRef blk0000038b))
+ (portRef WE (instanceRef blk0000038c))
+ (portRef WE (instanceRef blk0000038d))
+ (portRef WE (instanceRef blk0000038e))
+ (portRef WE (instanceRef blk0000038f))
+ (portRef WE (instanceRef blk00000390))
+ (portRef WE (instanceRef blk00000391))
+ (portRef WE (instanceRef blk00000392))
+ (portRef WE (instanceRef blk00000393))
+ (portRef WE (instanceRef blk00000394))
+ (portRef WE (instanceRef blk00000395))
+ (portRef WE (instanceRef blk00000396))
+ (portRef O (instanceRef blk00000397))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_3_blk000002ea "dpr_ram_3")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<3:0>") 4)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<3:0>") 4)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000002eb
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000002ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002fe
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000E6") (owner "Xilinx"))
+ )
+ (instance blk000002ff
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000047") (owner "Xilinx"))
+ )
+ (instance blk00000300
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000024") (owner "Xilinx"))
+ )
+ (instance blk00000301
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000094") (owner "Xilinx"))
+ )
+ (instance blk00000302
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000C4") (owner "Xilinx"))
+ )
+ (instance blk00000303
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000CE") (owner "Xilinx"))
+ )
+ (instance blk00000304
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000009F") (owner "Xilinx"))
+ )
+ (instance blk00000305
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000B2") (owner "Xilinx"))
+ )
+ (instance blk00000306
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000015") (owner "Xilinx"))
+ )
+ (instance blk00000307
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000002E") (owner "Xilinx"))
+ )
+ (instance blk00000308
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000056") (owner "Xilinx"))
+ )
+ (instance blk00000309
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000FB") (owner "Xilinx"))
+ )
+ (instance blk0000030a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000079") (owner "Xilinx"))
+ )
+ (instance blk0000030b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000025") (owner "Xilinx"))
+ )
+ (instance blk0000030c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000095") (owner "Xilinx"))
+ )
+ (instance blk0000030d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk0000030e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000D5") (owner "Xilinx"))
+ )
+ (instance blk0000030f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk00000310
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000009e5
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A3 (instanceRef blk000002fe))
+ (portRef A3 (instanceRef blk000002ff))
+ (portRef A3 (instanceRef blk00000300))
+ (portRef A3 (instanceRef blk00000301))
+ (portRef A3 (instanceRef blk00000302))
+ (portRef A3 (instanceRef blk00000303))
+ (portRef A3 (instanceRef blk00000304))
+ (portRef A3 (instanceRef blk00000305))
+ (portRef A3 (instanceRef blk00000306))
+ (portRef A3 (instanceRef blk00000307))
+ (portRef A3 (instanceRef blk00000308))
+ (portRef A3 (instanceRef blk00000309))
+ (portRef A3 (instanceRef blk0000030a))
+ (portRef A3 (instanceRef blk0000030b))
+ (portRef A3 (instanceRef blk0000030c))
+ (portRef A3 (instanceRef blk0000030d))
+ (portRef A3 (instanceRef blk0000030e))
+ (portRef A3 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009e6
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A2 (instanceRef blk000002fe))
+ (portRef A2 (instanceRef blk000002ff))
+ (portRef A2 (instanceRef blk00000300))
+ (portRef A2 (instanceRef blk00000301))
+ (portRef A2 (instanceRef blk00000302))
+ (portRef A2 (instanceRef blk00000303))
+ (portRef A2 (instanceRef blk00000304))
+ (portRef A2 (instanceRef blk00000305))
+ (portRef A2 (instanceRef blk00000306))
+ (portRef A2 (instanceRef blk00000307))
+ (portRef A2 (instanceRef blk00000308))
+ (portRef A2 (instanceRef blk00000309))
+ (portRef A2 (instanceRef blk0000030a))
+ (portRef A2 (instanceRef blk0000030b))
+ (portRef A2 (instanceRef blk0000030c))
+ (portRef A2 (instanceRef blk0000030d))
+ (portRef A2 (instanceRef blk0000030e))
+ (portRef A2 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009e7
+ (joined
+ (portRef (member ADDRA 2))
+ (portRef A1 (instanceRef blk000002fe))
+ (portRef A1 (instanceRef blk000002ff))
+ (portRef A1 (instanceRef blk00000300))
+ (portRef A1 (instanceRef blk00000301))
+ (portRef A1 (instanceRef blk00000302))
+ (portRef A1 (instanceRef blk00000303))
+ (portRef A1 (instanceRef blk00000304))
+ (portRef A1 (instanceRef blk00000305))
+ (portRef A1 (instanceRef blk00000306))
+ (portRef A1 (instanceRef blk00000307))
+ (portRef A1 (instanceRef blk00000308))
+ (portRef A1 (instanceRef blk00000309))
+ (portRef A1 (instanceRef blk0000030a))
+ (portRef A1 (instanceRef blk0000030b))
+ (portRef A1 (instanceRef blk0000030c))
+ (portRef A1 (instanceRef blk0000030d))
+ (portRef A1 (instanceRef blk0000030e))
+ (portRef A1 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009e8
+ (joined
+ (portRef (member ADDRA 3))
+ (portRef A0 (instanceRef blk000002fe))
+ (portRef A0 (instanceRef blk000002ff))
+ (portRef A0 (instanceRef blk00000300))
+ (portRef A0 (instanceRef blk00000301))
+ (portRef A0 (instanceRef blk00000302))
+ (portRef A0 (instanceRef blk00000303))
+ (portRef A0 (instanceRef blk00000304))
+ (portRef A0 (instanceRef blk00000305))
+ (portRef A0 (instanceRef blk00000306))
+ (portRef A0 (instanceRef blk00000307))
+ (portRef A0 (instanceRef blk00000308))
+ (portRef A0 (instanceRef blk00000309))
+ (portRef A0 (instanceRef blk0000030a))
+ (portRef A0 (instanceRef blk0000030b))
+ (portRef A0 (instanceRef blk0000030c))
+ (portRef A0 (instanceRef blk0000030d))
+ (portRef A0 (instanceRef blk0000030e))
+ (portRef A0 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009e9
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009ea
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk0000030e))
+ )
+ )
+ (net sig000009eb
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000030d))
+ )
+ )
+ (net sig000009ec
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk0000030c))
+ )
+ )
+ (net sig000009ed
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk0000030b))
+ )
+ )
+ (net sig000009ee
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk0000030a))
+ )
+ )
+ (net sig000009ef
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000307))
+ )
+ )
+ (net sig000009f0
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000309))
+ )
+ )
+ (net sig000009f1
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000308))
+ )
+ )
+ (net sig000009f2
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000306))
+ )
+ )
+ (net sig000009f3
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000305))
+ )
+ )
+ (net sig000009f4
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000304))
+ )
+ )
+ (net sig000009f5
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk00000303))
+ )
+ )
+ (net sig000009f6
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk00000302))
+ )
+ )
+ (net sig000009f7
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk00000301))
+ )
+ )
+ (net sig000009f8
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000002fe))
+ )
+ )
+ (net sig000009f9
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk00000300))
+ )
+ )
+ (net sig000009fa
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000002ff))
+ )
+ )
+ (net sig000009fb
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA3 (instanceRef blk000002fe))
+ (portRef DPRA3 (instanceRef blk000002ff))
+ (portRef DPRA3 (instanceRef blk00000300))
+ (portRef DPRA3 (instanceRef blk00000301))
+ (portRef DPRA3 (instanceRef blk00000302))
+ (portRef DPRA3 (instanceRef blk00000303))
+ (portRef DPRA3 (instanceRef blk00000304))
+ (portRef DPRA3 (instanceRef blk00000305))
+ (portRef DPRA3 (instanceRef blk00000306))
+ (portRef DPRA3 (instanceRef blk00000307))
+ (portRef DPRA3 (instanceRef blk00000308))
+ (portRef DPRA3 (instanceRef blk00000309))
+ (portRef DPRA3 (instanceRef blk0000030a))
+ (portRef DPRA3 (instanceRef blk0000030b))
+ (portRef DPRA3 (instanceRef blk0000030c))
+ (portRef DPRA3 (instanceRef blk0000030d))
+ (portRef DPRA3 (instanceRef blk0000030e))
+ (portRef DPRA3 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009fc
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA2 (instanceRef blk000002fe))
+ (portRef DPRA2 (instanceRef blk000002ff))
+ (portRef DPRA2 (instanceRef blk00000300))
+ (portRef DPRA2 (instanceRef blk00000301))
+ (portRef DPRA2 (instanceRef blk00000302))
+ (portRef DPRA2 (instanceRef blk00000303))
+ (portRef DPRA2 (instanceRef blk00000304))
+ (portRef DPRA2 (instanceRef blk00000305))
+ (portRef DPRA2 (instanceRef blk00000306))
+ (portRef DPRA2 (instanceRef blk00000307))
+ (portRef DPRA2 (instanceRef blk00000308))
+ (portRef DPRA2 (instanceRef blk00000309))
+ (portRef DPRA2 (instanceRef blk0000030a))
+ (portRef DPRA2 (instanceRef blk0000030b))
+ (portRef DPRA2 (instanceRef blk0000030c))
+ (portRef DPRA2 (instanceRef blk0000030d))
+ (portRef DPRA2 (instanceRef blk0000030e))
+ (portRef DPRA2 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009fd
+ (joined
+ (portRef (member ADDRB 2))
+ (portRef DPRA1 (instanceRef blk000002fe))
+ (portRef DPRA1 (instanceRef blk000002ff))
+ (portRef DPRA1 (instanceRef blk00000300))
+ (portRef DPRA1 (instanceRef blk00000301))
+ (portRef DPRA1 (instanceRef blk00000302))
+ (portRef DPRA1 (instanceRef blk00000303))
+ (portRef DPRA1 (instanceRef blk00000304))
+ (portRef DPRA1 (instanceRef blk00000305))
+ (portRef DPRA1 (instanceRef blk00000306))
+ (portRef DPRA1 (instanceRef blk00000307))
+ (portRef DPRA1 (instanceRef blk00000308))
+ (portRef DPRA1 (instanceRef blk00000309))
+ (portRef DPRA1 (instanceRef blk0000030a))
+ (portRef DPRA1 (instanceRef blk0000030b))
+ (portRef DPRA1 (instanceRef blk0000030c))
+ (portRef DPRA1 (instanceRef blk0000030d))
+ (portRef DPRA1 (instanceRef blk0000030e))
+ (portRef DPRA1 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009fe
+ (joined
+ (portRef (member ADDRB 3))
+ (portRef DPRA0 (instanceRef blk000002fe))
+ (portRef DPRA0 (instanceRef blk000002ff))
+ (portRef DPRA0 (instanceRef blk00000300))
+ (portRef DPRA0 (instanceRef blk00000301))
+ (portRef DPRA0 (instanceRef blk00000302))
+ (portRef DPRA0 (instanceRef blk00000303))
+ (portRef DPRA0 (instanceRef blk00000304))
+ (portRef DPRA0 (instanceRef blk00000305))
+ (portRef DPRA0 (instanceRef blk00000306))
+ (portRef DPRA0 (instanceRef blk00000307))
+ (portRef DPRA0 (instanceRef blk00000308))
+ (portRef DPRA0 (instanceRef blk00000309))
+ (portRef DPRA0 (instanceRef blk0000030a))
+ (portRef DPRA0 (instanceRef blk0000030b))
+ (portRef DPRA0 (instanceRef blk0000030c))
+ (portRef DPRA0 (instanceRef blk0000030d))
+ (portRef DPRA0 (instanceRef blk0000030e))
+ (portRef DPRA0 (instanceRef blk0000030f))
+ )
+ )
+ (net sig000009ff
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk00000310))
+ )
+ )
+ (net sig00000a00
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000002ec))
+ (portRef CE (instanceRef blk000002ed))
+ (portRef CE (instanceRef blk000002ee))
+ (portRef CE (instanceRef blk000002ef))
+ (portRef CE (instanceRef blk000002f0))
+ (portRef CE (instanceRef blk000002f1))
+ (portRef CE (instanceRef blk000002f2))
+ (portRef CE (instanceRef blk000002f3))
+ (portRef CE (instanceRef blk000002f4))
+ (portRef CE (instanceRef blk000002f5))
+ (portRef CE (instanceRef blk000002f6))
+ (portRef CE (instanceRef blk000002f7))
+ (portRef CE (instanceRef blk000002f8))
+ (portRef CE (instanceRef blk000002f9))
+ (portRef CE (instanceRef blk000002fa))
+ (portRef CE (instanceRef blk000002fb))
+ (portRef CE (instanceRef blk000002fc))
+ (portRef CE (instanceRef blk000002fd))
+ (portRef I0 (instanceRef blk00000310))
+ )
+ )
+ (net sig00000a01
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000002ec))
+ (portRef C (instanceRef blk000002ed))
+ (portRef C (instanceRef blk000002ee))
+ (portRef C (instanceRef blk000002ef))
+ (portRef C (instanceRef blk000002f0))
+ (portRef C (instanceRef blk000002f1))
+ (portRef C (instanceRef blk000002f2))
+ (portRef C (instanceRef blk000002f3))
+ (portRef C (instanceRef blk000002f4))
+ (portRef C (instanceRef blk000002f5))
+ (portRef C (instanceRef blk000002f6))
+ (portRef C (instanceRef blk000002f7))
+ (portRef C (instanceRef blk000002f8))
+ (portRef C (instanceRef blk000002f9))
+ (portRef C (instanceRef blk000002fa))
+ (portRef C (instanceRef blk000002fb))
+ (portRef C (instanceRef blk000002fc))
+ (portRef C (instanceRef blk000002fd))
+ (portRef WCLK (instanceRef blk000002fe))
+ (portRef WCLK (instanceRef blk000002ff))
+ (portRef WCLK (instanceRef blk00000300))
+ (portRef WCLK (instanceRef blk00000301))
+ (portRef WCLK (instanceRef blk00000302))
+ (portRef WCLK (instanceRef blk00000303))
+ (portRef WCLK (instanceRef blk00000304))
+ (portRef WCLK (instanceRef blk00000305))
+ (portRef WCLK (instanceRef blk00000306))
+ (portRef WCLK (instanceRef blk00000307))
+ (portRef WCLK (instanceRef blk00000308))
+ (portRef WCLK (instanceRef blk00000309))
+ (portRef WCLK (instanceRef blk0000030a))
+ (portRef WCLK (instanceRef blk0000030b))
+ (portRef WCLK (instanceRef blk0000030c))
+ (portRef WCLK (instanceRef blk0000030d))
+ (portRef WCLK (instanceRef blk0000030e))
+ (portRef WCLK (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000a02
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000002fd))
+ )
+ )
+ (net sig00000a03
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk000002fc))
+ )
+ )
+ (net sig00000a04
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk000002fb))
+ )
+ )
+ (net sig00000a05
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk000002fa))
+ )
+ )
+ (net sig00000a06
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk000002f9))
+ )
+ )
+ (net sig00000a07
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk000002f8))
+ )
+ )
+ (net sig00000a08
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk000002f7))
+ )
+ )
+ (net sig00000a09
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk000002f6))
+ )
+ )
+ (net sig00000a0a
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk000002f5))
+ )
+ )
+ (net sig00000a0b
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk000002f4))
+ )
+ )
+ (net sig00000a0c
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk000002f3))
+ )
+ )
+ (net sig00000a0d
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk000002f2))
+ )
+ )
+ (net sig00000a0e
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk000002f1))
+ )
+ )
+ (net sig00000a0f
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk000002f0))
+ )
+ )
+ (net sig00000a10
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000002ef))
+ )
+ )
+ (net sig00000a11
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000002ee))
+ )
+ )
+ (net sig00000a12
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000002ed))
+ )
+ )
+ (net sig00000a13
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000002ec))
+ )
+ )
+ (net sig00000a14
+ (joined
+ (portRef G (instanceRef blk000002eb))
+ (portRef A4 (instanceRef blk000002fe))
+ (portRef DPRA4 (instanceRef blk000002fe))
+ (portRef A4 (instanceRef blk000002ff))
+ (portRef DPRA4 (instanceRef blk000002ff))
+ (portRef A4 (instanceRef blk00000300))
+ (portRef DPRA4 (instanceRef blk00000300))
+ (portRef A4 (instanceRef blk00000301))
+ (portRef DPRA4 (instanceRef blk00000301))
+ (portRef A4 (instanceRef blk00000302))
+ (portRef DPRA4 (instanceRef blk00000302))
+ (portRef A4 (instanceRef blk00000303))
+ (portRef DPRA4 (instanceRef blk00000303))
+ (portRef A4 (instanceRef blk00000304))
+ (portRef DPRA4 (instanceRef blk00000304))
+ (portRef A4 (instanceRef blk00000305))
+ (portRef DPRA4 (instanceRef blk00000305))
+ (portRef A4 (instanceRef blk00000306))
+ (portRef DPRA4 (instanceRef blk00000306))
+ (portRef A4 (instanceRef blk00000307))
+ (portRef DPRA4 (instanceRef blk00000307))
+ (portRef A4 (instanceRef blk00000308))
+ (portRef DPRA4 (instanceRef blk00000308))
+ (portRef A4 (instanceRef blk00000309))
+ (portRef DPRA4 (instanceRef blk00000309))
+ (portRef A4 (instanceRef blk0000030a))
+ (portRef DPRA4 (instanceRef blk0000030a))
+ (portRef A4 (instanceRef blk0000030b))
+ (portRef DPRA4 (instanceRef blk0000030b))
+ (portRef A4 (instanceRef blk0000030c))
+ (portRef DPRA4 (instanceRef blk0000030c))
+ (portRef A4 (instanceRef blk0000030d))
+ (portRef DPRA4 (instanceRef blk0000030d))
+ (portRef A4 (instanceRef blk0000030e))
+ (portRef DPRA4 (instanceRef blk0000030e))
+ (portRef A4 (instanceRef blk0000030f))
+ (portRef DPRA4 (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000a15
+ (joined
+ (portRef D (instanceRef blk000002ec))
+ (portRef DPO (instanceRef blk000002ff))
+ )
+ )
+ (net sig00000a16
+ (joined
+ (portRef D (instanceRef blk000002ed))
+ (portRef DPO (instanceRef blk00000300))
+ )
+ )
+ (net sig00000a17
+ (joined
+ (portRef D (instanceRef blk000002ee))
+ (portRef DPO (instanceRef blk000002fe))
+ )
+ )
+ (net sig00000a18
+ (joined
+ (portRef D (instanceRef blk000002ef))
+ (portRef DPO (instanceRef blk00000301))
+ )
+ )
+ (net sig00000a19
+ (joined
+ (portRef D (instanceRef blk000002f0))
+ (portRef DPO (instanceRef blk00000302))
+ )
+ )
+ (net sig00000a1a
+ (joined
+ (portRef D (instanceRef blk000002f1))
+ (portRef DPO (instanceRef blk00000303))
+ )
+ )
+ (net sig00000a1b
+ (joined
+ (portRef D (instanceRef blk000002f2))
+ (portRef DPO (instanceRef blk00000304))
+ )
+ )
+ (net sig00000a1c
+ (joined
+ (portRef D (instanceRef blk000002f3))
+ (portRef DPO (instanceRef blk00000305))
+ )
+ )
+ (net sig00000a1d
+ (joined
+ (portRef D (instanceRef blk000002f4))
+ (portRef DPO (instanceRef blk00000306))
+ )
+ )
+ (net sig00000a1e
+ (joined
+ (portRef D (instanceRef blk000002f5))
+ (portRef DPO (instanceRef blk00000308))
+ )
+ )
+ (net sig00000a1f
+ (joined
+ (portRef D (instanceRef blk000002f6))
+ (portRef DPO (instanceRef blk00000309))
+ )
+ )
+ (net sig00000a20
+ (joined
+ (portRef D (instanceRef blk000002f7))
+ (portRef DPO (instanceRef blk00000307))
+ )
+ )
+ (net sig00000a21
+ (joined
+ (portRef D (instanceRef blk000002f8))
+ (portRef DPO (instanceRef blk0000030a))
+ )
+ )
+ (net sig00000a22
+ (joined
+ (portRef D (instanceRef blk000002f9))
+ (portRef DPO (instanceRef blk0000030b))
+ )
+ )
+ (net sig00000a23
+ (joined
+ (portRef D (instanceRef blk000002fa))
+ (portRef DPO (instanceRef blk0000030c))
+ )
+ )
+ (net sig00000a24
+ (joined
+ (portRef D (instanceRef blk000002fb))
+ (portRef DPO (instanceRef blk0000030d))
+ )
+ )
+ (net sig00000a25
+ (joined
+ (portRef D (instanceRef blk000002fc))
+ (portRef DPO (instanceRef blk0000030e))
+ )
+ )
+ (net sig00000a26
+ (joined
+ (portRef D (instanceRef blk000002fd))
+ (portRef DPO (instanceRef blk0000030f))
+ )
+ )
+ (net sig00000a27
+ (joined
+ (portRef WE (instanceRef blk000002fe))
+ (portRef WE (instanceRef blk000002ff))
+ (portRef WE (instanceRef blk00000300))
+ (portRef WE (instanceRef blk00000301))
+ (portRef WE (instanceRef blk00000302))
+ (portRef WE (instanceRef blk00000303))
+ (portRef WE (instanceRef blk00000304))
+ (portRef WE (instanceRef blk00000305))
+ (portRef WE (instanceRef blk00000306))
+ (portRef WE (instanceRef blk00000307))
+ (portRef WE (instanceRef blk00000308))
+ (portRef WE (instanceRef blk00000309))
+ (portRef WE (instanceRef blk0000030a))
+ (portRef WE (instanceRef blk0000030b))
+ (portRef WE (instanceRef blk0000030c))
+ (portRef WE (instanceRef blk0000030d))
+ (portRef WE (instanceRef blk0000030e))
+ (portRef WE (instanceRef blk0000030f))
+ (portRef O (instanceRef blk00000310))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_2_blk000002b1 "dpr_ram_2")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<3:0>") 4)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<3:0>") 4)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<17:0>") 18)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<17:0>") 18)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000002b2
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000002b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002ce
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000002d7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000047") (owner "Xilinx"))
+ )
+ (instance blk000002d8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000A7") (owner "Xilinx"))
+ )
+ (instance blk000002d9
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000072") (owner "Xilinx"))
+ )
+ (instance blk000002da
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000037") (owner "Xilinx"))
+ )
+ (instance blk000002db
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000057") (owner "Xilinx"))
+ )
+ (instance blk000002dc
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000DC") (owner "Xilinx"))
+ )
+ (instance blk000002dd
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000000B") (owner "Xilinx"))
+ )
+ (instance blk000002de
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000F1") (owner "Xilinx"))
+ )
+ (instance blk000002df
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000BD") (owner "Xilinx"))
+ )
+ (instance blk000002e0
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e1
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "000000E5") (owner "Xilinx"))
+ )
+ (instance blk000002e2
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000095") (owner "Xilinx"))
+ )
+ (instance blk000002e3
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e4
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e5
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e6
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e7
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e8
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000055") (owner "Xilinx"))
+ )
+ (instance blk000002e9
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000097e
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A3 (instanceRef blk000002d7))
+ (portRef A3 (instanceRef blk000002d8))
+ (portRef A3 (instanceRef blk000002d9))
+ (portRef A3 (instanceRef blk000002da))
+ (portRef A3 (instanceRef blk000002db))
+ (portRef A3 (instanceRef blk000002dc))
+ (portRef A3 (instanceRef blk000002dd))
+ (portRef A3 (instanceRef blk000002de))
+ (portRef A3 (instanceRef blk000002df))
+ (portRef A3 (instanceRef blk000002e0))
+ (portRef A3 (instanceRef blk000002e1))
+ (portRef A3 (instanceRef blk000002e2))
+ (portRef A3 (instanceRef blk000002e3))
+ (portRef A3 (instanceRef blk000002e4))
+ (portRef A3 (instanceRef blk000002e5))
+ (portRef A3 (instanceRef blk000002e6))
+ (portRef A3 (instanceRef blk000002e7))
+ (portRef A3 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000097f
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A2 (instanceRef blk000002d7))
+ (portRef A2 (instanceRef blk000002d8))
+ (portRef A2 (instanceRef blk000002d9))
+ (portRef A2 (instanceRef blk000002da))
+ (portRef A2 (instanceRef blk000002db))
+ (portRef A2 (instanceRef blk000002dc))
+ (portRef A2 (instanceRef blk000002dd))
+ (portRef A2 (instanceRef blk000002de))
+ (portRef A2 (instanceRef blk000002df))
+ (portRef A2 (instanceRef blk000002e0))
+ (portRef A2 (instanceRef blk000002e1))
+ (portRef A2 (instanceRef blk000002e2))
+ (portRef A2 (instanceRef blk000002e3))
+ (portRef A2 (instanceRef blk000002e4))
+ (portRef A2 (instanceRef blk000002e5))
+ (portRef A2 (instanceRef blk000002e6))
+ (portRef A2 (instanceRef blk000002e7))
+ (portRef A2 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000980
+ (joined
+ (portRef (member ADDRA 2))
+ (portRef A1 (instanceRef blk000002d7))
+ (portRef A1 (instanceRef blk000002d8))
+ (portRef A1 (instanceRef blk000002d9))
+ (portRef A1 (instanceRef blk000002da))
+ (portRef A1 (instanceRef blk000002db))
+ (portRef A1 (instanceRef blk000002dc))
+ (portRef A1 (instanceRef blk000002dd))
+ (portRef A1 (instanceRef blk000002de))
+ (portRef A1 (instanceRef blk000002df))
+ (portRef A1 (instanceRef blk000002e0))
+ (portRef A1 (instanceRef blk000002e1))
+ (portRef A1 (instanceRef blk000002e2))
+ (portRef A1 (instanceRef blk000002e3))
+ (portRef A1 (instanceRef blk000002e4))
+ (portRef A1 (instanceRef blk000002e5))
+ (portRef A1 (instanceRef blk000002e6))
+ (portRef A1 (instanceRef blk000002e7))
+ (portRef A1 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000981
+ (joined
+ (portRef (member ADDRA 3))
+ (portRef A0 (instanceRef blk000002d7))
+ (portRef A0 (instanceRef blk000002d8))
+ (portRef A0 (instanceRef blk000002d9))
+ (portRef A0 (instanceRef blk000002da))
+ (portRef A0 (instanceRef blk000002db))
+ (portRef A0 (instanceRef blk000002dc))
+ (portRef A0 (instanceRef blk000002dd))
+ (portRef A0 (instanceRef blk000002de))
+ (portRef A0 (instanceRef blk000002df))
+ (portRef A0 (instanceRef blk000002e0))
+ (portRef A0 (instanceRef blk000002e1))
+ (portRef A0 (instanceRef blk000002e2))
+ (portRef A0 (instanceRef blk000002e3))
+ (portRef A0 (instanceRef blk000002e4))
+ (portRef A0 (instanceRef blk000002e5))
+ (portRef A0 (instanceRef blk000002e6))
+ (portRef A0 (instanceRef blk000002e7))
+ (portRef A0 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000982
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk000002e8))
+ )
+ )
+ (net sig00000983
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk000002e7))
+ )
+ )
+ (net sig00000984
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk000002e6))
+ )
+ )
+ (net sig00000985
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk000002e5))
+ )
+ )
+ (net sig00000986
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk000002e4))
+ )
+ )
+ (net sig00000987
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk000002e3))
+ )
+ )
+ (net sig00000988
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk000002e0))
+ )
+ )
+ (net sig00000989
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk000002e2))
+ )
+ )
+ (net sig0000098a
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk000002e1))
+ )
+ )
+ (net sig0000098b
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk000002df))
+ )
+ )
+ (net sig0000098c
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk000002de))
+ )
+ )
+ (net sig0000098d
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk000002dd))
+ )
+ )
+ (net sig0000098e
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk000002dc))
+ )
+ )
+ (net sig0000098f
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk000002db))
+ )
+ )
+ (net sig00000990
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk000002da))
+ )
+ )
+ (net sig00000991
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk000002d7))
+ )
+ )
+ (net sig00000992
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk000002d9))
+ )
+ )
+ (net sig00000993
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk000002d8))
+ )
+ )
+ (net sig00000994
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA3 (instanceRef blk000002d7))
+ (portRef DPRA3 (instanceRef blk000002d8))
+ (portRef DPRA3 (instanceRef blk000002d9))
+ (portRef DPRA3 (instanceRef blk000002da))
+ (portRef DPRA3 (instanceRef blk000002db))
+ (portRef DPRA3 (instanceRef blk000002dc))
+ (portRef DPRA3 (instanceRef blk000002dd))
+ (portRef DPRA3 (instanceRef blk000002de))
+ (portRef DPRA3 (instanceRef blk000002df))
+ (portRef DPRA3 (instanceRef blk000002e0))
+ (portRef DPRA3 (instanceRef blk000002e1))
+ (portRef DPRA3 (instanceRef blk000002e2))
+ (portRef DPRA3 (instanceRef blk000002e3))
+ (portRef DPRA3 (instanceRef blk000002e4))
+ (portRef DPRA3 (instanceRef blk000002e5))
+ (portRef DPRA3 (instanceRef blk000002e6))
+ (portRef DPRA3 (instanceRef blk000002e7))
+ (portRef DPRA3 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000995
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA2 (instanceRef blk000002d7))
+ (portRef DPRA2 (instanceRef blk000002d8))
+ (portRef DPRA2 (instanceRef blk000002d9))
+ (portRef DPRA2 (instanceRef blk000002da))
+ (portRef DPRA2 (instanceRef blk000002db))
+ (portRef DPRA2 (instanceRef blk000002dc))
+ (portRef DPRA2 (instanceRef blk000002dd))
+ (portRef DPRA2 (instanceRef blk000002de))
+ (portRef DPRA2 (instanceRef blk000002df))
+ (portRef DPRA2 (instanceRef blk000002e0))
+ (portRef DPRA2 (instanceRef blk000002e1))
+ (portRef DPRA2 (instanceRef blk000002e2))
+ (portRef DPRA2 (instanceRef blk000002e3))
+ (portRef DPRA2 (instanceRef blk000002e4))
+ (portRef DPRA2 (instanceRef blk000002e5))
+ (portRef DPRA2 (instanceRef blk000002e6))
+ (portRef DPRA2 (instanceRef blk000002e7))
+ (portRef DPRA2 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000996
+ (joined
+ (portRef (member ADDRB 2))
+ (portRef DPRA1 (instanceRef blk000002d7))
+ (portRef DPRA1 (instanceRef blk000002d8))
+ (portRef DPRA1 (instanceRef blk000002d9))
+ (portRef DPRA1 (instanceRef blk000002da))
+ (portRef DPRA1 (instanceRef blk000002db))
+ (portRef DPRA1 (instanceRef blk000002dc))
+ (portRef DPRA1 (instanceRef blk000002dd))
+ (portRef DPRA1 (instanceRef blk000002de))
+ (portRef DPRA1 (instanceRef blk000002df))
+ (portRef DPRA1 (instanceRef blk000002e0))
+ (portRef DPRA1 (instanceRef blk000002e1))
+ (portRef DPRA1 (instanceRef blk000002e2))
+ (portRef DPRA1 (instanceRef blk000002e3))
+ (portRef DPRA1 (instanceRef blk000002e4))
+ (portRef DPRA1 (instanceRef blk000002e5))
+ (portRef DPRA1 (instanceRef blk000002e6))
+ (portRef DPRA1 (instanceRef blk000002e7))
+ (portRef DPRA1 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000997
+ (joined
+ (portRef (member ADDRB 3))
+ (portRef DPRA0 (instanceRef blk000002d7))
+ (portRef DPRA0 (instanceRef blk000002d8))
+ (portRef DPRA0 (instanceRef blk000002d9))
+ (portRef DPRA0 (instanceRef blk000002da))
+ (portRef DPRA0 (instanceRef blk000002db))
+ (portRef DPRA0 (instanceRef blk000002dc))
+ (portRef DPRA0 (instanceRef blk000002dd))
+ (portRef DPRA0 (instanceRef blk000002de))
+ (portRef DPRA0 (instanceRef blk000002df))
+ (portRef DPRA0 (instanceRef blk000002e0))
+ (portRef DPRA0 (instanceRef blk000002e1))
+ (portRef DPRA0 (instanceRef blk000002e2))
+ (portRef DPRA0 (instanceRef blk000002e3))
+ (portRef DPRA0 (instanceRef blk000002e4))
+ (portRef DPRA0 (instanceRef blk000002e5))
+ (portRef DPRA0 (instanceRef blk000002e6))
+ (portRef DPRA0 (instanceRef blk000002e7))
+ (portRef DPRA0 (instanceRef blk000002e8))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000998
+ (joined
+ (portRef WEA)
+ (portRef I1 (instanceRef blk000002e9))
+ )
+ )
+ (net sig00000999
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000002b3))
+ (portRef CE (instanceRef blk000002b4))
+ (portRef CE (instanceRef blk000002b5))
+ (portRef CE (instanceRef blk000002b6))
+ (portRef CE (instanceRef blk000002b7))
+ (portRef CE (instanceRef blk000002b8))
+ (portRef CE (instanceRef blk000002b9))
+ (portRef CE (instanceRef blk000002ba))
+ (portRef CE (instanceRef blk000002bb))
+ (portRef CE (instanceRef blk000002bc))
+ (portRef CE (instanceRef blk000002bd))
+ (portRef CE (instanceRef blk000002be))
+ (portRef CE (instanceRef blk000002bf))
+ (portRef CE (instanceRef blk000002c0))
+ (portRef CE (instanceRef blk000002c1))
+ (portRef CE (instanceRef blk000002c2))
+ (portRef CE (instanceRef blk000002c3))
+ (portRef CE (instanceRef blk000002c4))
+ (portRef CE (instanceRef blk000002c5))
+ (portRef CE (instanceRef blk000002c6))
+ (portRef CE (instanceRef blk000002c7))
+ (portRef CE (instanceRef blk000002c8))
+ (portRef CE (instanceRef blk000002c9))
+ (portRef CE (instanceRef blk000002ca))
+ (portRef CE (instanceRef blk000002cb))
+ (portRef CE (instanceRef blk000002cc))
+ (portRef CE (instanceRef blk000002cd))
+ (portRef CE (instanceRef blk000002ce))
+ (portRef CE (instanceRef blk000002cf))
+ (portRef CE (instanceRef blk000002d0))
+ (portRef CE (instanceRef blk000002d1))
+ (portRef CE (instanceRef blk000002d2))
+ (portRef CE (instanceRef blk000002d3))
+ (portRef CE (instanceRef blk000002d4))
+ (portRef CE (instanceRef blk000002d5))
+ (portRef CE (instanceRef blk000002d6))
+ (portRef I0 (instanceRef blk000002e9))
+ )
+ )
+ (net sig0000099a
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000002b3))
+ (portRef C (instanceRef blk000002b4))
+ (portRef C (instanceRef blk000002b5))
+ (portRef C (instanceRef blk000002b6))
+ (portRef C (instanceRef blk000002b7))
+ (portRef C (instanceRef blk000002b8))
+ (portRef C (instanceRef blk000002b9))
+ (portRef C (instanceRef blk000002ba))
+ (portRef C (instanceRef blk000002bb))
+ (portRef C (instanceRef blk000002bc))
+ (portRef C (instanceRef blk000002bd))
+ (portRef C (instanceRef blk000002be))
+ (portRef C (instanceRef blk000002bf))
+ (portRef C (instanceRef blk000002c0))
+ (portRef C (instanceRef blk000002c1))
+ (portRef C (instanceRef blk000002c2))
+ (portRef C (instanceRef blk000002c3))
+ (portRef C (instanceRef blk000002c4))
+ (portRef C (instanceRef blk000002c5))
+ (portRef C (instanceRef blk000002c6))
+ (portRef C (instanceRef blk000002c7))
+ (portRef C (instanceRef blk000002c8))
+ (portRef C (instanceRef blk000002c9))
+ (portRef C (instanceRef blk000002ca))
+ (portRef C (instanceRef blk000002cb))
+ (portRef C (instanceRef blk000002cc))
+ (portRef C (instanceRef blk000002cd))
+ (portRef C (instanceRef blk000002ce))
+ (portRef C (instanceRef blk000002cf))
+ (portRef C (instanceRef blk000002d0))
+ (portRef C (instanceRef blk000002d1))
+ (portRef C (instanceRef blk000002d2))
+ (portRef C (instanceRef blk000002d3))
+ (portRef C (instanceRef blk000002d4))
+ (portRef C (instanceRef blk000002d5))
+ (portRef C (instanceRef blk000002d6))
+ (portRef WCLK (instanceRef blk000002d7))
+ (portRef WCLK (instanceRef blk000002d8))
+ (portRef WCLK (instanceRef blk000002d9))
+ (portRef WCLK (instanceRef blk000002da))
+ (portRef WCLK (instanceRef blk000002db))
+ (portRef WCLK (instanceRef blk000002dc))
+ (portRef WCLK (instanceRef blk000002dd))
+ (portRef WCLK (instanceRef blk000002de))
+ (portRef WCLK (instanceRef blk000002df))
+ (portRef WCLK (instanceRef blk000002e0))
+ (portRef WCLK (instanceRef blk000002e1))
+ (portRef WCLK (instanceRef blk000002e2))
+ (portRef WCLK (instanceRef blk000002e3))
+ (portRef WCLK (instanceRef blk000002e4))
+ (portRef WCLK (instanceRef blk000002e5))
+ (portRef WCLK (instanceRef blk000002e6))
+ (portRef WCLK (instanceRef blk000002e7))
+ (portRef WCLK (instanceRef blk000002e8))
+ )
+ )
+ (net sig0000099b
+ (joined
+ (portRef (member DA_OUT 0))
+ (portRef Q (instanceRef blk000002c4))
+ )
+ )
+ (net sig0000099c
+ (joined
+ (portRef (member DA_OUT 1))
+ (portRef Q (instanceRef blk000002c3))
+ )
+ )
+ (net sig0000099d
+ (joined
+ (portRef (member DA_OUT 2))
+ (portRef Q (instanceRef blk000002c2))
+ )
+ )
+ (net sig0000099e
+ (joined
+ (portRef (member DA_OUT 3))
+ (portRef Q (instanceRef blk000002c1))
+ )
+ )
+ (net sig0000099f
+ (joined
+ (portRef (member DA_OUT 4))
+ (portRef Q (instanceRef blk000002c0))
+ )
+ )
+ (net sig000009a0
+ (joined
+ (portRef (member DA_OUT 5))
+ (portRef Q (instanceRef blk000002bf))
+ )
+ )
+ (net sig000009a1
+ (joined
+ (portRef (member DA_OUT 6))
+ (portRef Q (instanceRef blk000002be))
+ )
+ )
+ (net sig000009a2
+ (joined
+ (portRef (member DA_OUT 7))
+ (portRef Q (instanceRef blk000002bd))
+ )
+ )
+ (net sig000009a3
+ (joined
+ (portRef (member DA_OUT 8))
+ (portRef Q (instanceRef blk000002bc))
+ )
+ )
+ (net sig000009a4
+ (joined
+ (portRef (member DA_OUT 9))
+ (portRef Q (instanceRef blk000002bb))
+ )
+ )
+ (net sig000009a5
+ (joined
+ (portRef (member DA_OUT 10))
+ (portRef Q (instanceRef blk000002ba))
+ )
+ )
+ (net sig000009a6
+ (joined
+ (portRef (member DA_OUT 11))
+ (portRef Q (instanceRef blk000002b9))
+ )
+ )
+ (net sig000009a7
+ (joined
+ (portRef (member DA_OUT 12))
+ (portRef Q (instanceRef blk000002b8))
+ )
+ )
+ (net sig000009a8
+ (joined
+ (portRef (member DA_OUT 13))
+ (portRef Q (instanceRef blk000002b7))
+ )
+ )
+ (net sig000009a9
+ (joined
+ (portRef (member DA_OUT 14))
+ (portRef Q (instanceRef blk000002b6))
+ )
+ )
+ (net sig000009aa
+ (joined
+ (portRef (member DA_OUT 15))
+ (portRef Q (instanceRef blk000002b5))
+ )
+ )
+ (net sig000009ab
+ (joined
+ (portRef (member DA_OUT 16))
+ (portRef Q (instanceRef blk000002b4))
+ )
+ )
+ (net sig000009ac
+ (joined
+ (portRef (member DA_OUT 17))
+ (portRef Q (instanceRef blk000002b3))
+ )
+ )
+ (net sig000009ad
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk000002d6))
+ )
+ )
+ (net sig000009ae
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk000002d5))
+ )
+ )
+ (net sig000009af
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk000002d4))
+ )
+ )
+ (net sig000009b0
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk000002d3))
+ )
+ )
+ (net sig000009b1
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk000002d2))
+ )
+ )
+ (net sig000009b2
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk000002d1))
+ )
+ )
+ (net sig000009b3
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk000002d0))
+ )
+ )
+ (net sig000009b4
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk000002cf))
+ )
+ )
+ (net sig000009b5
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk000002ce))
+ )
+ )
+ (net sig000009b6
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk000002cd))
+ )
+ )
+ (net sig000009b7
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk000002cc))
+ )
+ )
+ (net sig000009b8
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk000002cb))
+ )
+ )
+ (net sig000009b9
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk000002ca))
+ )
+ )
+ (net sig000009ba
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk000002c9))
+ )
+ )
+ (net sig000009bb
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk000002c8))
+ )
+ )
+ (net sig000009bc
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk000002c7))
+ )
+ )
+ (net sig000009bd
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk000002c6))
+ )
+ )
+ (net sig000009be
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk000002c5))
+ )
+ )
+ (net sig000009bf
+ (joined
+ (portRef G (instanceRef blk000002b2))
+ (portRef A4 (instanceRef blk000002d7))
+ (portRef DPRA4 (instanceRef blk000002d7))
+ (portRef A4 (instanceRef blk000002d8))
+ (portRef DPRA4 (instanceRef blk000002d8))
+ (portRef A4 (instanceRef blk000002d9))
+ (portRef DPRA4 (instanceRef blk000002d9))
+ (portRef A4 (instanceRef blk000002da))
+ (portRef DPRA4 (instanceRef blk000002da))
+ (portRef A4 (instanceRef blk000002db))
+ (portRef DPRA4 (instanceRef blk000002db))
+ (portRef A4 (instanceRef blk000002dc))
+ (portRef DPRA4 (instanceRef blk000002dc))
+ (portRef A4 (instanceRef blk000002dd))
+ (portRef DPRA4 (instanceRef blk000002dd))
+ (portRef A4 (instanceRef blk000002de))
+ (portRef DPRA4 (instanceRef blk000002de))
+ (portRef A4 (instanceRef blk000002df))
+ (portRef DPRA4 (instanceRef blk000002df))
+ (portRef A4 (instanceRef blk000002e0))
+ (portRef DPRA4 (instanceRef blk000002e0))
+ (portRef A4 (instanceRef blk000002e1))
+ (portRef DPRA4 (instanceRef blk000002e1))
+ (portRef A4 (instanceRef blk000002e2))
+ (portRef DPRA4 (instanceRef blk000002e2))
+ (portRef A4 (instanceRef blk000002e3))
+ (portRef DPRA4 (instanceRef blk000002e3))
+ (portRef A4 (instanceRef blk000002e4))
+ (portRef DPRA4 (instanceRef blk000002e4))
+ (portRef A4 (instanceRef blk000002e5))
+ (portRef DPRA4 (instanceRef blk000002e5))
+ (portRef A4 (instanceRef blk000002e6))
+ (portRef DPRA4 (instanceRef blk000002e6))
+ (portRef A4 (instanceRef blk000002e7))
+ (portRef DPRA4 (instanceRef blk000002e7))
+ (portRef A4 (instanceRef blk000002e8))
+ (portRef DPRA4 (instanceRef blk000002e8))
+ )
+ )
+ (net sig000009c0
+ (joined
+ (portRef D (instanceRef blk000002b3))
+ (portRef SPO (instanceRef blk000002d8))
+ )
+ )
+ (net sig000009c1
+ (joined
+ (portRef D (instanceRef blk000002b4))
+ (portRef SPO (instanceRef blk000002d9))
+ )
+ )
+ (net sig000009c2
+ (joined
+ (portRef D (instanceRef blk000002b5))
+ (portRef SPO (instanceRef blk000002d7))
+ )
+ )
+ (net sig000009c3
+ (joined
+ (portRef D (instanceRef blk000002b6))
+ (portRef SPO (instanceRef blk000002da))
+ )
+ )
+ (net sig000009c4
+ (joined
+ (portRef D (instanceRef blk000002b7))
+ (portRef SPO (instanceRef blk000002db))
+ )
+ )
+ (net sig000009c5
+ (joined
+ (portRef D (instanceRef blk000002b8))
+ (portRef SPO (instanceRef blk000002dc))
+ )
+ )
+ (net sig000009c6
+ (joined
+ (portRef D (instanceRef blk000002b9))
+ (portRef SPO (instanceRef blk000002dd))
+ )
+ )
+ (net sig000009c7
+ (joined
+ (portRef D (instanceRef blk000002ba))
+ (portRef SPO (instanceRef blk000002de))
+ )
+ )
+ (net sig000009c8
+ (joined
+ (portRef D (instanceRef blk000002bb))
+ (portRef SPO (instanceRef blk000002df))
+ )
+ )
+ (net sig000009c9
+ (joined
+ (portRef D (instanceRef blk000002bc))
+ (portRef SPO (instanceRef blk000002e1))
+ )
+ )
+ (net sig000009ca
+ (joined
+ (portRef D (instanceRef blk000002bd))
+ (portRef SPO (instanceRef blk000002e2))
+ )
+ )
+ (net sig000009cb
+ (joined
+ (portRef D (instanceRef blk000002be))
+ (portRef SPO (instanceRef blk000002e0))
+ )
+ )
+ (net sig000009cc
+ (joined
+ (portRef D (instanceRef blk000002bf))
+ (portRef SPO (instanceRef blk000002e3))
+ )
+ )
+ (net sig000009cd
+ (joined
+ (portRef D (instanceRef blk000002c0))
+ (portRef SPO (instanceRef blk000002e4))
+ )
+ )
+ (net sig000009ce
+ (joined
+ (portRef D (instanceRef blk000002c1))
+ (portRef SPO (instanceRef blk000002e5))
+ )
+ )
+ (net sig000009cf
+ (joined
+ (portRef D (instanceRef blk000002c2))
+ (portRef SPO (instanceRef blk000002e6))
+ )
+ )
+ (net sig000009d0
+ (joined
+ (portRef D (instanceRef blk000002c3))
+ (portRef SPO (instanceRef blk000002e7))
+ )
+ )
+ (net sig000009d1
+ (joined
+ (portRef D (instanceRef blk000002c4))
+ (portRef SPO (instanceRef blk000002e8))
+ )
+ )
+ (net sig000009d2
+ (joined
+ (portRef D (instanceRef blk000002c5))
+ (portRef DPO (instanceRef blk000002d8))
+ )
+ )
+ (net sig000009d3
+ (joined
+ (portRef D (instanceRef blk000002c6))
+ (portRef DPO (instanceRef blk000002d9))
+ )
+ )
+ (net sig000009d4
+ (joined
+ (portRef D (instanceRef blk000002c7))
+ (portRef DPO (instanceRef blk000002d7))
+ )
+ )
+ (net sig000009d5
+ (joined
+ (portRef D (instanceRef blk000002c8))
+ (portRef DPO (instanceRef blk000002da))
+ )
+ )
+ (net sig000009d6
+ (joined
+ (portRef D (instanceRef blk000002c9))
+ (portRef DPO (instanceRef blk000002db))
+ )
+ )
+ (net sig000009d7
+ (joined
+ (portRef D (instanceRef blk000002ca))
+ (portRef DPO (instanceRef blk000002dc))
+ )
+ )
+ (net sig000009d8
+ (joined
+ (portRef D (instanceRef blk000002cb))
+ (portRef DPO (instanceRef blk000002dd))
+ )
+ )
+ (net sig000009d9
+ (joined
+ (portRef D (instanceRef blk000002cc))
+ (portRef DPO (instanceRef blk000002de))
+ )
+ )
+ (net sig000009da
+ (joined
+ (portRef D (instanceRef blk000002cd))
+ (portRef DPO (instanceRef blk000002df))
+ )
+ )
+ (net sig000009db
+ (joined
+ (portRef D (instanceRef blk000002ce))
+ (portRef DPO (instanceRef blk000002e1))
+ )
+ )
+ (net sig000009dc
+ (joined
+ (portRef D (instanceRef blk000002cf))
+ (portRef DPO (instanceRef blk000002e2))
+ )
+ )
+ (net sig000009dd
+ (joined
+ (portRef D (instanceRef blk000002d0))
+ (portRef DPO (instanceRef blk000002e0))
+ )
+ )
+ (net sig000009de
+ (joined
+ (portRef D (instanceRef blk000002d1))
+ (portRef DPO (instanceRef blk000002e3))
+ )
+ )
+ (net sig000009df
+ (joined
+ (portRef D (instanceRef blk000002d2))
+ (portRef DPO (instanceRef blk000002e4))
+ )
+ )
+ (net sig000009e0
+ (joined
+ (portRef D (instanceRef blk000002d3))
+ (portRef DPO (instanceRef blk000002e5))
+ )
+ )
+ (net sig000009e1
+ (joined
+ (portRef D (instanceRef blk000002d4))
+ (portRef DPO (instanceRef blk000002e6))
+ )
+ )
+ (net sig000009e2
+ (joined
+ (portRef D (instanceRef blk000002d5))
+ (portRef DPO (instanceRef blk000002e7))
+ )
+ )
+ (net sig000009e3
+ (joined
+ (portRef D (instanceRef blk000002d6))
+ (portRef DPO (instanceRef blk000002e8))
+ )
+ )
+ (net sig000009e4
+ (joined
+ (portRef WE (instanceRef blk000002d7))
+ (portRef WE (instanceRef blk000002d8))
+ (portRef WE (instanceRef blk000002d9))
+ (portRef WE (instanceRef blk000002da))
+ (portRef WE (instanceRef blk000002db))
+ (portRef WE (instanceRef blk000002dc))
+ (portRef WE (instanceRef blk000002dd))
+ (portRef WE (instanceRef blk000002de))
+ (portRef WE (instanceRef blk000002df))
+ (portRef WE (instanceRef blk000002e0))
+ (portRef WE (instanceRef blk000002e1))
+ (portRef WE (instanceRef blk000002e2))
+ (portRef WE (instanceRef blk000002e3))
+ (portRef WE (instanceRef blk000002e4))
+ (portRef WE (instanceRef blk000002e5))
+ (portRef WE (instanceRef blk000002e6))
+ (portRef WE (instanceRef blk000002e7))
+ (portRef WE (instanceRef blk000002e8))
+ (portRef O (instanceRef blk000002e9))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO7_blk0000027e "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000027f
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000280
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000281
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000282
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000283
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000284
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000285
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000286
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000287
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000288
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000289
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000028f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000290
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000291
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000292
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000293
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000294
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000295
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000296
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000297
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000298
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000299
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000029f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000002b0
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000092e
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk00000298))
+ (portRef A2 (instanceRef blk00000299))
+ (portRef A2 (instanceRef blk0000029a))
+ (portRef A2 (instanceRef blk0000029b))
+ (portRef A2 (instanceRef blk0000029c))
+ (portRef A2 (instanceRef blk0000029d))
+ (portRef A2 (instanceRef blk0000029e))
+ (portRef A2 (instanceRef blk0000029f))
+ (portRef A2 (instanceRef blk000002a0))
+ (portRef A2 (instanceRef blk000002a1))
+ (portRef A2 (instanceRef blk000002a2))
+ (portRef A2 (instanceRef blk000002a3))
+ (portRef A2 (instanceRef blk000002a4))
+ (portRef A2 (instanceRef blk000002a5))
+ (portRef A2 (instanceRef blk000002a6))
+ (portRef A2 (instanceRef blk000002a7))
+ (portRef A2 (instanceRef blk000002a8))
+ (portRef A2 (instanceRef blk000002a9))
+ (portRef A2 (instanceRef blk000002aa))
+ (portRef A2 (instanceRef blk000002ab))
+ (portRef A2 (instanceRef blk000002ac))
+ (portRef A2 (instanceRef blk000002ad))
+ (portRef A2 (instanceRef blk000002ae))
+ (portRef A2 (instanceRef blk000002af))
+ )
+ )
+ (net sig0000092f
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk00000298))
+ (portRef A1 (instanceRef blk00000299))
+ (portRef A1 (instanceRef blk0000029a))
+ (portRef A1 (instanceRef blk0000029b))
+ (portRef A1 (instanceRef blk0000029c))
+ (portRef A1 (instanceRef blk0000029d))
+ (portRef A1 (instanceRef blk0000029e))
+ (portRef A1 (instanceRef blk0000029f))
+ (portRef A1 (instanceRef blk000002a0))
+ (portRef A1 (instanceRef blk000002a1))
+ (portRef A1 (instanceRef blk000002a2))
+ (portRef A1 (instanceRef blk000002a3))
+ (portRef A1 (instanceRef blk000002a4))
+ (portRef A1 (instanceRef blk000002a5))
+ (portRef A1 (instanceRef blk000002a6))
+ (portRef A1 (instanceRef blk000002a7))
+ (portRef A1 (instanceRef blk000002a8))
+ (portRef A1 (instanceRef blk000002a9))
+ (portRef A1 (instanceRef blk000002aa))
+ (portRef A1 (instanceRef blk000002ab))
+ (portRef A1 (instanceRef blk000002ac))
+ (portRef A1 (instanceRef blk000002ad))
+ (portRef A1 (instanceRef blk000002ae))
+ (portRef A1 (instanceRef blk000002af))
+ )
+ )
+ (net sig00000930
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk00000298))
+ (portRef A0 (instanceRef blk00000299))
+ (portRef A0 (instanceRef blk0000029a))
+ (portRef A0 (instanceRef blk0000029b))
+ (portRef A0 (instanceRef blk0000029c))
+ (portRef A0 (instanceRef blk0000029d))
+ (portRef A0 (instanceRef blk0000029e))
+ (portRef A0 (instanceRef blk0000029f))
+ (portRef A0 (instanceRef blk000002a0))
+ (portRef A0 (instanceRef blk000002a1))
+ (portRef A0 (instanceRef blk000002a2))
+ (portRef A0 (instanceRef blk000002a3))
+ (portRef A0 (instanceRef blk000002a4))
+ (portRef A0 (instanceRef blk000002a5))
+ (portRef A0 (instanceRef blk000002a6))
+ (portRef A0 (instanceRef blk000002a7))
+ (portRef A0 (instanceRef blk000002a8))
+ (portRef A0 (instanceRef blk000002a9))
+ (portRef A0 (instanceRef blk000002aa))
+ (portRef A0 (instanceRef blk000002ab))
+ (portRef A0 (instanceRef blk000002ac))
+ (portRef A0 (instanceRef blk000002ad))
+ (portRef A0 (instanceRef blk000002ae))
+ (portRef A0 (instanceRef blk000002af))
+ )
+ )
+ (net sig00000931
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000002ad))
+ )
+ )
+ (net sig00000932
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000002af))
+ )
+ )
+ (net sig00000933
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000002ae))
+ )
+ )
+ (net sig00000934
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000002aa))
+ )
+ )
+ (net sig00000935
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000002ac))
+ )
+ )
+ (net sig00000936
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000002ab))
+ )
+ )
+ (net sig00000937
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000002a7))
+ )
+ )
+ (net sig00000938
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000002a9))
+ )
+ )
+ (net sig00000939
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000002a8))
+ )
+ )
+ (net sig0000093a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000002a4))
+ )
+ )
+ (net sig0000093b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000002a6))
+ )
+ )
+ (net sig0000093c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000002a5))
+ )
+ )
+ (net sig0000093d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000002a1))
+ )
+ )
+ (net sig0000093e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000002a3))
+ )
+ )
+ (net sig0000093f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000002a2))
+ )
+ )
+ (net sig00000940
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000029e))
+ )
+ )
+ (net sig00000941
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000002a0))
+ )
+ )
+ (net sig00000942
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000029f))
+ )
+ )
+ (net sig00000943
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000029b))
+ )
+ )
+ (net sig00000944
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000029d))
+ )
+ )
+ (net sig00000945
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000029c))
+ )
+ )
+ (net sig00000946
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000298))
+ )
+ )
+ (net sig00000947
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000029a))
+ )
+ )
+ (net sig00000948
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000299))
+ )
+ )
+ (net sig00000949
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000002b0))
+ )
+ )
+ (net sig0000094a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000280))
+ (portRef CE (instanceRef blk00000281))
+ (portRef CE (instanceRef blk00000282))
+ (portRef CE (instanceRef blk00000283))
+ (portRef CE (instanceRef blk00000284))
+ (portRef CE (instanceRef blk00000285))
+ (portRef CE (instanceRef blk00000286))
+ (portRef CE (instanceRef blk00000287))
+ (portRef CE (instanceRef blk00000288))
+ (portRef CE (instanceRef blk00000289))
+ (portRef CE (instanceRef blk0000028a))
+ (portRef CE (instanceRef blk0000028b))
+ (portRef CE (instanceRef blk0000028c))
+ (portRef CE (instanceRef blk0000028d))
+ (portRef CE (instanceRef blk0000028e))
+ (portRef CE (instanceRef blk0000028f))
+ (portRef CE (instanceRef blk00000290))
+ (portRef CE (instanceRef blk00000291))
+ (portRef CE (instanceRef blk00000292))
+ (portRef CE (instanceRef blk00000293))
+ (portRef CE (instanceRef blk00000294))
+ (portRef CE (instanceRef blk00000295))
+ (portRef CE (instanceRef blk00000296))
+ (portRef CE (instanceRef blk00000297))
+ (portRef I0 (instanceRef blk000002b0))
+ )
+ )
+ (net sig0000094b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000280))
+ (portRef C (instanceRef blk00000281))
+ (portRef C (instanceRef blk00000282))
+ (portRef C (instanceRef blk00000283))
+ (portRef C (instanceRef blk00000284))
+ (portRef C (instanceRef blk00000285))
+ (portRef C (instanceRef blk00000286))
+ (portRef C (instanceRef blk00000287))
+ (portRef C (instanceRef blk00000288))
+ (portRef C (instanceRef blk00000289))
+ (portRef C (instanceRef blk0000028a))
+ (portRef C (instanceRef blk0000028b))
+ (portRef C (instanceRef blk0000028c))
+ (portRef C (instanceRef blk0000028d))
+ (portRef C (instanceRef blk0000028e))
+ (portRef C (instanceRef blk0000028f))
+ (portRef C (instanceRef blk00000290))
+ (portRef C (instanceRef blk00000291))
+ (portRef C (instanceRef blk00000292))
+ (portRef C (instanceRef blk00000293))
+ (portRef C (instanceRef blk00000294))
+ (portRef C (instanceRef blk00000295))
+ (portRef C (instanceRef blk00000296))
+ (portRef C (instanceRef blk00000297))
+ (portRef CLK (instanceRef blk00000298))
+ (portRef CLK (instanceRef blk00000299))
+ (portRef CLK (instanceRef blk0000029a))
+ (portRef CLK (instanceRef blk0000029b))
+ (portRef CLK (instanceRef blk0000029c))
+ (portRef CLK (instanceRef blk0000029d))
+ (portRef CLK (instanceRef blk0000029e))
+ (portRef CLK (instanceRef blk0000029f))
+ (portRef CLK (instanceRef blk000002a0))
+ (portRef CLK (instanceRef blk000002a1))
+ (portRef CLK (instanceRef blk000002a2))
+ (portRef CLK (instanceRef blk000002a3))
+ (portRef CLK (instanceRef blk000002a4))
+ (portRef CLK (instanceRef blk000002a5))
+ (portRef CLK (instanceRef blk000002a6))
+ (portRef CLK (instanceRef blk000002a7))
+ (portRef CLK (instanceRef blk000002a8))
+ (portRef CLK (instanceRef blk000002a9))
+ (portRef CLK (instanceRef blk000002aa))
+ (portRef CLK (instanceRef blk000002ab))
+ (portRef CLK (instanceRef blk000002ac))
+ (portRef CLK (instanceRef blk000002ad))
+ (portRef CLK (instanceRef blk000002ae))
+ (portRef CLK (instanceRef blk000002af))
+ )
+ )
+ (net sig0000094c
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000297))
+ )
+ )
+ (net sig0000094d
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000296))
+ )
+ )
+ (net sig0000094e
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000295))
+ )
+ )
+ (net sig0000094f
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000294))
+ )
+ )
+ (net sig00000950
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000293))
+ )
+ )
+ (net sig00000951
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000292))
+ )
+ )
+ (net sig00000952
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000291))
+ )
+ )
+ (net sig00000953
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000290))
+ )
+ )
+ (net sig00000954
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000028f))
+ )
+ )
+ (net sig00000955
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000028e))
+ )
+ )
+ (net sig00000956
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000028d))
+ )
+ )
+ (net sig00000957
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000028c))
+ )
+ )
+ (net sig00000958
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000028b))
+ )
+ )
+ (net sig00000959
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000028a))
+ )
+ )
+ (net sig0000095a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000289))
+ )
+ )
+ (net sig0000095b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000288))
+ )
+ )
+ (net sig0000095c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000287))
+ )
+ )
+ (net sig0000095d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000286))
+ )
+ )
+ (net sig0000095e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000285))
+ )
+ )
+ (net sig0000095f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000284))
+ )
+ )
+ (net sig00000960
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000283))
+ )
+ )
+ (net sig00000961
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000282))
+ )
+ )
+ (net sig00000962
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000281))
+ )
+ )
+ (net sig00000963
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000280))
+ )
+ )
+ (net sig00000964
+ (joined
+ (portRef G (instanceRef blk0000027f))
+ (portRef A3 (instanceRef blk00000298))
+ (portRef A3 (instanceRef blk00000299))
+ (portRef A3 (instanceRef blk0000029a))
+ (portRef A3 (instanceRef blk0000029b))
+ (portRef A3 (instanceRef blk0000029c))
+ (portRef A3 (instanceRef blk0000029d))
+ (portRef A3 (instanceRef blk0000029e))
+ (portRef A3 (instanceRef blk0000029f))
+ (portRef A3 (instanceRef blk000002a0))
+ (portRef A3 (instanceRef blk000002a1))
+ (portRef A3 (instanceRef blk000002a2))
+ (portRef A3 (instanceRef blk000002a3))
+ (portRef A3 (instanceRef blk000002a4))
+ (portRef A3 (instanceRef blk000002a5))
+ (portRef A3 (instanceRef blk000002a6))
+ (portRef A3 (instanceRef blk000002a7))
+ (portRef A3 (instanceRef blk000002a8))
+ (portRef A3 (instanceRef blk000002a9))
+ (portRef A3 (instanceRef blk000002aa))
+ (portRef A3 (instanceRef blk000002ab))
+ (portRef A3 (instanceRef blk000002ac))
+ (portRef A3 (instanceRef blk000002ad))
+ (portRef A3 (instanceRef blk000002ae))
+ (portRef A3 (instanceRef blk000002af))
+ )
+ )
+ (net sig00000965
+ (joined
+ (portRef D (instanceRef blk00000280))
+ (portRef Q (instanceRef blk00000299))
+ )
+ )
+ (net sig00000966
+ (joined
+ (portRef D (instanceRef blk00000281))
+ (portRef Q (instanceRef blk0000029a))
+ )
+ )
+ (net sig00000967
+ (joined
+ (portRef D (instanceRef blk00000282))
+ (portRef Q (instanceRef blk00000298))
+ )
+ )
+ (net sig00000968
+ (joined
+ (portRef D (instanceRef blk00000283))
+ (portRef Q (instanceRef blk0000029c))
+ )
+ )
+ (net sig00000969
+ (joined
+ (portRef D (instanceRef blk00000284))
+ (portRef Q (instanceRef blk0000029d))
+ )
+ )
+ (net sig0000096a
+ (joined
+ (portRef D (instanceRef blk00000285))
+ (portRef Q (instanceRef blk0000029b))
+ )
+ )
+ (net sig0000096b
+ (joined
+ (portRef D (instanceRef blk00000286))
+ (portRef Q (instanceRef blk0000029f))
+ )
+ )
+ (net sig0000096c
+ (joined
+ (portRef D (instanceRef blk00000287))
+ (portRef Q (instanceRef blk000002a0))
+ )
+ )
+ (net sig0000096d
+ (joined
+ (portRef D (instanceRef blk00000288))
+ (portRef Q (instanceRef blk0000029e))
+ )
+ )
+ (net sig0000096e
+ (joined
+ (portRef D (instanceRef blk00000289))
+ (portRef Q (instanceRef blk000002a2))
+ )
+ )
+ (net sig0000096f
+ (joined
+ (portRef D (instanceRef blk0000028a))
+ (portRef Q (instanceRef blk000002a3))
+ )
+ )
+ (net sig00000970
+ (joined
+ (portRef D (instanceRef blk0000028b))
+ (portRef Q (instanceRef blk000002a1))
+ )
+ )
+ (net sig00000971
+ (joined
+ (portRef D (instanceRef blk0000028c))
+ (portRef Q (instanceRef blk000002a5))
+ )
+ )
+ (net sig00000972
+ (joined
+ (portRef D (instanceRef blk0000028d))
+ (portRef Q (instanceRef blk000002a6))
+ )
+ )
+ (net sig00000973
+ (joined
+ (portRef D (instanceRef blk0000028e))
+ (portRef Q (instanceRef blk000002a4))
+ )
+ )
+ (net sig00000974
+ (joined
+ (portRef D (instanceRef blk0000028f))
+ (portRef Q (instanceRef blk000002a8))
+ )
+ )
+ (net sig00000975
+ (joined
+ (portRef D (instanceRef blk00000290))
+ (portRef Q (instanceRef blk000002a9))
+ )
+ )
+ (net sig00000976
+ (joined
+ (portRef D (instanceRef blk00000291))
+ (portRef Q (instanceRef blk000002a7))
+ )
+ )
+ (net sig00000977
+ (joined
+ (portRef D (instanceRef blk00000292))
+ (portRef Q (instanceRef blk000002ab))
+ )
+ )
+ (net sig00000978
+ (joined
+ (portRef D (instanceRef blk00000293))
+ (portRef Q (instanceRef blk000002ac))
+ )
+ )
+ (net sig00000979
+ (joined
+ (portRef D (instanceRef blk00000294))
+ (portRef Q (instanceRef blk000002aa))
+ )
+ )
+ (net sig0000097a
+ (joined
+ (portRef D (instanceRef blk00000295))
+ (portRef Q (instanceRef blk000002ae))
+ )
+ )
+ (net sig0000097b
+ (joined
+ (portRef D (instanceRef blk00000296))
+ (portRef Q (instanceRef blk000002af))
+ )
+ )
+ (net sig0000097c
+ (joined
+ (portRef D (instanceRef blk00000297))
+ (portRef Q (instanceRef blk000002ad))
+ )
+ )
+ (net sig0000097d
+ (joined
+ (portRef CE (instanceRef blk00000298))
+ (portRef CE (instanceRef blk00000299))
+ (portRef CE (instanceRef blk0000029a))
+ (portRef CE (instanceRef blk0000029b))
+ (portRef CE (instanceRef blk0000029c))
+ (portRef CE (instanceRef blk0000029d))
+ (portRef CE (instanceRef blk0000029e))
+ (portRef CE (instanceRef blk0000029f))
+ (portRef CE (instanceRef blk000002a0))
+ (portRef CE (instanceRef blk000002a1))
+ (portRef CE (instanceRef blk000002a2))
+ (portRef CE (instanceRef blk000002a3))
+ (portRef CE (instanceRef blk000002a4))
+ (portRef CE (instanceRef blk000002a5))
+ (portRef CE (instanceRef blk000002a6))
+ (portRef CE (instanceRef blk000002a7))
+ (portRef CE (instanceRef blk000002a8))
+ (portRef CE (instanceRef blk000002a9))
+ (portRef CE (instanceRef blk000002aa))
+ (portRef CE (instanceRef blk000002ab))
+ (portRef CE (instanceRef blk000002ac))
+ (portRef CE (instanceRef blk000002ad))
+ (portRef CE (instanceRef blk000002ae))
+ (portRef CE (instanceRef blk000002af))
+ (portRef O (instanceRef blk000002b0))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO6_blk0000024b "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000024c
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000024d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000024e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000024f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000250
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000251
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000252
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000253
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000254
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000255
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000256
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000257
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000258
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000259
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000025f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000260
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000261
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000262
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000263
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000264
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000265
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000266
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000267
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000268
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000269
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000026f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000270
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000271
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000272
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000273
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000274
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000275
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000276
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000277
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000278
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000279
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000027d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000008de
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk00000265))
+ (portRef A2 (instanceRef blk00000266))
+ (portRef A2 (instanceRef blk00000267))
+ (portRef A2 (instanceRef blk00000268))
+ (portRef A2 (instanceRef blk00000269))
+ (portRef A2 (instanceRef blk0000026a))
+ (portRef A2 (instanceRef blk0000026b))
+ (portRef A2 (instanceRef blk0000026c))
+ (portRef A2 (instanceRef blk0000026d))
+ (portRef A2 (instanceRef blk0000026e))
+ (portRef A2 (instanceRef blk0000026f))
+ (portRef A2 (instanceRef blk00000270))
+ (portRef A2 (instanceRef blk00000271))
+ (portRef A2 (instanceRef blk00000272))
+ (portRef A2 (instanceRef blk00000273))
+ (portRef A2 (instanceRef blk00000274))
+ (portRef A2 (instanceRef blk00000275))
+ (portRef A2 (instanceRef blk00000276))
+ (portRef A2 (instanceRef blk00000277))
+ (portRef A2 (instanceRef blk00000278))
+ (portRef A2 (instanceRef blk00000279))
+ (portRef A2 (instanceRef blk0000027a))
+ (portRef A2 (instanceRef blk0000027b))
+ (portRef A2 (instanceRef blk0000027c))
+ )
+ )
+ (net sig000008df
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk00000265))
+ (portRef A1 (instanceRef blk00000266))
+ (portRef A1 (instanceRef blk00000267))
+ (portRef A1 (instanceRef blk00000268))
+ (portRef A1 (instanceRef blk00000269))
+ (portRef A1 (instanceRef blk0000026a))
+ (portRef A1 (instanceRef blk0000026b))
+ (portRef A1 (instanceRef blk0000026c))
+ (portRef A1 (instanceRef blk0000026d))
+ (portRef A1 (instanceRef blk0000026e))
+ (portRef A1 (instanceRef blk0000026f))
+ (portRef A1 (instanceRef blk00000270))
+ (portRef A1 (instanceRef blk00000271))
+ (portRef A1 (instanceRef blk00000272))
+ (portRef A1 (instanceRef blk00000273))
+ (portRef A1 (instanceRef blk00000274))
+ (portRef A1 (instanceRef blk00000275))
+ (portRef A1 (instanceRef blk00000276))
+ (portRef A1 (instanceRef blk00000277))
+ (portRef A1 (instanceRef blk00000278))
+ (portRef A1 (instanceRef blk00000279))
+ (portRef A1 (instanceRef blk0000027a))
+ (portRef A1 (instanceRef blk0000027b))
+ (portRef A1 (instanceRef blk0000027c))
+ )
+ )
+ (net sig000008e0
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk00000265))
+ (portRef A0 (instanceRef blk00000266))
+ (portRef A0 (instanceRef blk00000267))
+ (portRef A0 (instanceRef blk00000268))
+ (portRef A0 (instanceRef blk00000269))
+ (portRef A0 (instanceRef blk0000026a))
+ (portRef A0 (instanceRef blk0000026b))
+ (portRef A0 (instanceRef blk0000026c))
+ (portRef A0 (instanceRef blk0000026d))
+ (portRef A0 (instanceRef blk0000026e))
+ (portRef A0 (instanceRef blk0000026f))
+ (portRef A0 (instanceRef blk00000270))
+ (portRef A0 (instanceRef blk00000271))
+ (portRef A0 (instanceRef blk00000272))
+ (portRef A0 (instanceRef blk00000273))
+ (portRef A0 (instanceRef blk00000274))
+ (portRef A0 (instanceRef blk00000275))
+ (portRef A0 (instanceRef blk00000276))
+ (portRef A0 (instanceRef blk00000277))
+ (portRef A0 (instanceRef blk00000278))
+ (portRef A0 (instanceRef blk00000279))
+ (portRef A0 (instanceRef blk0000027a))
+ (portRef A0 (instanceRef blk0000027b))
+ (portRef A0 (instanceRef blk0000027c))
+ )
+ )
+ (net sig000008e1
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000027a))
+ )
+ )
+ (net sig000008e2
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000027c))
+ )
+ )
+ (net sig000008e3
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000027b))
+ )
+ )
+ (net sig000008e4
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000277))
+ )
+ )
+ (net sig000008e5
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000279))
+ )
+ )
+ (net sig000008e6
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000278))
+ )
+ )
+ (net sig000008e7
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000274))
+ )
+ )
+ (net sig000008e8
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000276))
+ )
+ )
+ (net sig000008e9
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000275))
+ )
+ )
+ (net sig000008ea
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000271))
+ )
+ )
+ (net sig000008eb
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000273))
+ )
+ )
+ (net sig000008ec
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000272))
+ )
+ )
+ (net sig000008ed
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000026e))
+ )
+ )
+ (net sig000008ee
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000270))
+ )
+ )
+ (net sig000008ef
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000026f))
+ )
+ )
+ (net sig000008f0
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000026b))
+ )
+ )
+ (net sig000008f1
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000026d))
+ )
+ )
+ (net sig000008f2
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000026c))
+ )
+ )
+ (net sig000008f3
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000268))
+ )
+ )
+ (net sig000008f4
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000026a))
+ )
+ )
+ (net sig000008f5
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000269))
+ )
+ )
+ (net sig000008f6
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000265))
+ )
+ )
+ (net sig000008f7
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000267))
+ )
+ )
+ (net sig000008f8
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000266))
+ )
+ )
+ (net sig000008f9
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000027d))
+ )
+ )
+ (net sig000008fa
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000024d))
+ (portRef CE (instanceRef blk0000024e))
+ (portRef CE (instanceRef blk0000024f))
+ (portRef CE (instanceRef blk00000250))
+ (portRef CE (instanceRef blk00000251))
+ (portRef CE (instanceRef blk00000252))
+ (portRef CE (instanceRef blk00000253))
+ (portRef CE (instanceRef blk00000254))
+ (portRef CE (instanceRef blk00000255))
+ (portRef CE (instanceRef blk00000256))
+ (portRef CE (instanceRef blk00000257))
+ (portRef CE (instanceRef blk00000258))
+ (portRef CE (instanceRef blk00000259))
+ (portRef CE (instanceRef blk0000025a))
+ (portRef CE (instanceRef blk0000025b))
+ (portRef CE (instanceRef blk0000025c))
+ (portRef CE (instanceRef blk0000025d))
+ (portRef CE (instanceRef blk0000025e))
+ (portRef CE (instanceRef blk0000025f))
+ (portRef CE (instanceRef blk00000260))
+ (portRef CE (instanceRef blk00000261))
+ (portRef CE (instanceRef blk00000262))
+ (portRef CE (instanceRef blk00000263))
+ (portRef CE (instanceRef blk00000264))
+ (portRef I0 (instanceRef blk0000027d))
+ )
+ )
+ (net sig000008fb
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000024d))
+ (portRef C (instanceRef blk0000024e))
+ (portRef C (instanceRef blk0000024f))
+ (portRef C (instanceRef blk00000250))
+ (portRef C (instanceRef blk00000251))
+ (portRef C (instanceRef blk00000252))
+ (portRef C (instanceRef blk00000253))
+ (portRef C (instanceRef blk00000254))
+ (portRef C (instanceRef blk00000255))
+ (portRef C (instanceRef blk00000256))
+ (portRef C (instanceRef blk00000257))
+ (portRef C (instanceRef blk00000258))
+ (portRef C (instanceRef blk00000259))
+ (portRef C (instanceRef blk0000025a))
+ (portRef C (instanceRef blk0000025b))
+ (portRef C (instanceRef blk0000025c))
+ (portRef C (instanceRef blk0000025d))
+ (portRef C (instanceRef blk0000025e))
+ (portRef C (instanceRef blk0000025f))
+ (portRef C (instanceRef blk00000260))
+ (portRef C (instanceRef blk00000261))
+ (portRef C (instanceRef blk00000262))
+ (portRef C (instanceRef blk00000263))
+ (portRef C (instanceRef blk00000264))
+ (portRef CLK (instanceRef blk00000265))
+ (portRef CLK (instanceRef blk00000266))
+ (portRef CLK (instanceRef blk00000267))
+ (portRef CLK (instanceRef blk00000268))
+ (portRef CLK (instanceRef blk00000269))
+ (portRef CLK (instanceRef blk0000026a))
+ (portRef CLK (instanceRef blk0000026b))
+ (portRef CLK (instanceRef blk0000026c))
+ (portRef CLK (instanceRef blk0000026d))
+ (portRef CLK (instanceRef blk0000026e))
+ (portRef CLK (instanceRef blk0000026f))
+ (portRef CLK (instanceRef blk00000270))
+ (portRef CLK (instanceRef blk00000271))
+ (portRef CLK (instanceRef blk00000272))
+ (portRef CLK (instanceRef blk00000273))
+ (portRef CLK (instanceRef blk00000274))
+ (portRef CLK (instanceRef blk00000275))
+ (portRef CLK (instanceRef blk00000276))
+ (portRef CLK (instanceRef blk00000277))
+ (portRef CLK (instanceRef blk00000278))
+ (portRef CLK (instanceRef blk00000279))
+ (portRef CLK (instanceRef blk0000027a))
+ (portRef CLK (instanceRef blk0000027b))
+ (portRef CLK (instanceRef blk0000027c))
+ )
+ )
+ (net sig000008fc
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000264))
+ )
+ )
+ (net sig000008fd
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000263))
+ )
+ )
+ (net sig000008fe
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000262))
+ )
+ )
+ (net sig000008ff
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000261))
+ )
+ )
+ (net sig00000900
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000260))
+ )
+ )
+ (net sig00000901
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000025f))
+ )
+ )
+ (net sig00000902
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000025e))
+ )
+ )
+ (net sig00000903
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000025d))
+ )
+ )
+ (net sig00000904
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000025c))
+ )
+ )
+ (net sig00000905
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000025b))
+ )
+ )
+ (net sig00000906
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000025a))
+ )
+ )
+ (net sig00000907
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000259))
+ )
+ )
+ (net sig00000908
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000258))
+ )
+ )
+ (net sig00000909
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000257))
+ )
+ )
+ (net sig0000090a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000256))
+ )
+ )
+ (net sig0000090b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000255))
+ )
+ )
+ (net sig0000090c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000254))
+ )
+ )
+ (net sig0000090d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000253))
+ )
+ )
+ (net sig0000090e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000252))
+ )
+ )
+ (net sig0000090f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000251))
+ )
+ )
+ (net sig00000910
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000250))
+ )
+ )
+ (net sig00000911
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000024f))
+ )
+ )
+ (net sig00000912
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000024e))
+ )
+ )
+ (net sig00000913
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000024d))
+ )
+ )
+ (net sig00000914
+ (joined
+ (portRef G (instanceRef blk0000024c))
+ (portRef A3 (instanceRef blk00000265))
+ (portRef A3 (instanceRef blk00000266))
+ (portRef A3 (instanceRef blk00000267))
+ (portRef A3 (instanceRef blk00000268))
+ (portRef A3 (instanceRef blk00000269))
+ (portRef A3 (instanceRef blk0000026a))
+ (portRef A3 (instanceRef blk0000026b))
+ (portRef A3 (instanceRef blk0000026c))
+ (portRef A3 (instanceRef blk0000026d))
+ (portRef A3 (instanceRef blk0000026e))
+ (portRef A3 (instanceRef blk0000026f))
+ (portRef A3 (instanceRef blk00000270))
+ (portRef A3 (instanceRef blk00000271))
+ (portRef A3 (instanceRef blk00000272))
+ (portRef A3 (instanceRef blk00000273))
+ (portRef A3 (instanceRef blk00000274))
+ (portRef A3 (instanceRef blk00000275))
+ (portRef A3 (instanceRef blk00000276))
+ (portRef A3 (instanceRef blk00000277))
+ (portRef A3 (instanceRef blk00000278))
+ (portRef A3 (instanceRef blk00000279))
+ (portRef A3 (instanceRef blk0000027a))
+ (portRef A3 (instanceRef blk0000027b))
+ (portRef A3 (instanceRef blk0000027c))
+ )
+ )
+ (net sig00000915
+ (joined
+ (portRef D (instanceRef blk0000024d))
+ (portRef Q (instanceRef blk00000266))
+ )
+ )
+ (net sig00000916
+ (joined
+ (portRef D (instanceRef blk0000024e))
+ (portRef Q (instanceRef blk00000267))
+ )
+ )
+ (net sig00000917
+ (joined
+ (portRef D (instanceRef blk0000024f))
+ (portRef Q (instanceRef blk00000265))
+ )
+ )
+ (net sig00000918
+ (joined
+ (portRef D (instanceRef blk00000250))
+ (portRef Q (instanceRef blk00000269))
+ )
+ )
+ (net sig00000919
+ (joined
+ (portRef D (instanceRef blk00000251))
+ (portRef Q (instanceRef blk0000026a))
+ )
+ )
+ (net sig0000091a
+ (joined
+ (portRef D (instanceRef blk00000252))
+ (portRef Q (instanceRef blk00000268))
+ )
+ )
+ (net sig0000091b
+ (joined
+ (portRef D (instanceRef blk00000253))
+ (portRef Q (instanceRef blk0000026c))
+ )
+ )
+ (net sig0000091c
+ (joined
+ (portRef D (instanceRef blk00000254))
+ (portRef Q (instanceRef blk0000026d))
+ )
+ )
+ (net sig0000091d
+ (joined
+ (portRef D (instanceRef blk00000255))
+ (portRef Q (instanceRef blk0000026b))
+ )
+ )
+ (net sig0000091e
+ (joined
+ (portRef D (instanceRef blk00000256))
+ (portRef Q (instanceRef blk0000026f))
+ )
+ )
+ (net sig0000091f
+ (joined
+ (portRef D (instanceRef blk00000257))
+ (portRef Q (instanceRef blk00000270))
+ )
+ )
+ (net sig00000920
+ (joined
+ (portRef D (instanceRef blk00000258))
+ (portRef Q (instanceRef blk0000026e))
+ )
+ )
+ (net sig00000921
+ (joined
+ (portRef D (instanceRef blk00000259))
+ (portRef Q (instanceRef blk00000272))
+ )
+ )
+ (net sig00000922
+ (joined
+ (portRef D (instanceRef blk0000025a))
+ (portRef Q (instanceRef blk00000273))
+ )
+ )
+ (net sig00000923
+ (joined
+ (portRef D (instanceRef blk0000025b))
+ (portRef Q (instanceRef blk00000271))
+ )
+ )
+ (net sig00000924
+ (joined
+ (portRef D (instanceRef blk0000025c))
+ (portRef Q (instanceRef blk00000275))
+ )
+ )
+ (net sig00000925
+ (joined
+ (portRef D (instanceRef blk0000025d))
+ (portRef Q (instanceRef blk00000276))
+ )
+ )
+ (net sig00000926
+ (joined
+ (portRef D (instanceRef blk0000025e))
+ (portRef Q (instanceRef blk00000274))
+ )
+ )
+ (net sig00000927
+ (joined
+ (portRef D (instanceRef blk0000025f))
+ (portRef Q (instanceRef blk00000278))
+ )
+ )
+ (net sig00000928
+ (joined
+ (portRef D (instanceRef blk00000260))
+ (portRef Q (instanceRef blk00000279))
+ )
+ )
+ (net sig00000929
+ (joined
+ (portRef D (instanceRef blk00000261))
+ (portRef Q (instanceRef blk00000277))
+ )
+ )
+ (net sig0000092a
+ (joined
+ (portRef D (instanceRef blk00000262))
+ (portRef Q (instanceRef blk0000027b))
+ )
+ )
+ (net sig0000092b
+ (joined
+ (portRef D (instanceRef blk00000263))
+ (portRef Q (instanceRef blk0000027c))
+ )
+ )
+ (net sig0000092c
+ (joined
+ (portRef D (instanceRef blk00000264))
+ (portRef Q (instanceRef blk0000027a))
+ )
+ )
+ (net sig0000092d
+ (joined
+ (portRef CE (instanceRef blk00000265))
+ (portRef CE (instanceRef blk00000266))
+ (portRef CE (instanceRef blk00000267))
+ (portRef CE (instanceRef blk00000268))
+ (portRef CE (instanceRef blk00000269))
+ (portRef CE (instanceRef blk0000026a))
+ (portRef CE (instanceRef blk0000026b))
+ (portRef CE (instanceRef blk0000026c))
+ (portRef CE (instanceRef blk0000026d))
+ (portRef CE (instanceRef blk0000026e))
+ (portRef CE (instanceRef blk0000026f))
+ (portRef CE (instanceRef blk00000270))
+ (portRef CE (instanceRef blk00000271))
+ (portRef CE (instanceRef blk00000272))
+ (portRef CE (instanceRef blk00000273))
+ (portRef CE (instanceRef blk00000274))
+ (portRef CE (instanceRef blk00000275))
+ (portRef CE (instanceRef blk00000276))
+ (portRef CE (instanceRef blk00000277))
+ (portRef CE (instanceRef blk00000278))
+ (portRef CE (instanceRef blk00000279))
+ (portRef CE (instanceRef blk0000027a))
+ (portRef CE (instanceRef blk0000027b))
+ (portRef CE (instanceRef blk0000027c))
+ (portRef O (instanceRef blk0000027d))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO5_blk00000218 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000219
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000021a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000021f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000220
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000221
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000222
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000223
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000224
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000225
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000226
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000227
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000228
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000229
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000022f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000230
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000231
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000232
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000233
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000234
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000235
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000236
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000237
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000238
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000239
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000023f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000240
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000241
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000242
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000243
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000244
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000245
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000246
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000247
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000248
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000249
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000024a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000088e
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk00000232))
+ (portRef A2 (instanceRef blk00000233))
+ (portRef A2 (instanceRef blk00000234))
+ (portRef A2 (instanceRef blk00000235))
+ (portRef A2 (instanceRef blk00000236))
+ (portRef A2 (instanceRef blk00000237))
+ (portRef A2 (instanceRef blk00000238))
+ (portRef A2 (instanceRef blk00000239))
+ (portRef A2 (instanceRef blk0000023a))
+ (portRef A2 (instanceRef blk0000023b))
+ (portRef A2 (instanceRef blk0000023c))
+ (portRef A2 (instanceRef blk0000023d))
+ (portRef A2 (instanceRef blk0000023e))
+ (portRef A2 (instanceRef blk0000023f))
+ (portRef A2 (instanceRef blk00000240))
+ (portRef A2 (instanceRef blk00000241))
+ (portRef A2 (instanceRef blk00000242))
+ (portRef A2 (instanceRef blk00000243))
+ (portRef A2 (instanceRef blk00000244))
+ (portRef A2 (instanceRef blk00000245))
+ (portRef A2 (instanceRef blk00000246))
+ (portRef A2 (instanceRef blk00000247))
+ (portRef A2 (instanceRef blk00000248))
+ (portRef A2 (instanceRef blk00000249))
+ )
+ )
+ (net sig0000088f
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk00000232))
+ (portRef A1 (instanceRef blk00000233))
+ (portRef A1 (instanceRef blk00000234))
+ (portRef A1 (instanceRef blk00000235))
+ (portRef A1 (instanceRef blk00000236))
+ (portRef A1 (instanceRef blk00000237))
+ (portRef A1 (instanceRef blk00000238))
+ (portRef A1 (instanceRef blk00000239))
+ (portRef A1 (instanceRef blk0000023a))
+ (portRef A1 (instanceRef blk0000023b))
+ (portRef A1 (instanceRef blk0000023c))
+ (portRef A1 (instanceRef blk0000023d))
+ (portRef A1 (instanceRef blk0000023e))
+ (portRef A1 (instanceRef blk0000023f))
+ (portRef A1 (instanceRef blk00000240))
+ (portRef A1 (instanceRef blk00000241))
+ (portRef A1 (instanceRef blk00000242))
+ (portRef A1 (instanceRef blk00000243))
+ (portRef A1 (instanceRef blk00000244))
+ (portRef A1 (instanceRef blk00000245))
+ (portRef A1 (instanceRef blk00000246))
+ (portRef A1 (instanceRef blk00000247))
+ (portRef A1 (instanceRef blk00000248))
+ (portRef A1 (instanceRef blk00000249))
+ )
+ )
+ (net sig00000890
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk00000232))
+ (portRef A0 (instanceRef blk00000233))
+ (portRef A0 (instanceRef blk00000234))
+ (portRef A0 (instanceRef blk00000235))
+ (portRef A0 (instanceRef blk00000236))
+ (portRef A0 (instanceRef blk00000237))
+ (portRef A0 (instanceRef blk00000238))
+ (portRef A0 (instanceRef blk00000239))
+ (portRef A0 (instanceRef blk0000023a))
+ (portRef A0 (instanceRef blk0000023b))
+ (portRef A0 (instanceRef blk0000023c))
+ (portRef A0 (instanceRef blk0000023d))
+ (portRef A0 (instanceRef blk0000023e))
+ (portRef A0 (instanceRef blk0000023f))
+ (portRef A0 (instanceRef blk00000240))
+ (portRef A0 (instanceRef blk00000241))
+ (portRef A0 (instanceRef blk00000242))
+ (portRef A0 (instanceRef blk00000243))
+ (portRef A0 (instanceRef blk00000244))
+ (portRef A0 (instanceRef blk00000245))
+ (portRef A0 (instanceRef blk00000246))
+ (portRef A0 (instanceRef blk00000247))
+ (portRef A0 (instanceRef blk00000248))
+ (portRef A0 (instanceRef blk00000249))
+ )
+ )
+ (net sig00000891
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000247))
+ )
+ )
+ (net sig00000892
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000249))
+ )
+ )
+ (net sig00000893
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000248))
+ )
+ )
+ (net sig00000894
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000244))
+ )
+ )
+ (net sig00000895
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000246))
+ )
+ )
+ (net sig00000896
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000245))
+ )
+ )
+ (net sig00000897
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000241))
+ )
+ )
+ (net sig00000898
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000243))
+ )
+ )
+ (net sig00000899
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000242))
+ )
+ )
+ (net sig0000089a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000023e))
+ )
+ )
+ (net sig0000089b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000240))
+ )
+ )
+ (net sig0000089c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000023f))
+ )
+ )
+ (net sig0000089d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000023b))
+ )
+ )
+ (net sig0000089e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000023d))
+ )
+ )
+ (net sig0000089f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000023c))
+ )
+ )
+ (net sig000008a0
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000238))
+ )
+ )
+ (net sig000008a1
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000023a))
+ )
+ )
+ (net sig000008a2
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000239))
+ )
+ )
+ (net sig000008a3
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000235))
+ )
+ )
+ (net sig000008a4
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000237))
+ )
+ )
+ (net sig000008a5
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000236))
+ )
+ )
+ (net sig000008a6
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000232))
+ )
+ )
+ (net sig000008a7
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000234))
+ )
+ )
+ (net sig000008a8
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000233))
+ )
+ )
+ (net sig000008a9
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000024a))
+ )
+ )
+ (net sig000008aa
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000021a))
+ (portRef CE (instanceRef blk0000021b))
+ (portRef CE (instanceRef blk0000021c))
+ (portRef CE (instanceRef blk0000021d))
+ (portRef CE (instanceRef blk0000021e))
+ (portRef CE (instanceRef blk0000021f))
+ (portRef CE (instanceRef blk00000220))
+ (portRef CE (instanceRef blk00000221))
+ (portRef CE (instanceRef blk00000222))
+ (portRef CE (instanceRef blk00000223))
+ (portRef CE (instanceRef blk00000224))
+ (portRef CE (instanceRef blk00000225))
+ (portRef CE (instanceRef blk00000226))
+ (portRef CE (instanceRef blk00000227))
+ (portRef CE (instanceRef blk00000228))
+ (portRef CE (instanceRef blk00000229))
+ (portRef CE (instanceRef blk0000022a))
+ (portRef CE (instanceRef blk0000022b))
+ (portRef CE (instanceRef blk0000022c))
+ (portRef CE (instanceRef blk0000022d))
+ (portRef CE (instanceRef blk0000022e))
+ (portRef CE (instanceRef blk0000022f))
+ (portRef CE (instanceRef blk00000230))
+ (portRef CE (instanceRef blk00000231))
+ (portRef I0 (instanceRef blk0000024a))
+ )
+ )
+ (net sig000008ab
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000021a))
+ (portRef C (instanceRef blk0000021b))
+ (portRef C (instanceRef blk0000021c))
+ (portRef C (instanceRef blk0000021d))
+ (portRef C (instanceRef blk0000021e))
+ (portRef C (instanceRef blk0000021f))
+ (portRef C (instanceRef blk00000220))
+ (portRef C (instanceRef blk00000221))
+ (portRef C (instanceRef blk00000222))
+ (portRef C (instanceRef blk00000223))
+ (portRef C (instanceRef blk00000224))
+ (portRef C (instanceRef blk00000225))
+ (portRef C (instanceRef blk00000226))
+ (portRef C (instanceRef blk00000227))
+ (portRef C (instanceRef blk00000228))
+ (portRef C (instanceRef blk00000229))
+ (portRef C (instanceRef blk0000022a))
+ (portRef C (instanceRef blk0000022b))
+ (portRef C (instanceRef blk0000022c))
+ (portRef C (instanceRef blk0000022d))
+ (portRef C (instanceRef blk0000022e))
+ (portRef C (instanceRef blk0000022f))
+ (portRef C (instanceRef blk00000230))
+ (portRef C (instanceRef blk00000231))
+ (portRef CLK (instanceRef blk00000232))
+ (portRef CLK (instanceRef blk00000233))
+ (portRef CLK (instanceRef blk00000234))
+ (portRef CLK (instanceRef blk00000235))
+ (portRef CLK (instanceRef blk00000236))
+ (portRef CLK (instanceRef blk00000237))
+ (portRef CLK (instanceRef blk00000238))
+ (portRef CLK (instanceRef blk00000239))
+ (portRef CLK (instanceRef blk0000023a))
+ (portRef CLK (instanceRef blk0000023b))
+ (portRef CLK (instanceRef blk0000023c))
+ (portRef CLK (instanceRef blk0000023d))
+ (portRef CLK (instanceRef blk0000023e))
+ (portRef CLK (instanceRef blk0000023f))
+ (portRef CLK (instanceRef blk00000240))
+ (portRef CLK (instanceRef blk00000241))
+ (portRef CLK (instanceRef blk00000242))
+ (portRef CLK (instanceRef blk00000243))
+ (portRef CLK (instanceRef blk00000244))
+ (portRef CLK (instanceRef blk00000245))
+ (portRef CLK (instanceRef blk00000246))
+ (portRef CLK (instanceRef blk00000247))
+ (portRef CLK (instanceRef blk00000248))
+ (portRef CLK (instanceRef blk00000249))
+ )
+ )
+ (net sig000008ac
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000231))
+ )
+ )
+ (net sig000008ad
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000230))
+ )
+ )
+ (net sig000008ae
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk0000022f))
+ )
+ )
+ (net sig000008af
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000022e))
+ )
+ )
+ (net sig000008b0
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000022d))
+ )
+ )
+ (net sig000008b1
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000022c))
+ )
+ )
+ (net sig000008b2
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000022b))
+ )
+ )
+ (net sig000008b3
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000022a))
+ )
+ )
+ (net sig000008b4
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000229))
+ )
+ )
+ (net sig000008b5
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000228))
+ )
+ )
+ (net sig000008b6
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000227))
+ )
+ )
+ (net sig000008b7
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000226))
+ )
+ )
+ (net sig000008b8
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000225))
+ )
+ )
+ (net sig000008b9
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000224))
+ )
+ )
+ (net sig000008ba
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000223))
+ )
+ )
+ (net sig000008bb
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000222))
+ )
+ )
+ (net sig000008bc
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000221))
+ )
+ )
+ (net sig000008bd
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000220))
+ )
+ )
+ (net sig000008be
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk0000021f))
+ )
+ )
+ (net sig000008bf
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000021e))
+ )
+ )
+ (net sig000008c0
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000021d))
+ )
+ )
+ (net sig000008c1
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000021c))
+ )
+ )
+ (net sig000008c2
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000021b))
+ )
+ )
+ (net sig000008c3
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000021a))
+ )
+ )
+ (net sig000008c4
+ (joined
+ (portRef G (instanceRef blk00000219))
+ (portRef A3 (instanceRef blk00000232))
+ (portRef A3 (instanceRef blk00000233))
+ (portRef A3 (instanceRef blk00000234))
+ (portRef A3 (instanceRef blk00000235))
+ (portRef A3 (instanceRef blk00000236))
+ (portRef A3 (instanceRef blk00000237))
+ (portRef A3 (instanceRef blk00000238))
+ (portRef A3 (instanceRef blk00000239))
+ (portRef A3 (instanceRef blk0000023a))
+ (portRef A3 (instanceRef blk0000023b))
+ (portRef A3 (instanceRef blk0000023c))
+ (portRef A3 (instanceRef blk0000023d))
+ (portRef A3 (instanceRef blk0000023e))
+ (portRef A3 (instanceRef blk0000023f))
+ (portRef A3 (instanceRef blk00000240))
+ (portRef A3 (instanceRef blk00000241))
+ (portRef A3 (instanceRef blk00000242))
+ (portRef A3 (instanceRef blk00000243))
+ (portRef A3 (instanceRef blk00000244))
+ (portRef A3 (instanceRef blk00000245))
+ (portRef A3 (instanceRef blk00000246))
+ (portRef A3 (instanceRef blk00000247))
+ (portRef A3 (instanceRef blk00000248))
+ (portRef A3 (instanceRef blk00000249))
+ )
+ )
+ (net sig000008c5
+ (joined
+ (portRef D (instanceRef blk0000021a))
+ (portRef Q (instanceRef blk00000233))
+ )
+ )
+ (net sig000008c6
+ (joined
+ (portRef D (instanceRef blk0000021b))
+ (portRef Q (instanceRef blk00000234))
+ )
+ )
+ (net sig000008c7
+ (joined
+ (portRef D (instanceRef blk0000021c))
+ (portRef Q (instanceRef blk00000232))
+ )
+ )
+ (net sig000008c8
+ (joined
+ (portRef D (instanceRef blk0000021d))
+ (portRef Q (instanceRef blk00000236))
+ )
+ )
+ (net sig000008c9
+ (joined
+ (portRef D (instanceRef blk0000021e))
+ (portRef Q (instanceRef blk00000237))
+ )
+ )
+ (net sig000008ca
+ (joined
+ (portRef D (instanceRef blk0000021f))
+ (portRef Q (instanceRef blk00000235))
+ )
+ )
+ (net sig000008cb
+ (joined
+ (portRef D (instanceRef blk00000220))
+ (portRef Q (instanceRef blk00000239))
+ )
+ )
+ (net sig000008cc
+ (joined
+ (portRef D (instanceRef blk00000221))
+ (portRef Q (instanceRef blk0000023a))
+ )
+ )
+ (net sig000008cd
+ (joined
+ (portRef D (instanceRef blk00000222))
+ (portRef Q (instanceRef blk00000238))
+ )
+ )
+ (net sig000008ce
+ (joined
+ (portRef D (instanceRef blk00000223))
+ (portRef Q (instanceRef blk0000023c))
+ )
+ )
+ (net sig000008cf
+ (joined
+ (portRef D (instanceRef blk00000224))
+ (portRef Q (instanceRef blk0000023d))
+ )
+ )
+ (net sig000008d0
+ (joined
+ (portRef D (instanceRef blk00000225))
+ (portRef Q (instanceRef blk0000023b))
+ )
+ )
+ (net sig000008d1
+ (joined
+ (portRef D (instanceRef blk00000226))
+ (portRef Q (instanceRef blk0000023f))
+ )
+ )
+ (net sig000008d2
+ (joined
+ (portRef D (instanceRef blk00000227))
+ (portRef Q (instanceRef blk00000240))
+ )
+ )
+ (net sig000008d3
+ (joined
+ (portRef D (instanceRef blk00000228))
+ (portRef Q (instanceRef blk0000023e))
+ )
+ )
+ (net sig000008d4
+ (joined
+ (portRef D (instanceRef blk00000229))
+ (portRef Q (instanceRef blk00000242))
+ )
+ )
+ (net sig000008d5
+ (joined
+ (portRef D (instanceRef blk0000022a))
+ (portRef Q (instanceRef blk00000243))
+ )
+ )
+ (net sig000008d6
+ (joined
+ (portRef D (instanceRef blk0000022b))
+ (portRef Q (instanceRef blk00000241))
+ )
+ )
+ (net sig000008d7
+ (joined
+ (portRef D (instanceRef blk0000022c))
+ (portRef Q (instanceRef blk00000245))
+ )
+ )
+ (net sig000008d8
+ (joined
+ (portRef D (instanceRef blk0000022d))
+ (portRef Q (instanceRef blk00000246))
+ )
+ )
+ (net sig000008d9
+ (joined
+ (portRef D (instanceRef blk0000022e))
+ (portRef Q (instanceRef blk00000244))
+ )
+ )
+ (net sig000008da
+ (joined
+ (portRef D (instanceRef blk0000022f))
+ (portRef Q (instanceRef blk00000248))
+ )
+ )
+ (net sig000008db
+ (joined
+ (portRef D (instanceRef blk00000230))
+ (portRef Q (instanceRef blk00000249))
+ )
+ )
+ (net sig000008dc
+ (joined
+ (portRef D (instanceRef blk00000231))
+ (portRef Q (instanceRef blk00000247))
+ )
+ )
+ (net sig000008dd
+ (joined
+ (portRef CE (instanceRef blk00000232))
+ (portRef CE (instanceRef blk00000233))
+ (portRef CE (instanceRef blk00000234))
+ (portRef CE (instanceRef blk00000235))
+ (portRef CE (instanceRef blk00000236))
+ (portRef CE (instanceRef blk00000237))
+ (portRef CE (instanceRef blk00000238))
+ (portRef CE (instanceRef blk00000239))
+ (portRef CE (instanceRef blk0000023a))
+ (portRef CE (instanceRef blk0000023b))
+ (portRef CE (instanceRef blk0000023c))
+ (portRef CE (instanceRef blk0000023d))
+ (portRef CE (instanceRef blk0000023e))
+ (portRef CE (instanceRef blk0000023f))
+ (portRef CE (instanceRef blk00000240))
+ (portRef CE (instanceRef blk00000241))
+ (portRef CE (instanceRef blk00000242))
+ (portRef CE (instanceRef blk00000243))
+ (portRef CE (instanceRef blk00000244))
+ (portRef CE (instanceRef blk00000245))
+ (portRef CE (instanceRef blk00000246))
+ (portRef CE (instanceRef blk00000247))
+ (portRef CE (instanceRef blk00000248))
+ (portRef CE (instanceRef blk00000249))
+ (portRef O (instanceRef blk0000024a))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO4_blk000001e5 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000001e6
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000001e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001e8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ea
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ec
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ee
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001fe
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ff
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000200
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000201
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000202
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000203
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000204
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000205
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000206
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000207
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000208
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000209
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000020f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000210
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000211
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000212
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000213
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000214
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000215
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000216
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000217
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000083e
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk000001ff))
+ (portRef A2 (instanceRef blk00000200))
+ (portRef A2 (instanceRef blk00000201))
+ (portRef A2 (instanceRef blk00000202))
+ (portRef A2 (instanceRef blk00000203))
+ (portRef A2 (instanceRef blk00000204))
+ (portRef A2 (instanceRef blk00000205))
+ (portRef A2 (instanceRef blk00000206))
+ (portRef A2 (instanceRef blk00000207))
+ (portRef A2 (instanceRef blk00000208))
+ (portRef A2 (instanceRef blk00000209))
+ (portRef A2 (instanceRef blk0000020a))
+ (portRef A2 (instanceRef blk0000020b))
+ (portRef A2 (instanceRef blk0000020c))
+ (portRef A2 (instanceRef blk0000020d))
+ (portRef A2 (instanceRef blk0000020e))
+ (portRef A2 (instanceRef blk0000020f))
+ (portRef A2 (instanceRef blk00000210))
+ (portRef A2 (instanceRef blk00000211))
+ (portRef A2 (instanceRef blk00000212))
+ (portRef A2 (instanceRef blk00000213))
+ (portRef A2 (instanceRef blk00000214))
+ (portRef A2 (instanceRef blk00000215))
+ (portRef A2 (instanceRef blk00000216))
+ )
+ )
+ (net sig0000083f
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk000001ff))
+ (portRef A1 (instanceRef blk00000200))
+ (portRef A1 (instanceRef blk00000201))
+ (portRef A1 (instanceRef blk00000202))
+ (portRef A1 (instanceRef blk00000203))
+ (portRef A1 (instanceRef blk00000204))
+ (portRef A1 (instanceRef blk00000205))
+ (portRef A1 (instanceRef blk00000206))
+ (portRef A1 (instanceRef blk00000207))
+ (portRef A1 (instanceRef blk00000208))
+ (portRef A1 (instanceRef blk00000209))
+ (portRef A1 (instanceRef blk0000020a))
+ (portRef A1 (instanceRef blk0000020b))
+ (portRef A1 (instanceRef blk0000020c))
+ (portRef A1 (instanceRef blk0000020d))
+ (portRef A1 (instanceRef blk0000020e))
+ (portRef A1 (instanceRef blk0000020f))
+ (portRef A1 (instanceRef blk00000210))
+ (portRef A1 (instanceRef blk00000211))
+ (portRef A1 (instanceRef blk00000212))
+ (portRef A1 (instanceRef blk00000213))
+ (portRef A1 (instanceRef blk00000214))
+ (portRef A1 (instanceRef blk00000215))
+ (portRef A1 (instanceRef blk00000216))
+ )
+ )
+ (net sig00000840
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk000001ff))
+ (portRef A0 (instanceRef blk00000200))
+ (portRef A0 (instanceRef blk00000201))
+ (portRef A0 (instanceRef blk00000202))
+ (portRef A0 (instanceRef blk00000203))
+ (portRef A0 (instanceRef blk00000204))
+ (portRef A0 (instanceRef blk00000205))
+ (portRef A0 (instanceRef blk00000206))
+ (portRef A0 (instanceRef blk00000207))
+ (portRef A0 (instanceRef blk00000208))
+ (portRef A0 (instanceRef blk00000209))
+ (portRef A0 (instanceRef blk0000020a))
+ (portRef A0 (instanceRef blk0000020b))
+ (portRef A0 (instanceRef blk0000020c))
+ (portRef A0 (instanceRef blk0000020d))
+ (portRef A0 (instanceRef blk0000020e))
+ (portRef A0 (instanceRef blk0000020f))
+ (portRef A0 (instanceRef blk00000210))
+ (portRef A0 (instanceRef blk00000211))
+ (portRef A0 (instanceRef blk00000212))
+ (portRef A0 (instanceRef blk00000213))
+ (portRef A0 (instanceRef blk00000214))
+ (portRef A0 (instanceRef blk00000215))
+ (portRef A0 (instanceRef blk00000216))
+ )
+ )
+ (net sig00000841
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000214))
+ )
+ )
+ (net sig00000842
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk00000216))
+ )
+ )
+ (net sig00000843
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000215))
+ )
+ )
+ (net sig00000844
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000211))
+ )
+ )
+ (net sig00000845
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000213))
+ )
+ )
+ (net sig00000846
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000212))
+ )
+ )
+ (net sig00000847
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk0000020e))
+ )
+ )
+ (net sig00000848
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000210))
+ )
+ )
+ (net sig00000849
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk0000020f))
+ )
+ )
+ (net sig0000084a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000020b))
+ )
+ )
+ (net sig0000084b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk0000020d))
+ )
+ )
+ (net sig0000084c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk0000020c))
+ )
+ )
+ (net sig0000084d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk00000208))
+ )
+ )
+ (net sig0000084e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000020a))
+ )
+ )
+ (net sig0000084f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000209))
+ )
+ )
+ (net sig00000850
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000205))
+ )
+ )
+ (net sig00000851
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk00000207))
+ )
+ )
+ (net sig00000852
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk00000206))
+ )
+ )
+ (net sig00000853
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000202))
+ )
+ )
+ (net sig00000854
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000204))
+ )
+ )
+ (net sig00000855
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000203))
+ )
+ )
+ (net sig00000856
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000001ff))
+ )
+ )
+ (net sig00000857
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000201))
+ )
+ )
+ (net sig00000858
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000200))
+ )
+ )
+ (net sig00000859
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk00000217))
+ )
+ )
+ (net sig0000085a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000001e7))
+ (portRef CE (instanceRef blk000001e8))
+ (portRef CE (instanceRef blk000001e9))
+ (portRef CE (instanceRef blk000001ea))
+ (portRef CE (instanceRef blk000001eb))
+ (portRef CE (instanceRef blk000001ec))
+ (portRef CE (instanceRef blk000001ed))
+ (portRef CE (instanceRef blk000001ee))
+ (portRef CE (instanceRef blk000001ef))
+ (portRef CE (instanceRef blk000001f0))
+ (portRef CE (instanceRef blk000001f1))
+ (portRef CE (instanceRef blk000001f2))
+ (portRef CE (instanceRef blk000001f3))
+ (portRef CE (instanceRef blk000001f4))
+ (portRef CE (instanceRef blk000001f5))
+ (portRef CE (instanceRef blk000001f6))
+ (portRef CE (instanceRef blk000001f7))
+ (portRef CE (instanceRef blk000001f8))
+ (portRef CE (instanceRef blk000001f9))
+ (portRef CE (instanceRef blk000001fa))
+ (portRef CE (instanceRef blk000001fb))
+ (portRef CE (instanceRef blk000001fc))
+ (portRef CE (instanceRef blk000001fd))
+ (portRef CE (instanceRef blk000001fe))
+ (portRef I0 (instanceRef blk00000217))
+ )
+ )
+ (net sig0000085b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000001e7))
+ (portRef C (instanceRef blk000001e8))
+ (portRef C (instanceRef blk000001e9))
+ (portRef C (instanceRef blk000001ea))
+ (portRef C (instanceRef blk000001eb))
+ (portRef C (instanceRef blk000001ec))
+ (portRef C (instanceRef blk000001ed))
+ (portRef C (instanceRef blk000001ee))
+ (portRef C (instanceRef blk000001ef))
+ (portRef C (instanceRef blk000001f0))
+ (portRef C (instanceRef blk000001f1))
+ (portRef C (instanceRef blk000001f2))
+ (portRef C (instanceRef blk000001f3))
+ (portRef C (instanceRef blk000001f4))
+ (portRef C (instanceRef blk000001f5))
+ (portRef C (instanceRef blk000001f6))
+ (portRef C (instanceRef blk000001f7))
+ (portRef C (instanceRef blk000001f8))
+ (portRef C (instanceRef blk000001f9))
+ (portRef C (instanceRef blk000001fa))
+ (portRef C (instanceRef blk000001fb))
+ (portRef C (instanceRef blk000001fc))
+ (portRef C (instanceRef blk000001fd))
+ (portRef C (instanceRef blk000001fe))
+ (portRef CLK (instanceRef blk000001ff))
+ (portRef CLK (instanceRef blk00000200))
+ (portRef CLK (instanceRef blk00000201))
+ (portRef CLK (instanceRef blk00000202))
+ (portRef CLK (instanceRef blk00000203))
+ (portRef CLK (instanceRef blk00000204))
+ (portRef CLK (instanceRef blk00000205))
+ (portRef CLK (instanceRef blk00000206))
+ (portRef CLK (instanceRef blk00000207))
+ (portRef CLK (instanceRef blk00000208))
+ (portRef CLK (instanceRef blk00000209))
+ (portRef CLK (instanceRef blk0000020a))
+ (portRef CLK (instanceRef blk0000020b))
+ (portRef CLK (instanceRef blk0000020c))
+ (portRef CLK (instanceRef blk0000020d))
+ (portRef CLK (instanceRef blk0000020e))
+ (portRef CLK (instanceRef blk0000020f))
+ (portRef CLK (instanceRef blk00000210))
+ (portRef CLK (instanceRef blk00000211))
+ (portRef CLK (instanceRef blk00000212))
+ (portRef CLK (instanceRef blk00000213))
+ (portRef CLK (instanceRef blk00000214))
+ (portRef CLK (instanceRef blk00000215))
+ (portRef CLK (instanceRef blk00000216))
+ )
+ )
+ (net sig0000085c
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000001fe))
+ )
+ )
+ (net sig0000085d
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000001fd))
+ )
+ )
+ (net sig0000085e
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000001fc))
+ )
+ )
+ (net sig0000085f
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000001fb))
+ )
+ )
+ (net sig00000860
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000001fa))
+ )
+ )
+ (net sig00000861
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000001f9))
+ )
+ )
+ (net sig00000862
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000001f8))
+ )
+ )
+ (net sig00000863
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000001f7))
+ )
+ )
+ (net sig00000864
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000001f6))
+ )
+ )
+ (net sig00000865
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000001f5))
+ )
+ )
+ (net sig00000866
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000001f4))
+ )
+ )
+ (net sig00000867
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000001f3))
+ )
+ )
+ (net sig00000868
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000001f2))
+ )
+ )
+ (net sig00000869
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000001f1))
+ )
+ )
+ (net sig0000086a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000001f0))
+ )
+ )
+ (net sig0000086b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000001ef))
+ )
+ )
+ (net sig0000086c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000001ee))
+ )
+ )
+ (net sig0000086d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000001ed))
+ )
+ )
+ (net sig0000086e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000001ec))
+ )
+ )
+ (net sig0000086f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000001eb))
+ )
+ )
+ (net sig00000870
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000001ea))
+ )
+ )
+ (net sig00000871
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000001e9))
+ )
+ )
+ (net sig00000872
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000001e8))
+ )
+ )
+ (net sig00000873
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000001e7))
+ )
+ )
+ (net sig00000874
+ (joined
+ (portRef G (instanceRef blk000001e6))
+ (portRef A3 (instanceRef blk000001ff))
+ (portRef A3 (instanceRef blk00000200))
+ (portRef A3 (instanceRef blk00000201))
+ (portRef A3 (instanceRef blk00000202))
+ (portRef A3 (instanceRef blk00000203))
+ (portRef A3 (instanceRef blk00000204))
+ (portRef A3 (instanceRef blk00000205))
+ (portRef A3 (instanceRef blk00000206))
+ (portRef A3 (instanceRef blk00000207))
+ (portRef A3 (instanceRef blk00000208))
+ (portRef A3 (instanceRef blk00000209))
+ (portRef A3 (instanceRef blk0000020a))
+ (portRef A3 (instanceRef blk0000020b))
+ (portRef A3 (instanceRef blk0000020c))
+ (portRef A3 (instanceRef blk0000020d))
+ (portRef A3 (instanceRef blk0000020e))
+ (portRef A3 (instanceRef blk0000020f))
+ (portRef A3 (instanceRef blk00000210))
+ (portRef A3 (instanceRef blk00000211))
+ (portRef A3 (instanceRef blk00000212))
+ (portRef A3 (instanceRef blk00000213))
+ (portRef A3 (instanceRef blk00000214))
+ (portRef A3 (instanceRef blk00000215))
+ (portRef A3 (instanceRef blk00000216))
+ )
+ )
+ (net sig00000875
+ (joined
+ (portRef D (instanceRef blk000001e7))
+ (portRef Q (instanceRef blk00000200))
+ )
+ )
+ (net sig00000876
+ (joined
+ (portRef D (instanceRef blk000001e8))
+ (portRef Q (instanceRef blk00000201))
+ )
+ )
+ (net sig00000877
+ (joined
+ (portRef D (instanceRef blk000001e9))
+ (portRef Q (instanceRef blk000001ff))
+ )
+ )
+ (net sig00000878
+ (joined
+ (portRef D (instanceRef blk000001ea))
+ (portRef Q (instanceRef blk00000203))
+ )
+ )
+ (net sig00000879
+ (joined
+ (portRef D (instanceRef blk000001eb))
+ (portRef Q (instanceRef blk00000204))
+ )
+ )
+ (net sig0000087a
+ (joined
+ (portRef D (instanceRef blk000001ec))
+ (portRef Q (instanceRef blk00000202))
+ )
+ )
+ (net sig0000087b
+ (joined
+ (portRef D (instanceRef blk000001ed))
+ (portRef Q (instanceRef blk00000206))
+ )
+ )
+ (net sig0000087c
+ (joined
+ (portRef D (instanceRef blk000001ee))
+ (portRef Q (instanceRef blk00000207))
+ )
+ )
+ (net sig0000087d
+ (joined
+ (portRef D (instanceRef blk000001ef))
+ (portRef Q (instanceRef blk00000205))
+ )
+ )
+ (net sig0000087e
+ (joined
+ (portRef D (instanceRef blk000001f0))
+ (portRef Q (instanceRef blk00000209))
+ )
+ )
+ (net sig0000087f
+ (joined
+ (portRef D (instanceRef blk000001f1))
+ (portRef Q (instanceRef blk0000020a))
+ )
+ )
+ (net sig00000880
+ (joined
+ (portRef D (instanceRef blk000001f2))
+ (portRef Q (instanceRef blk00000208))
+ )
+ )
+ (net sig00000881
+ (joined
+ (portRef D (instanceRef blk000001f3))
+ (portRef Q (instanceRef blk0000020c))
+ )
+ )
+ (net sig00000882
+ (joined
+ (portRef D (instanceRef blk000001f4))
+ (portRef Q (instanceRef blk0000020d))
+ )
+ )
+ (net sig00000883
+ (joined
+ (portRef D (instanceRef blk000001f5))
+ (portRef Q (instanceRef blk0000020b))
+ )
+ )
+ (net sig00000884
+ (joined
+ (portRef D (instanceRef blk000001f6))
+ (portRef Q (instanceRef blk0000020f))
+ )
+ )
+ (net sig00000885
+ (joined
+ (portRef D (instanceRef blk000001f7))
+ (portRef Q (instanceRef blk00000210))
+ )
+ )
+ (net sig00000886
+ (joined
+ (portRef D (instanceRef blk000001f8))
+ (portRef Q (instanceRef blk0000020e))
+ )
+ )
+ (net sig00000887
+ (joined
+ (portRef D (instanceRef blk000001f9))
+ (portRef Q (instanceRef blk00000212))
+ )
+ )
+ (net sig00000888
+ (joined
+ (portRef D (instanceRef blk000001fa))
+ (portRef Q (instanceRef blk00000213))
+ )
+ )
+ (net sig00000889
+ (joined
+ (portRef D (instanceRef blk000001fb))
+ (portRef Q (instanceRef blk00000211))
+ )
+ )
+ (net sig0000088a
+ (joined
+ (portRef D (instanceRef blk000001fc))
+ (portRef Q (instanceRef blk00000215))
+ )
+ )
+ (net sig0000088b
+ (joined
+ (portRef D (instanceRef blk000001fd))
+ (portRef Q (instanceRef blk00000216))
+ )
+ )
+ (net sig0000088c
+ (joined
+ (portRef D (instanceRef blk000001fe))
+ (portRef Q (instanceRef blk00000214))
+ )
+ )
+ (net sig0000088d
+ (joined
+ (portRef CE (instanceRef blk000001ff))
+ (portRef CE (instanceRef blk00000200))
+ (portRef CE (instanceRef blk00000201))
+ (portRef CE (instanceRef blk00000202))
+ (portRef CE (instanceRef blk00000203))
+ (portRef CE (instanceRef blk00000204))
+ (portRef CE (instanceRef blk00000205))
+ (portRef CE (instanceRef blk00000206))
+ (portRef CE (instanceRef blk00000207))
+ (portRef CE (instanceRef blk00000208))
+ (portRef CE (instanceRef blk00000209))
+ (portRef CE (instanceRef blk0000020a))
+ (portRef CE (instanceRef blk0000020b))
+ (portRef CE (instanceRef blk0000020c))
+ (portRef CE (instanceRef blk0000020d))
+ (portRef CE (instanceRef blk0000020e))
+ (portRef CE (instanceRef blk0000020f))
+ (portRef CE (instanceRef blk00000210))
+ (portRef CE (instanceRef blk00000211))
+ (portRef CE (instanceRef blk00000212))
+ (portRef CE (instanceRef blk00000213))
+ (portRef CE (instanceRef blk00000214))
+ (portRef CE (instanceRef blk00000215))
+ (portRef CE (instanceRef blk00000216))
+ (portRef O (instanceRef blk00000217))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO3_blk000001b2 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk000001b3
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000001b4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ba
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bc
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001be
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c0
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001ca
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000001cc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001cd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001cf
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001d9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001db
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001dd
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001df
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001e4
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000007ee
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk000001cc))
+ (portRef A2 (instanceRef blk000001cd))
+ (portRef A2 (instanceRef blk000001ce))
+ (portRef A2 (instanceRef blk000001cf))
+ (portRef A2 (instanceRef blk000001d0))
+ (portRef A2 (instanceRef blk000001d1))
+ (portRef A2 (instanceRef blk000001d2))
+ (portRef A2 (instanceRef blk000001d3))
+ (portRef A2 (instanceRef blk000001d4))
+ (portRef A2 (instanceRef blk000001d5))
+ (portRef A2 (instanceRef blk000001d6))
+ (portRef A2 (instanceRef blk000001d7))
+ (portRef A2 (instanceRef blk000001d8))
+ (portRef A2 (instanceRef blk000001d9))
+ (portRef A2 (instanceRef blk000001da))
+ (portRef A2 (instanceRef blk000001db))
+ (portRef A2 (instanceRef blk000001dc))
+ (portRef A2 (instanceRef blk000001dd))
+ (portRef A2 (instanceRef blk000001de))
+ (portRef A2 (instanceRef blk000001df))
+ (portRef A2 (instanceRef blk000001e0))
+ (portRef A2 (instanceRef blk000001e1))
+ (portRef A2 (instanceRef blk000001e2))
+ (portRef A2 (instanceRef blk000001e3))
+ )
+ )
+ (net sig000007ef
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk000001cc))
+ (portRef A1 (instanceRef blk000001cd))
+ (portRef A1 (instanceRef blk000001ce))
+ (portRef A1 (instanceRef blk000001cf))
+ (portRef A1 (instanceRef blk000001d0))
+ (portRef A1 (instanceRef blk000001d1))
+ (portRef A1 (instanceRef blk000001d2))
+ (portRef A1 (instanceRef blk000001d3))
+ (portRef A1 (instanceRef blk000001d4))
+ (portRef A1 (instanceRef blk000001d5))
+ (portRef A1 (instanceRef blk000001d6))
+ (portRef A1 (instanceRef blk000001d7))
+ (portRef A1 (instanceRef blk000001d8))
+ (portRef A1 (instanceRef blk000001d9))
+ (portRef A1 (instanceRef blk000001da))
+ (portRef A1 (instanceRef blk000001db))
+ (portRef A1 (instanceRef blk000001dc))
+ (portRef A1 (instanceRef blk000001dd))
+ (portRef A1 (instanceRef blk000001de))
+ (portRef A1 (instanceRef blk000001df))
+ (portRef A1 (instanceRef blk000001e0))
+ (portRef A1 (instanceRef blk000001e1))
+ (portRef A1 (instanceRef blk000001e2))
+ (portRef A1 (instanceRef blk000001e3))
+ )
+ )
+ (net sig000007f0
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk000001cc))
+ (portRef A0 (instanceRef blk000001cd))
+ (portRef A0 (instanceRef blk000001ce))
+ (portRef A0 (instanceRef blk000001cf))
+ (portRef A0 (instanceRef blk000001d0))
+ (portRef A0 (instanceRef blk000001d1))
+ (portRef A0 (instanceRef blk000001d2))
+ (portRef A0 (instanceRef blk000001d3))
+ (portRef A0 (instanceRef blk000001d4))
+ (portRef A0 (instanceRef blk000001d5))
+ (portRef A0 (instanceRef blk000001d6))
+ (portRef A0 (instanceRef blk000001d7))
+ (portRef A0 (instanceRef blk000001d8))
+ (portRef A0 (instanceRef blk000001d9))
+ (portRef A0 (instanceRef blk000001da))
+ (portRef A0 (instanceRef blk000001db))
+ (portRef A0 (instanceRef blk000001dc))
+ (portRef A0 (instanceRef blk000001dd))
+ (portRef A0 (instanceRef blk000001de))
+ (portRef A0 (instanceRef blk000001df))
+ (portRef A0 (instanceRef blk000001e0))
+ (portRef A0 (instanceRef blk000001e1))
+ (portRef A0 (instanceRef blk000001e2))
+ (portRef A0 (instanceRef blk000001e3))
+ )
+ )
+ (net sig000007f1
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000001e1))
+ )
+ )
+ (net sig000007f2
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000001e3))
+ )
+ )
+ (net sig000007f3
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000001e2))
+ )
+ )
+ (net sig000007f4
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000001de))
+ )
+ )
+ (net sig000007f5
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000001e0))
+ )
+ )
+ (net sig000007f6
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000001df))
+ )
+ )
+ (net sig000007f7
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000001db))
+ )
+ )
+ (net sig000007f8
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000001dd))
+ )
+ )
+ (net sig000007f9
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000001dc))
+ )
+ )
+ (net sig000007fa
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000001d8))
+ )
+ )
+ (net sig000007fb
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000001da))
+ )
+ )
+ (net sig000007fc
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000001d9))
+ )
+ )
+ (net sig000007fd
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000001d5))
+ )
+ )
+ (net sig000007fe
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000001d7))
+ )
+ )
+ (net sig000007ff
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000001d6))
+ )
+ )
+ (net sig00000800
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk000001d2))
+ )
+ )
+ (net sig00000801
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000001d4))
+ )
+ )
+ (net sig00000802
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000001d3))
+ )
+ )
+ (net sig00000803
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk000001cf))
+ )
+ )
+ (net sig00000804
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk000001d1))
+ )
+ )
+ (net sig00000805
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk000001d0))
+ )
+ )
+ (net sig00000806
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk000001cc))
+ )
+ )
+ (net sig00000807
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk000001ce))
+ )
+ )
+ (net sig00000808
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk000001cd))
+ )
+ )
+ (net sig00000809
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000001e4))
+ )
+ )
+ (net sig0000080a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk000001b4))
+ (portRef CE (instanceRef blk000001b5))
+ (portRef CE (instanceRef blk000001b6))
+ (portRef CE (instanceRef blk000001b7))
+ (portRef CE (instanceRef blk000001b8))
+ (portRef CE (instanceRef blk000001b9))
+ (portRef CE (instanceRef blk000001ba))
+ (portRef CE (instanceRef blk000001bb))
+ (portRef CE (instanceRef blk000001bc))
+ (portRef CE (instanceRef blk000001bd))
+ (portRef CE (instanceRef blk000001be))
+ (portRef CE (instanceRef blk000001bf))
+ (portRef CE (instanceRef blk000001c0))
+ (portRef CE (instanceRef blk000001c1))
+ (portRef CE (instanceRef blk000001c2))
+ (portRef CE (instanceRef blk000001c3))
+ (portRef CE (instanceRef blk000001c4))
+ (portRef CE (instanceRef blk000001c5))
+ (portRef CE (instanceRef blk000001c6))
+ (portRef CE (instanceRef blk000001c7))
+ (portRef CE (instanceRef blk000001c8))
+ (portRef CE (instanceRef blk000001c9))
+ (portRef CE (instanceRef blk000001ca))
+ (portRef CE (instanceRef blk000001cb))
+ (portRef I0 (instanceRef blk000001e4))
+ )
+ )
+ (net sig0000080b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk000001b4))
+ (portRef C (instanceRef blk000001b5))
+ (portRef C (instanceRef blk000001b6))
+ (portRef C (instanceRef blk000001b7))
+ (portRef C (instanceRef blk000001b8))
+ (portRef C (instanceRef blk000001b9))
+ (portRef C (instanceRef blk000001ba))
+ (portRef C (instanceRef blk000001bb))
+ (portRef C (instanceRef blk000001bc))
+ (portRef C (instanceRef blk000001bd))
+ (portRef C (instanceRef blk000001be))
+ (portRef C (instanceRef blk000001bf))
+ (portRef C (instanceRef blk000001c0))
+ (portRef C (instanceRef blk000001c1))
+ (portRef C (instanceRef blk000001c2))
+ (portRef C (instanceRef blk000001c3))
+ (portRef C (instanceRef blk000001c4))
+ (portRef C (instanceRef blk000001c5))
+ (portRef C (instanceRef blk000001c6))
+ (portRef C (instanceRef blk000001c7))
+ (portRef C (instanceRef blk000001c8))
+ (portRef C (instanceRef blk000001c9))
+ (portRef C (instanceRef blk000001ca))
+ (portRef C (instanceRef blk000001cb))
+ (portRef CLK (instanceRef blk000001cc))
+ (portRef CLK (instanceRef blk000001cd))
+ (portRef CLK (instanceRef blk000001ce))
+ (portRef CLK (instanceRef blk000001cf))
+ (portRef CLK (instanceRef blk000001d0))
+ (portRef CLK (instanceRef blk000001d1))
+ (portRef CLK (instanceRef blk000001d2))
+ (portRef CLK (instanceRef blk000001d3))
+ (portRef CLK (instanceRef blk000001d4))
+ (portRef CLK (instanceRef blk000001d5))
+ (portRef CLK (instanceRef blk000001d6))
+ (portRef CLK (instanceRef blk000001d7))
+ (portRef CLK (instanceRef blk000001d8))
+ (portRef CLK (instanceRef blk000001d9))
+ (portRef CLK (instanceRef blk000001da))
+ (portRef CLK (instanceRef blk000001db))
+ (portRef CLK (instanceRef blk000001dc))
+ (portRef CLK (instanceRef blk000001dd))
+ (portRef CLK (instanceRef blk000001de))
+ (portRef CLK (instanceRef blk000001df))
+ (portRef CLK (instanceRef blk000001e0))
+ (portRef CLK (instanceRef blk000001e1))
+ (portRef CLK (instanceRef blk000001e2))
+ (portRef CLK (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000080c
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk000001cb))
+ )
+ )
+ (net sig0000080d
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk000001ca))
+ )
+ )
+ (net sig0000080e
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk000001c9))
+ )
+ )
+ (net sig0000080f
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk000001c8))
+ )
+ )
+ (net sig00000810
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk000001c7))
+ )
+ )
+ (net sig00000811
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk000001c6))
+ )
+ )
+ (net sig00000812
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk000001c5))
+ )
+ )
+ (net sig00000813
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk000001c4))
+ )
+ )
+ (net sig00000814
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk000001c3))
+ )
+ )
+ (net sig00000815
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk000001c2))
+ )
+ )
+ (net sig00000816
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk000001c1))
+ )
+ )
+ (net sig00000817
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk000001c0))
+ )
+ )
+ (net sig00000818
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk000001bf))
+ )
+ )
+ (net sig00000819
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk000001be))
+ )
+ )
+ (net sig0000081a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk000001bd))
+ )
+ )
+ (net sig0000081b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk000001bc))
+ )
+ )
+ (net sig0000081c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk000001bb))
+ )
+ )
+ (net sig0000081d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk000001ba))
+ )
+ )
+ (net sig0000081e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk000001b9))
+ )
+ )
+ (net sig0000081f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk000001b8))
+ )
+ )
+ (net sig00000820
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk000001b7))
+ )
+ )
+ (net sig00000821
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk000001b6))
+ )
+ )
+ (net sig00000822
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk000001b5))
+ )
+ )
+ (net sig00000823
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk000001b4))
+ )
+ )
+ (net sig00000824
+ (joined
+ (portRef G (instanceRef blk000001b3))
+ (portRef A3 (instanceRef blk000001cc))
+ (portRef A3 (instanceRef blk000001cd))
+ (portRef A3 (instanceRef blk000001ce))
+ (portRef A3 (instanceRef blk000001cf))
+ (portRef A3 (instanceRef blk000001d0))
+ (portRef A3 (instanceRef blk000001d1))
+ (portRef A3 (instanceRef blk000001d2))
+ (portRef A3 (instanceRef blk000001d3))
+ (portRef A3 (instanceRef blk000001d4))
+ (portRef A3 (instanceRef blk000001d5))
+ (portRef A3 (instanceRef blk000001d6))
+ (portRef A3 (instanceRef blk000001d7))
+ (portRef A3 (instanceRef blk000001d8))
+ (portRef A3 (instanceRef blk000001d9))
+ (portRef A3 (instanceRef blk000001da))
+ (portRef A3 (instanceRef blk000001db))
+ (portRef A3 (instanceRef blk000001dc))
+ (portRef A3 (instanceRef blk000001dd))
+ (portRef A3 (instanceRef blk000001de))
+ (portRef A3 (instanceRef blk000001df))
+ (portRef A3 (instanceRef blk000001e0))
+ (portRef A3 (instanceRef blk000001e1))
+ (portRef A3 (instanceRef blk000001e2))
+ (portRef A3 (instanceRef blk000001e3))
+ )
+ )
+ (net sig00000825
+ (joined
+ (portRef D (instanceRef blk000001b4))
+ (portRef Q (instanceRef blk000001cd))
+ )
+ )
+ (net sig00000826
+ (joined
+ (portRef D (instanceRef blk000001b5))
+ (portRef Q (instanceRef blk000001ce))
+ )
+ )
+ (net sig00000827
+ (joined
+ (portRef D (instanceRef blk000001b6))
+ (portRef Q (instanceRef blk000001cc))
+ )
+ )
+ (net sig00000828
+ (joined
+ (portRef D (instanceRef blk000001b7))
+ (portRef Q (instanceRef blk000001d0))
+ )
+ )
+ (net sig00000829
+ (joined
+ (portRef D (instanceRef blk000001b8))
+ (portRef Q (instanceRef blk000001d1))
+ )
+ )
+ (net sig0000082a
+ (joined
+ (portRef D (instanceRef blk000001b9))
+ (portRef Q (instanceRef blk000001cf))
+ )
+ )
+ (net sig0000082b
+ (joined
+ (portRef D (instanceRef blk000001ba))
+ (portRef Q (instanceRef blk000001d3))
+ )
+ )
+ (net sig0000082c
+ (joined
+ (portRef D (instanceRef blk000001bb))
+ (portRef Q (instanceRef blk000001d4))
+ )
+ )
+ (net sig0000082d
+ (joined
+ (portRef D (instanceRef blk000001bc))
+ (portRef Q (instanceRef blk000001d2))
+ )
+ )
+ (net sig0000082e
+ (joined
+ (portRef D (instanceRef blk000001bd))
+ (portRef Q (instanceRef blk000001d6))
+ )
+ )
+ (net sig0000082f
+ (joined
+ (portRef D (instanceRef blk000001be))
+ (portRef Q (instanceRef blk000001d7))
+ )
+ )
+ (net sig00000830
+ (joined
+ (portRef D (instanceRef blk000001bf))
+ (portRef Q (instanceRef blk000001d5))
+ )
+ )
+ (net sig00000831
+ (joined
+ (portRef D (instanceRef blk000001c0))
+ (portRef Q (instanceRef blk000001d9))
+ )
+ )
+ (net sig00000832
+ (joined
+ (portRef D (instanceRef blk000001c1))
+ (portRef Q (instanceRef blk000001da))
+ )
+ )
+ (net sig00000833
+ (joined
+ (portRef D (instanceRef blk000001c2))
+ (portRef Q (instanceRef blk000001d8))
+ )
+ )
+ (net sig00000834
+ (joined
+ (portRef D (instanceRef blk000001c3))
+ (portRef Q (instanceRef blk000001dc))
+ )
+ )
+ (net sig00000835
+ (joined
+ (portRef D (instanceRef blk000001c4))
+ (portRef Q (instanceRef blk000001dd))
+ )
+ )
+ (net sig00000836
+ (joined
+ (portRef D (instanceRef blk000001c5))
+ (portRef Q (instanceRef blk000001db))
+ )
+ )
+ (net sig00000837
+ (joined
+ (portRef D (instanceRef blk000001c6))
+ (portRef Q (instanceRef blk000001df))
+ )
+ )
+ (net sig00000838
+ (joined
+ (portRef D (instanceRef blk000001c7))
+ (portRef Q (instanceRef blk000001e0))
+ )
+ )
+ (net sig00000839
+ (joined
+ (portRef D (instanceRef blk000001c8))
+ (portRef Q (instanceRef blk000001de))
+ )
+ )
+ (net sig0000083a
+ (joined
+ (portRef D (instanceRef blk000001c9))
+ (portRef Q (instanceRef blk000001e2))
+ )
+ )
+ (net sig0000083b
+ (joined
+ (portRef D (instanceRef blk000001ca))
+ (portRef Q (instanceRef blk000001e3))
+ )
+ )
+ (net sig0000083c
+ (joined
+ (portRef D (instanceRef blk000001cb))
+ (portRef Q (instanceRef blk000001e1))
+ )
+ )
+ (net sig0000083d
+ (joined
+ (portRef CE (instanceRef blk000001cc))
+ (portRef CE (instanceRef blk000001cd))
+ (portRef CE (instanceRef blk000001ce))
+ (portRef CE (instanceRef blk000001cf))
+ (portRef CE (instanceRef blk000001d0))
+ (portRef CE (instanceRef blk000001d1))
+ (portRef CE (instanceRef blk000001d2))
+ (portRef CE (instanceRef blk000001d3))
+ (portRef CE (instanceRef blk000001d4))
+ (portRef CE (instanceRef blk000001d5))
+ (portRef CE (instanceRef blk000001d6))
+ (portRef CE (instanceRef blk000001d7))
+ (portRef CE (instanceRef blk000001d8))
+ (portRef CE (instanceRef blk000001d9))
+ (portRef CE (instanceRef blk000001da))
+ (portRef CE (instanceRef blk000001db))
+ (portRef CE (instanceRef blk000001dc))
+ (portRef CE (instanceRef blk000001dd))
+ (portRef CE (instanceRef blk000001de))
+ (portRef CE (instanceRef blk000001df))
+ (portRef CE (instanceRef blk000001e0))
+ (portRef CE (instanceRef blk000001e1))
+ (portRef CE (instanceRef blk000001e2))
+ (portRef CE (instanceRef blk000001e3))
+ (portRef O (instanceRef blk000001e4))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO2_blk0000017f "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk00000180
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000181
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000182
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000183
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000184
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000185
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000186
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000187
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000188
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000189
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000018f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000190
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000191
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000192
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000193
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000194
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000195
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000196
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000197
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000198
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000199
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000019f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a1
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a3
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a5
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a7
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001a9
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ab
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ad
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001af
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000001b1
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000079e
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk00000199))
+ (portRef A2 (instanceRef blk0000019a))
+ (portRef A2 (instanceRef blk0000019b))
+ (portRef A2 (instanceRef blk0000019c))
+ (portRef A2 (instanceRef blk0000019d))
+ (portRef A2 (instanceRef blk0000019e))
+ (portRef A2 (instanceRef blk0000019f))
+ (portRef A2 (instanceRef blk000001a0))
+ (portRef A2 (instanceRef blk000001a1))
+ (portRef A2 (instanceRef blk000001a2))
+ (portRef A2 (instanceRef blk000001a3))
+ (portRef A2 (instanceRef blk000001a4))
+ (portRef A2 (instanceRef blk000001a5))
+ (portRef A2 (instanceRef blk000001a6))
+ (portRef A2 (instanceRef blk000001a7))
+ (portRef A2 (instanceRef blk000001a8))
+ (portRef A2 (instanceRef blk000001a9))
+ (portRef A2 (instanceRef blk000001aa))
+ (portRef A2 (instanceRef blk000001ab))
+ (portRef A2 (instanceRef blk000001ac))
+ (portRef A2 (instanceRef blk000001ad))
+ (portRef A2 (instanceRef blk000001ae))
+ (portRef A2 (instanceRef blk000001af))
+ (portRef A2 (instanceRef blk000001b0))
+ )
+ )
+ (net sig0000079f
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk00000199))
+ (portRef A1 (instanceRef blk0000019a))
+ (portRef A1 (instanceRef blk0000019b))
+ (portRef A1 (instanceRef blk0000019c))
+ (portRef A1 (instanceRef blk0000019d))
+ (portRef A1 (instanceRef blk0000019e))
+ (portRef A1 (instanceRef blk0000019f))
+ (portRef A1 (instanceRef blk000001a0))
+ (portRef A1 (instanceRef blk000001a1))
+ (portRef A1 (instanceRef blk000001a2))
+ (portRef A1 (instanceRef blk000001a3))
+ (portRef A1 (instanceRef blk000001a4))
+ (portRef A1 (instanceRef blk000001a5))
+ (portRef A1 (instanceRef blk000001a6))
+ (portRef A1 (instanceRef blk000001a7))
+ (portRef A1 (instanceRef blk000001a8))
+ (portRef A1 (instanceRef blk000001a9))
+ (portRef A1 (instanceRef blk000001aa))
+ (portRef A1 (instanceRef blk000001ab))
+ (portRef A1 (instanceRef blk000001ac))
+ (portRef A1 (instanceRef blk000001ad))
+ (portRef A1 (instanceRef blk000001ae))
+ (portRef A1 (instanceRef blk000001af))
+ (portRef A1 (instanceRef blk000001b0))
+ )
+ )
+ (net sig000007a0
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk00000199))
+ (portRef A0 (instanceRef blk0000019a))
+ (portRef A0 (instanceRef blk0000019b))
+ (portRef A0 (instanceRef blk0000019c))
+ (portRef A0 (instanceRef blk0000019d))
+ (portRef A0 (instanceRef blk0000019e))
+ (portRef A0 (instanceRef blk0000019f))
+ (portRef A0 (instanceRef blk000001a0))
+ (portRef A0 (instanceRef blk000001a1))
+ (portRef A0 (instanceRef blk000001a2))
+ (portRef A0 (instanceRef blk000001a3))
+ (portRef A0 (instanceRef blk000001a4))
+ (portRef A0 (instanceRef blk000001a5))
+ (portRef A0 (instanceRef blk000001a6))
+ (portRef A0 (instanceRef blk000001a7))
+ (portRef A0 (instanceRef blk000001a8))
+ (portRef A0 (instanceRef blk000001a9))
+ (portRef A0 (instanceRef blk000001aa))
+ (portRef A0 (instanceRef blk000001ab))
+ (portRef A0 (instanceRef blk000001ac))
+ (portRef A0 (instanceRef blk000001ad))
+ (portRef A0 (instanceRef blk000001ae))
+ (portRef A0 (instanceRef blk000001af))
+ (portRef A0 (instanceRef blk000001b0))
+ )
+ )
+ (net sig000007a1
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk000001ae))
+ )
+ )
+ (net sig000007a2
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk000001b0))
+ )
+ )
+ (net sig000007a3
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk000001af))
+ )
+ )
+ (net sig000007a4
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk000001ab))
+ )
+ )
+ (net sig000007a5
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk000001ad))
+ )
+ )
+ (net sig000007a6
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk000001ac))
+ )
+ )
+ (net sig000007a7
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk000001a8))
+ )
+ )
+ (net sig000007a8
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk000001aa))
+ )
+ )
+ (net sig000007a9
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk000001a9))
+ )
+ )
+ (net sig000007aa
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk000001a5))
+ )
+ )
+ (net sig000007ab
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk000001a7))
+ )
+ )
+ (net sig000007ac
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk000001a6))
+ )
+ )
+ (net sig000007ad
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk000001a2))
+ )
+ )
+ (net sig000007ae
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk000001a4))
+ )
+ )
+ (net sig000007af
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk000001a3))
+ )
+ )
+ (net sig000007b0
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000019f))
+ )
+ )
+ (net sig000007b1
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk000001a1))
+ )
+ )
+ (net sig000007b2
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk000001a0))
+ )
+ )
+ (net sig000007b3
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk0000019c))
+ )
+ )
+ (net sig000007b4
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000019e))
+ )
+ )
+ (net sig000007b5
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000019d))
+ )
+ )
+ (net sig000007b6
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000199))
+ )
+ )
+ (net sig000007b7
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk0000019b))
+ )
+ )
+ (net sig000007b8
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk0000019a))
+ )
+ )
+ (net sig000007b9
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk000001b1))
+ )
+ )
+ (net sig000007ba
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk00000181))
+ (portRef CE (instanceRef blk00000182))
+ (portRef CE (instanceRef blk00000183))
+ (portRef CE (instanceRef blk00000184))
+ (portRef CE (instanceRef blk00000185))
+ (portRef CE (instanceRef blk00000186))
+ (portRef CE (instanceRef blk00000187))
+ (portRef CE (instanceRef blk00000188))
+ (portRef CE (instanceRef blk00000189))
+ (portRef CE (instanceRef blk0000018a))
+ (portRef CE (instanceRef blk0000018b))
+ (portRef CE (instanceRef blk0000018c))
+ (portRef CE (instanceRef blk0000018d))
+ (portRef CE (instanceRef blk0000018e))
+ (portRef CE (instanceRef blk0000018f))
+ (portRef CE (instanceRef blk00000190))
+ (portRef CE (instanceRef blk00000191))
+ (portRef CE (instanceRef blk00000192))
+ (portRef CE (instanceRef blk00000193))
+ (portRef CE (instanceRef blk00000194))
+ (portRef CE (instanceRef blk00000195))
+ (portRef CE (instanceRef blk00000196))
+ (portRef CE (instanceRef blk00000197))
+ (portRef CE (instanceRef blk00000198))
+ (portRef I0 (instanceRef blk000001b1))
+ )
+ )
+ (net sig000007bb
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk00000181))
+ (portRef C (instanceRef blk00000182))
+ (portRef C (instanceRef blk00000183))
+ (portRef C (instanceRef blk00000184))
+ (portRef C (instanceRef blk00000185))
+ (portRef C (instanceRef blk00000186))
+ (portRef C (instanceRef blk00000187))
+ (portRef C (instanceRef blk00000188))
+ (portRef C (instanceRef blk00000189))
+ (portRef C (instanceRef blk0000018a))
+ (portRef C (instanceRef blk0000018b))
+ (portRef C (instanceRef blk0000018c))
+ (portRef C (instanceRef blk0000018d))
+ (portRef C (instanceRef blk0000018e))
+ (portRef C (instanceRef blk0000018f))
+ (portRef C (instanceRef blk00000190))
+ (portRef C (instanceRef blk00000191))
+ (portRef C (instanceRef blk00000192))
+ (portRef C (instanceRef blk00000193))
+ (portRef C (instanceRef blk00000194))
+ (portRef C (instanceRef blk00000195))
+ (portRef C (instanceRef blk00000196))
+ (portRef C (instanceRef blk00000197))
+ (portRef C (instanceRef blk00000198))
+ (portRef CLK (instanceRef blk00000199))
+ (portRef CLK (instanceRef blk0000019a))
+ (portRef CLK (instanceRef blk0000019b))
+ (portRef CLK (instanceRef blk0000019c))
+ (portRef CLK (instanceRef blk0000019d))
+ (portRef CLK (instanceRef blk0000019e))
+ (portRef CLK (instanceRef blk0000019f))
+ (portRef CLK (instanceRef blk000001a0))
+ (portRef CLK (instanceRef blk000001a1))
+ (portRef CLK (instanceRef blk000001a2))
+ (portRef CLK (instanceRef blk000001a3))
+ (portRef CLK (instanceRef blk000001a4))
+ (portRef CLK (instanceRef blk000001a5))
+ (portRef CLK (instanceRef blk000001a6))
+ (portRef CLK (instanceRef blk000001a7))
+ (portRef CLK (instanceRef blk000001a8))
+ (portRef CLK (instanceRef blk000001a9))
+ (portRef CLK (instanceRef blk000001aa))
+ (portRef CLK (instanceRef blk000001ab))
+ (portRef CLK (instanceRef blk000001ac))
+ (portRef CLK (instanceRef blk000001ad))
+ (portRef CLK (instanceRef blk000001ae))
+ (portRef CLK (instanceRef blk000001af))
+ (portRef CLK (instanceRef blk000001b0))
+ )
+ )
+ (net sig000007bc
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000198))
+ )
+ )
+ (net sig000007bd
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000197))
+ )
+ )
+ (net sig000007be
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000196))
+ )
+ )
+ (net sig000007bf
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000195))
+ )
+ )
+ (net sig000007c0
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000194))
+ )
+ )
+ (net sig000007c1
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000193))
+ )
+ )
+ (net sig000007c2
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk00000192))
+ )
+ )
+ (net sig000007c3
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk00000191))
+ )
+ )
+ (net sig000007c4
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk00000190))
+ )
+ )
+ (net sig000007c5
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000018f))
+ )
+ )
+ (net sig000007c6
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000018e))
+ )
+ )
+ (net sig000007c7
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000018d))
+ )
+ )
+ (net sig000007c8
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk0000018c))
+ )
+ )
+ (net sig000007c9
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk0000018b))
+ )
+ )
+ (net sig000007ca
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk0000018a))
+ )
+ )
+ (net sig000007cb
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000189))
+ )
+ )
+ (net sig000007cc
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000188))
+ )
+ )
+ (net sig000007cd
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000187))
+ )
+ )
+ (net sig000007ce
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000186))
+ )
+ )
+ (net sig000007cf
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000185))
+ )
+ )
+ (net sig000007d0
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000184))
+ )
+ )
+ (net sig000007d1
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000183))
+ )
+ )
+ (net sig000007d2
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk00000182))
+ )
+ )
+ (net sig000007d3
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk00000181))
+ )
+ )
+ (net sig000007d4
+ (joined
+ (portRef G (instanceRef blk00000180))
+ (portRef A3 (instanceRef blk00000199))
+ (portRef A3 (instanceRef blk0000019a))
+ (portRef A3 (instanceRef blk0000019b))
+ (portRef A3 (instanceRef blk0000019c))
+ (portRef A3 (instanceRef blk0000019d))
+ (portRef A3 (instanceRef blk0000019e))
+ (portRef A3 (instanceRef blk0000019f))
+ (portRef A3 (instanceRef blk000001a0))
+ (portRef A3 (instanceRef blk000001a1))
+ (portRef A3 (instanceRef blk000001a2))
+ (portRef A3 (instanceRef blk000001a3))
+ (portRef A3 (instanceRef blk000001a4))
+ (portRef A3 (instanceRef blk000001a5))
+ (portRef A3 (instanceRef blk000001a6))
+ (portRef A3 (instanceRef blk000001a7))
+ (portRef A3 (instanceRef blk000001a8))
+ (portRef A3 (instanceRef blk000001a9))
+ (portRef A3 (instanceRef blk000001aa))
+ (portRef A3 (instanceRef blk000001ab))
+ (portRef A3 (instanceRef blk000001ac))
+ (portRef A3 (instanceRef blk000001ad))
+ (portRef A3 (instanceRef blk000001ae))
+ (portRef A3 (instanceRef blk000001af))
+ (portRef A3 (instanceRef blk000001b0))
+ )
+ )
+ (net sig000007d5
+ (joined
+ (portRef D (instanceRef blk00000181))
+ (portRef Q (instanceRef blk0000019a))
+ )
+ )
+ (net sig000007d6
+ (joined
+ (portRef D (instanceRef blk00000182))
+ (portRef Q (instanceRef blk0000019b))
+ )
+ )
+ (net sig000007d7
+ (joined
+ (portRef D (instanceRef blk00000183))
+ (portRef Q (instanceRef blk00000199))
+ )
+ )
+ (net sig000007d8
+ (joined
+ (portRef D (instanceRef blk00000184))
+ (portRef Q (instanceRef blk0000019d))
+ )
+ )
+ (net sig000007d9
+ (joined
+ (portRef D (instanceRef blk00000185))
+ (portRef Q (instanceRef blk0000019e))
+ )
+ )
+ (net sig000007da
+ (joined
+ (portRef D (instanceRef blk00000186))
+ (portRef Q (instanceRef blk0000019c))
+ )
+ )
+ (net sig000007db
+ (joined
+ (portRef D (instanceRef blk00000187))
+ (portRef Q (instanceRef blk000001a0))
+ )
+ )
+ (net sig000007dc
+ (joined
+ (portRef D (instanceRef blk00000188))
+ (portRef Q (instanceRef blk000001a1))
+ )
+ )
+ (net sig000007dd
+ (joined
+ (portRef D (instanceRef blk00000189))
+ (portRef Q (instanceRef blk0000019f))
+ )
+ )
+ (net sig000007de
+ (joined
+ (portRef D (instanceRef blk0000018a))
+ (portRef Q (instanceRef blk000001a3))
+ )
+ )
+ (net sig000007df
+ (joined
+ (portRef D (instanceRef blk0000018b))
+ (portRef Q (instanceRef blk000001a4))
+ )
+ )
+ (net sig000007e0
+ (joined
+ (portRef D (instanceRef blk0000018c))
+ (portRef Q (instanceRef blk000001a2))
+ )
+ )
+ (net sig000007e1
+ (joined
+ (portRef D (instanceRef blk0000018d))
+ (portRef Q (instanceRef blk000001a6))
+ )
+ )
+ (net sig000007e2
+ (joined
+ (portRef D (instanceRef blk0000018e))
+ (portRef Q (instanceRef blk000001a7))
+ )
+ )
+ (net sig000007e3
+ (joined
+ (portRef D (instanceRef blk0000018f))
+ (portRef Q (instanceRef blk000001a5))
+ )
+ )
+ (net sig000007e4
+ (joined
+ (portRef D (instanceRef blk00000190))
+ (portRef Q (instanceRef blk000001a9))
+ )
+ )
+ (net sig000007e5
+ (joined
+ (portRef D (instanceRef blk00000191))
+ (portRef Q (instanceRef blk000001aa))
+ )
+ )
+ (net sig000007e6
+ (joined
+ (portRef D (instanceRef blk00000192))
+ (portRef Q (instanceRef blk000001a8))
+ )
+ )
+ (net sig000007e7
+ (joined
+ (portRef D (instanceRef blk00000193))
+ (portRef Q (instanceRef blk000001ac))
+ )
+ )
+ (net sig000007e8
+ (joined
+ (portRef D (instanceRef blk00000194))
+ (portRef Q (instanceRef blk000001ad))
+ )
+ )
+ (net sig000007e9
+ (joined
+ (portRef D (instanceRef blk00000195))
+ (portRef Q (instanceRef blk000001ab))
+ )
+ )
+ (net sig000007ea
+ (joined
+ (portRef D (instanceRef blk00000196))
+ (portRef Q (instanceRef blk000001af))
+ )
+ )
+ (net sig000007eb
+ (joined
+ (portRef D (instanceRef blk00000197))
+ (portRef Q (instanceRef blk000001b0))
+ )
+ )
+ (net sig000007ec
+ (joined
+ (portRef D (instanceRef blk00000198))
+ (portRef Q (instanceRef blk000001ae))
+ )
+ )
+ (net sig000007ed
+ (joined
+ (portRef CE (instanceRef blk00000199))
+ (portRef CE (instanceRef blk0000019a))
+ (portRef CE (instanceRef blk0000019b))
+ (portRef CE (instanceRef blk0000019c))
+ (portRef CE (instanceRef blk0000019d))
+ (portRef CE (instanceRef blk0000019e))
+ (portRef CE (instanceRef blk0000019f))
+ (portRef CE (instanceRef blk000001a0))
+ (portRef CE (instanceRef blk000001a1))
+ (portRef CE (instanceRef blk000001a2))
+ (portRef CE (instanceRef blk000001a3))
+ (portRef CE (instanceRef blk000001a4))
+ (portRef CE (instanceRef blk000001a5))
+ (portRef CE (instanceRef blk000001a6))
+ (portRef CE (instanceRef blk000001a7))
+ (portRef CE (instanceRef blk000001a8))
+ (portRef CE (instanceRef blk000001a9))
+ (portRef CE (instanceRef blk000001aa))
+ (portRef CE (instanceRef blk000001ab))
+ (portRef CE (instanceRef blk000001ac))
+ (portRef CE (instanceRef blk000001ad))
+ (portRef CE (instanceRef blk000001ae))
+ (portRef CE (instanceRef blk000001af))
+ (portRef CE (instanceRef blk000001b0))
+ (portRef O (instanceRef blk000001b1))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_NO1_blk0000014c "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000014d
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000014e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000014f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000150
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000151
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000152
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000153
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000154
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000155
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000156
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000157
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000158
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000159
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000015f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000160
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000161
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000162
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000163
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000164
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000165
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000166
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000167
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000168
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000169
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000016f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000170
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000171
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000172
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000173
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000174
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000175
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000176
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000177
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000178
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000179
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000017e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig0000074e
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk00000166))
+ (portRef A2 (instanceRef blk00000167))
+ (portRef A2 (instanceRef blk00000168))
+ (portRef A2 (instanceRef blk00000169))
+ (portRef A2 (instanceRef blk0000016a))
+ (portRef A2 (instanceRef blk0000016b))
+ (portRef A2 (instanceRef blk0000016c))
+ (portRef A2 (instanceRef blk0000016d))
+ (portRef A2 (instanceRef blk0000016e))
+ (portRef A2 (instanceRef blk0000016f))
+ (portRef A2 (instanceRef blk00000170))
+ (portRef A2 (instanceRef blk00000171))
+ (portRef A2 (instanceRef blk00000172))
+ (portRef A2 (instanceRef blk00000173))
+ (portRef A2 (instanceRef blk00000174))
+ (portRef A2 (instanceRef blk00000175))
+ (portRef A2 (instanceRef blk00000176))
+ (portRef A2 (instanceRef blk00000177))
+ (portRef A2 (instanceRef blk00000178))
+ (portRef A2 (instanceRef blk00000179))
+ (portRef A2 (instanceRef blk0000017a))
+ (portRef A2 (instanceRef blk0000017b))
+ (portRef A2 (instanceRef blk0000017c))
+ (portRef A2 (instanceRef blk0000017d))
+ )
+ )
+ (net sig0000074f
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk00000166))
+ (portRef A1 (instanceRef blk00000167))
+ (portRef A1 (instanceRef blk00000168))
+ (portRef A1 (instanceRef blk00000169))
+ (portRef A1 (instanceRef blk0000016a))
+ (portRef A1 (instanceRef blk0000016b))
+ (portRef A1 (instanceRef blk0000016c))
+ (portRef A1 (instanceRef blk0000016d))
+ (portRef A1 (instanceRef blk0000016e))
+ (portRef A1 (instanceRef blk0000016f))
+ (portRef A1 (instanceRef blk00000170))
+ (portRef A1 (instanceRef blk00000171))
+ (portRef A1 (instanceRef blk00000172))
+ (portRef A1 (instanceRef blk00000173))
+ (portRef A1 (instanceRef blk00000174))
+ (portRef A1 (instanceRef blk00000175))
+ (portRef A1 (instanceRef blk00000176))
+ (portRef A1 (instanceRef blk00000177))
+ (portRef A1 (instanceRef blk00000178))
+ (portRef A1 (instanceRef blk00000179))
+ (portRef A1 (instanceRef blk0000017a))
+ (portRef A1 (instanceRef blk0000017b))
+ (portRef A1 (instanceRef blk0000017c))
+ (portRef A1 (instanceRef blk0000017d))
+ )
+ )
+ (net sig00000750
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk00000166))
+ (portRef A0 (instanceRef blk00000167))
+ (portRef A0 (instanceRef blk00000168))
+ (portRef A0 (instanceRef blk00000169))
+ (portRef A0 (instanceRef blk0000016a))
+ (portRef A0 (instanceRef blk0000016b))
+ (portRef A0 (instanceRef blk0000016c))
+ (portRef A0 (instanceRef blk0000016d))
+ (portRef A0 (instanceRef blk0000016e))
+ (portRef A0 (instanceRef blk0000016f))
+ (portRef A0 (instanceRef blk00000170))
+ (portRef A0 (instanceRef blk00000171))
+ (portRef A0 (instanceRef blk00000172))
+ (portRef A0 (instanceRef blk00000173))
+ (portRef A0 (instanceRef blk00000174))
+ (portRef A0 (instanceRef blk00000175))
+ (portRef A0 (instanceRef blk00000176))
+ (portRef A0 (instanceRef blk00000177))
+ (portRef A0 (instanceRef blk00000178))
+ (portRef A0 (instanceRef blk00000179))
+ (portRef A0 (instanceRef blk0000017a))
+ (portRef A0 (instanceRef blk0000017b))
+ (portRef A0 (instanceRef blk0000017c))
+ (portRef A0 (instanceRef blk0000017d))
+ )
+ )
+ (net sig00000751
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk0000017b))
+ )
+ )
+ (net sig00000752
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000017d))
+ )
+ )
+ (net sig00000753
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk0000017c))
+ )
+ )
+ (net sig00000754
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000178))
+ )
+ )
+ (net sig00000755
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk0000017a))
+ )
+ )
+ (net sig00000756
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000179))
+ )
+ )
+ (net sig00000757
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000175))
+ )
+ )
+ (net sig00000758
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000177))
+ )
+ )
+ (net sig00000759
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000176))
+ )
+ )
+ (net sig0000075a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk00000172))
+ )
+ )
+ (net sig0000075b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000174))
+ )
+ )
+ (net sig0000075c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000173))
+ )
+ )
+ (net sig0000075d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000016f))
+ )
+ )
+ (net sig0000075e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk00000171))
+ )
+ )
+ (net sig0000075f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk00000170))
+ )
+ )
+ (net sig00000760
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk0000016c))
+ )
+ )
+ (net sig00000761
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000016e))
+ )
+ )
+ (net sig00000762
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000016d))
+ )
+ )
+ (net sig00000763
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000169))
+ )
+ )
+ (net sig00000764
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk0000016b))
+ )
+ )
+ (net sig00000765
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk0000016a))
+ )
+ )
+ (net sig00000766
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000166))
+ )
+ )
+ (net sig00000767
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000168))
+ )
+ )
+ (net sig00000768
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000167))
+ )
+ )
+ (net sig00000769
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000017e))
+ )
+ )
+ (net sig0000076a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000014e))
+ (portRef CE (instanceRef blk0000014f))
+ (portRef CE (instanceRef blk00000150))
+ (portRef CE (instanceRef blk00000151))
+ (portRef CE (instanceRef blk00000152))
+ (portRef CE (instanceRef blk00000153))
+ (portRef CE (instanceRef blk00000154))
+ (portRef CE (instanceRef blk00000155))
+ (portRef CE (instanceRef blk00000156))
+ (portRef CE (instanceRef blk00000157))
+ (portRef CE (instanceRef blk00000158))
+ (portRef CE (instanceRef blk00000159))
+ (portRef CE (instanceRef blk0000015a))
+ (portRef CE (instanceRef blk0000015b))
+ (portRef CE (instanceRef blk0000015c))
+ (portRef CE (instanceRef blk0000015d))
+ (portRef CE (instanceRef blk0000015e))
+ (portRef CE (instanceRef blk0000015f))
+ (portRef CE (instanceRef blk00000160))
+ (portRef CE (instanceRef blk00000161))
+ (portRef CE (instanceRef blk00000162))
+ (portRef CE (instanceRef blk00000163))
+ (portRef CE (instanceRef blk00000164))
+ (portRef CE (instanceRef blk00000165))
+ (portRef I0 (instanceRef blk0000017e))
+ )
+ )
+ (net sig0000076b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000014e))
+ (portRef C (instanceRef blk0000014f))
+ (portRef C (instanceRef blk00000150))
+ (portRef C (instanceRef blk00000151))
+ (portRef C (instanceRef blk00000152))
+ (portRef C (instanceRef blk00000153))
+ (portRef C (instanceRef blk00000154))
+ (portRef C (instanceRef blk00000155))
+ (portRef C (instanceRef blk00000156))
+ (portRef C (instanceRef blk00000157))
+ (portRef C (instanceRef blk00000158))
+ (portRef C (instanceRef blk00000159))
+ (portRef C (instanceRef blk0000015a))
+ (portRef C (instanceRef blk0000015b))
+ (portRef C (instanceRef blk0000015c))
+ (portRef C (instanceRef blk0000015d))
+ (portRef C (instanceRef blk0000015e))
+ (portRef C (instanceRef blk0000015f))
+ (portRef C (instanceRef blk00000160))
+ (portRef C (instanceRef blk00000161))
+ (portRef C (instanceRef blk00000162))
+ (portRef C (instanceRef blk00000163))
+ (portRef C (instanceRef blk00000164))
+ (portRef C (instanceRef blk00000165))
+ (portRef CLK (instanceRef blk00000166))
+ (portRef CLK (instanceRef blk00000167))
+ (portRef CLK (instanceRef blk00000168))
+ (portRef CLK (instanceRef blk00000169))
+ (portRef CLK (instanceRef blk0000016a))
+ (portRef CLK (instanceRef blk0000016b))
+ (portRef CLK (instanceRef blk0000016c))
+ (portRef CLK (instanceRef blk0000016d))
+ (portRef CLK (instanceRef blk0000016e))
+ (portRef CLK (instanceRef blk0000016f))
+ (portRef CLK (instanceRef blk00000170))
+ (portRef CLK (instanceRef blk00000171))
+ (portRef CLK (instanceRef blk00000172))
+ (portRef CLK (instanceRef blk00000173))
+ (portRef CLK (instanceRef blk00000174))
+ (portRef CLK (instanceRef blk00000175))
+ (portRef CLK (instanceRef blk00000176))
+ (portRef CLK (instanceRef blk00000177))
+ (portRef CLK (instanceRef blk00000178))
+ (portRef CLK (instanceRef blk00000179))
+ (portRef CLK (instanceRef blk0000017a))
+ (portRef CLK (instanceRef blk0000017b))
+ (portRef CLK (instanceRef blk0000017c))
+ (portRef CLK (instanceRef blk0000017d))
+ )
+ )
+ (net sig0000076c
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000165))
+ )
+ )
+ (net sig0000076d
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000164))
+ )
+ )
+ (net sig0000076e
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000163))
+ )
+ )
+ (net sig0000076f
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk00000162))
+ )
+ )
+ (net sig00000770
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk00000161))
+ )
+ )
+ (net sig00000771
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk00000160))
+ )
+ )
+ (net sig00000772
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000015f))
+ )
+ )
+ (net sig00000773
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000015e))
+ )
+ )
+ (net sig00000774
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000015d))
+ )
+ )
+ (net sig00000775
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk0000015c))
+ )
+ )
+ (net sig00000776
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk0000015b))
+ )
+ )
+ (net sig00000777
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk0000015a))
+ )
+ )
+ (net sig00000778
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000159))
+ )
+ )
+ (net sig00000779
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000158))
+ )
+ )
+ (net sig0000077a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000157))
+ )
+ )
+ (net sig0000077b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000156))
+ )
+ )
+ (net sig0000077c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000155))
+ )
+ )
+ (net sig0000077d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000154))
+ )
+ )
+ (net sig0000077e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000153))
+ )
+ )
+ (net sig0000077f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk00000152))
+ )
+ )
+ (net sig00000780
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk00000151))
+ )
+ )
+ (net sig00000781
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk00000150))
+ )
+ )
+ (net sig00000782
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000014f))
+ )
+ )
+ (net sig00000783
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000014e))
+ )
+ )
+ (net sig00000784
+ (joined
+ (portRef G (instanceRef blk0000014d))
+ (portRef A3 (instanceRef blk00000166))
+ (portRef A3 (instanceRef blk00000167))
+ (portRef A3 (instanceRef blk00000168))
+ (portRef A3 (instanceRef blk00000169))
+ (portRef A3 (instanceRef blk0000016a))
+ (portRef A3 (instanceRef blk0000016b))
+ (portRef A3 (instanceRef blk0000016c))
+ (portRef A3 (instanceRef blk0000016d))
+ (portRef A3 (instanceRef blk0000016e))
+ (portRef A3 (instanceRef blk0000016f))
+ (portRef A3 (instanceRef blk00000170))
+ (portRef A3 (instanceRef blk00000171))
+ (portRef A3 (instanceRef blk00000172))
+ (portRef A3 (instanceRef blk00000173))
+ (portRef A3 (instanceRef blk00000174))
+ (portRef A3 (instanceRef blk00000175))
+ (portRef A3 (instanceRef blk00000176))
+ (portRef A3 (instanceRef blk00000177))
+ (portRef A3 (instanceRef blk00000178))
+ (portRef A3 (instanceRef blk00000179))
+ (portRef A3 (instanceRef blk0000017a))
+ (portRef A3 (instanceRef blk0000017b))
+ (portRef A3 (instanceRef blk0000017c))
+ (portRef A3 (instanceRef blk0000017d))
+ )
+ )
+ (net sig00000785
+ (joined
+ (portRef D (instanceRef blk0000014e))
+ (portRef Q (instanceRef blk00000167))
+ )
+ )
+ (net sig00000786
+ (joined
+ (portRef D (instanceRef blk0000014f))
+ (portRef Q (instanceRef blk00000168))
+ )
+ )
+ (net sig00000787
+ (joined
+ (portRef D (instanceRef blk00000150))
+ (portRef Q (instanceRef blk00000166))
+ )
+ )
+ (net sig00000788
+ (joined
+ (portRef D (instanceRef blk00000151))
+ (portRef Q (instanceRef blk0000016a))
+ )
+ )
+ (net sig00000789
+ (joined
+ (portRef D (instanceRef blk00000152))
+ (portRef Q (instanceRef blk0000016b))
+ )
+ )
+ (net sig0000078a
+ (joined
+ (portRef D (instanceRef blk00000153))
+ (portRef Q (instanceRef blk00000169))
+ )
+ )
+ (net sig0000078b
+ (joined
+ (portRef D (instanceRef blk00000154))
+ (portRef Q (instanceRef blk0000016d))
+ )
+ )
+ (net sig0000078c
+ (joined
+ (portRef D (instanceRef blk00000155))
+ (portRef Q (instanceRef blk0000016e))
+ )
+ )
+ (net sig0000078d
+ (joined
+ (portRef D (instanceRef blk00000156))
+ (portRef Q (instanceRef blk0000016c))
+ )
+ )
+ (net sig0000078e
+ (joined
+ (portRef D (instanceRef blk00000157))
+ (portRef Q (instanceRef blk00000170))
+ )
+ )
+ (net sig0000078f
+ (joined
+ (portRef D (instanceRef blk00000158))
+ (portRef Q (instanceRef blk00000171))
+ )
+ )
+ (net sig00000790
+ (joined
+ (portRef D (instanceRef blk00000159))
+ (portRef Q (instanceRef blk0000016f))
+ )
+ )
+ (net sig00000791
+ (joined
+ (portRef D (instanceRef blk0000015a))
+ (portRef Q (instanceRef blk00000173))
+ )
+ )
+ (net sig00000792
+ (joined
+ (portRef D (instanceRef blk0000015b))
+ (portRef Q (instanceRef blk00000174))
+ )
+ )
+ (net sig00000793
+ (joined
+ (portRef D (instanceRef blk0000015c))
+ (portRef Q (instanceRef blk00000172))
+ )
+ )
+ (net sig00000794
+ (joined
+ (portRef D (instanceRef blk0000015d))
+ (portRef Q (instanceRef blk00000176))
+ )
+ )
+ (net sig00000795
+ (joined
+ (portRef D (instanceRef blk0000015e))
+ (portRef Q (instanceRef blk00000177))
+ )
+ )
+ (net sig00000796
+ (joined
+ (portRef D (instanceRef blk0000015f))
+ (portRef Q (instanceRef blk00000175))
+ )
+ )
+ (net sig00000797
+ (joined
+ (portRef D (instanceRef blk00000160))
+ (portRef Q (instanceRef blk00000179))
+ )
+ )
+ (net sig00000798
+ (joined
+ (portRef D (instanceRef blk00000161))
+ (portRef Q (instanceRef blk0000017a))
+ )
+ )
+ (net sig00000799
+ (joined
+ (portRef D (instanceRef blk00000162))
+ (portRef Q (instanceRef blk00000178))
+ )
+ )
+ (net sig0000079a
+ (joined
+ (portRef D (instanceRef blk00000163))
+ (portRef Q (instanceRef blk0000017c))
+ )
+ )
+ (net sig0000079b
+ (joined
+ (portRef D (instanceRef blk00000164))
+ (portRef Q (instanceRef blk0000017d))
+ )
+ )
+ (net sig0000079c
+ (joined
+ (portRef D (instanceRef blk00000165))
+ (portRef Q (instanceRef blk0000017b))
+ )
+ )
+ (net sig0000079d
+ (joined
+ (portRef CE (instanceRef blk00000166))
+ (portRef CE (instanceRef blk00000167))
+ (portRef CE (instanceRef blk00000168))
+ (portRef CE (instanceRef blk00000169))
+ (portRef CE (instanceRef blk0000016a))
+ (portRef CE (instanceRef blk0000016b))
+ (portRef CE (instanceRef blk0000016c))
+ (portRef CE (instanceRef blk0000016d))
+ (portRef CE (instanceRef blk0000016e))
+ (portRef CE (instanceRef blk0000016f))
+ (portRef CE (instanceRef blk00000170))
+ (portRef CE (instanceRef blk00000171))
+ (portRef CE (instanceRef blk00000172))
+ (portRef CE (instanceRef blk00000173))
+ (portRef CE (instanceRef blk00000174))
+ (portRef CE (instanceRef blk00000175))
+ (portRef CE (instanceRef blk00000176))
+ (portRef CE (instanceRef blk00000177))
+ (portRef CE (instanceRef blk00000178))
+ (portRef CE (instanceRef blk00000179))
+ (portRef CE (instanceRef blk0000017a))
+ (portRef CE (instanceRef blk0000017b))
+ (portRef CE (instanceRef blk0000017c))
+ (portRef CE (instanceRef blk0000017d))
+ (portRef O (instanceRef blk0000017e))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename sp_ram_blk00000119 "sp_ram")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WE
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDR "ADDR<2:0>") 3)
+ (direction INPUT))
+ (port (array (rename DATA_IN "DATA_IN<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename DATA_OUT "DATA_OUT<23:0>") 24)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000011a
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000011b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000011f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000120
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000121
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000122
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000123
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000124
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000125
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000126
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000127
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000128
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000129
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000012f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000130
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000131
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000132
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000133
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000134
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000135
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000136
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000137
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000138
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000139
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013b
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013d
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000013f
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000140
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000141
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000142
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000143
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000144
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000145
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000146
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000147
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000148
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000149
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000014b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig000006fe
+ (joined
+ (portRef (member ADDR 0))
+ (portRef A2 (instanceRef blk00000133))
+ (portRef A2 (instanceRef blk00000134))
+ (portRef A2 (instanceRef blk00000135))
+ (portRef A2 (instanceRef blk00000136))
+ (portRef A2 (instanceRef blk00000137))
+ (portRef A2 (instanceRef blk00000138))
+ (portRef A2 (instanceRef blk00000139))
+ (portRef A2 (instanceRef blk0000013a))
+ (portRef A2 (instanceRef blk0000013b))
+ (portRef A2 (instanceRef blk0000013c))
+ (portRef A2 (instanceRef blk0000013d))
+ (portRef A2 (instanceRef blk0000013e))
+ (portRef A2 (instanceRef blk0000013f))
+ (portRef A2 (instanceRef blk00000140))
+ (portRef A2 (instanceRef blk00000141))
+ (portRef A2 (instanceRef blk00000142))
+ (portRef A2 (instanceRef blk00000143))
+ (portRef A2 (instanceRef blk00000144))
+ (portRef A2 (instanceRef blk00000145))
+ (portRef A2 (instanceRef blk00000146))
+ (portRef A2 (instanceRef blk00000147))
+ (portRef A2 (instanceRef blk00000148))
+ (portRef A2 (instanceRef blk00000149))
+ (portRef A2 (instanceRef blk0000014a))
+ )
+ )
+ (net sig000006ff
+ (joined
+ (portRef (member ADDR 1))
+ (portRef A1 (instanceRef blk00000133))
+ (portRef A1 (instanceRef blk00000134))
+ (portRef A1 (instanceRef blk00000135))
+ (portRef A1 (instanceRef blk00000136))
+ (portRef A1 (instanceRef blk00000137))
+ (portRef A1 (instanceRef blk00000138))
+ (portRef A1 (instanceRef blk00000139))
+ (portRef A1 (instanceRef blk0000013a))
+ (portRef A1 (instanceRef blk0000013b))
+ (portRef A1 (instanceRef blk0000013c))
+ (portRef A1 (instanceRef blk0000013d))
+ (portRef A1 (instanceRef blk0000013e))
+ (portRef A1 (instanceRef blk0000013f))
+ (portRef A1 (instanceRef blk00000140))
+ (portRef A1 (instanceRef blk00000141))
+ (portRef A1 (instanceRef blk00000142))
+ (portRef A1 (instanceRef blk00000143))
+ (portRef A1 (instanceRef blk00000144))
+ (portRef A1 (instanceRef blk00000145))
+ (portRef A1 (instanceRef blk00000146))
+ (portRef A1 (instanceRef blk00000147))
+ (portRef A1 (instanceRef blk00000148))
+ (portRef A1 (instanceRef blk00000149))
+ (portRef A1 (instanceRef blk0000014a))
+ )
+ )
+ (net sig00000700
+ (joined
+ (portRef (member ADDR 2))
+ (portRef A0 (instanceRef blk00000133))
+ (portRef A0 (instanceRef blk00000134))
+ (portRef A0 (instanceRef blk00000135))
+ (portRef A0 (instanceRef blk00000136))
+ (portRef A0 (instanceRef blk00000137))
+ (portRef A0 (instanceRef blk00000138))
+ (portRef A0 (instanceRef blk00000139))
+ (portRef A0 (instanceRef blk0000013a))
+ (portRef A0 (instanceRef blk0000013b))
+ (portRef A0 (instanceRef blk0000013c))
+ (portRef A0 (instanceRef blk0000013d))
+ (portRef A0 (instanceRef blk0000013e))
+ (portRef A0 (instanceRef blk0000013f))
+ (portRef A0 (instanceRef blk00000140))
+ (portRef A0 (instanceRef blk00000141))
+ (portRef A0 (instanceRef blk00000142))
+ (portRef A0 (instanceRef blk00000143))
+ (portRef A0 (instanceRef blk00000144))
+ (portRef A0 (instanceRef blk00000145))
+ (portRef A0 (instanceRef blk00000146))
+ (portRef A0 (instanceRef blk00000147))
+ (portRef A0 (instanceRef blk00000148))
+ (portRef A0 (instanceRef blk00000149))
+ (portRef A0 (instanceRef blk0000014a))
+ )
+ )
+ (net sig00000701
+ (joined
+ (portRef (member DATA_IN 0))
+ (portRef D (instanceRef blk00000148))
+ )
+ )
+ (net sig00000702
+ (joined
+ (portRef (member DATA_IN 1))
+ (portRef D (instanceRef blk0000014a))
+ )
+ )
+ (net sig00000703
+ (joined
+ (portRef (member DATA_IN 2))
+ (portRef D (instanceRef blk00000149))
+ )
+ )
+ (net sig00000704
+ (joined
+ (portRef (member DATA_IN 3))
+ (portRef D (instanceRef blk00000145))
+ )
+ )
+ (net sig00000705
+ (joined
+ (portRef (member DATA_IN 4))
+ (portRef D (instanceRef blk00000147))
+ )
+ )
+ (net sig00000706
+ (joined
+ (portRef (member DATA_IN 5))
+ (portRef D (instanceRef blk00000146))
+ )
+ )
+ (net sig00000707
+ (joined
+ (portRef (member DATA_IN 6))
+ (portRef D (instanceRef blk00000142))
+ )
+ )
+ (net sig00000708
+ (joined
+ (portRef (member DATA_IN 7))
+ (portRef D (instanceRef blk00000144))
+ )
+ )
+ (net sig00000709
+ (joined
+ (portRef (member DATA_IN 8))
+ (portRef D (instanceRef blk00000143))
+ )
+ )
+ (net sig0000070a
+ (joined
+ (portRef (member DATA_IN 9))
+ (portRef D (instanceRef blk0000013f))
+ )
+ )
+ (net sig0000070b
+ (joined
+ (portRef (member DATA_IN 10))
+ (portRef D (instanceRef blk00000141))
+ )
+ )
+ (net sig0000070c
+ (joined
+ (portRef (member DATA_IN 11))
+ (portRef D (instanceRef blk00000140))
+ )
+ )
+ (net sig0000070d
+ (joined
+ (portRef (member DATA_IN 12))
+ (portRef D (instanceRef blk0000013c))
+ )
+ )
+ (net sig0000070e
+ (joined
+ (portRef (member DATA_IN 13))
+ (portRef D (instanceRef blk0000013e))
+ )
+ )
+ (net sig0000070f
+ (joined
+ (portRef (member DATA_IN 14))
+ (portRef D (instanceRef blk0000013d))
+ )
+ )
+ (net sig00000710
+ (joined
+ (portRef (member DATA_IN 15))
+ (portRef D (instanceRef blk00000139))
+ )
+ )
+ (net sig00000711
+ (joined
+ (portRef (member DATA_IN 16))
+ (portRef D (instanceRef blk0000013b))
+ )
+ )
+ (net sig00000712
+ (joined
+ (portRef (member DATA_IN 17))
+ (portRef D (instanceRef blk0000013a))
+ )
+ )
+ (net sig00000713
+ (joined
+ (portRef (member DATA_IN 18))
+ (portRef D (instanceRef blk00000136))
+ )
+ )
+ (net sig00000714
+ (joined
+ (portRef (member DATA_IN 19))
+ (portRef D (instanceRef blk00000138))
+ )
+ )
+ (net sig00000715
+ (joined
+ (portRef (member DATA_IN 20))
+ (portRef D (instanceRef blk00000137))
+ )
+ )
+ (net sig00000716
+ (joined
+ (portRef (member DATA_IN 21))
+ (portRef D (instanceRef blk00000133))
+ )
+ )
+ (net sig00000717
+ (joined
+ (portRef (member DATA_IN 22))
+ (portRef D (instanceRef blk00000135))
+ )
+ )
+ (net sig00000718
+ (joined
+ (portRef (member DATA_IN 23))
+ (portRef D (instanceRef blk00000134))
+ )
+ )
+ (net sig00000719
+ (joined
+ (portRef WE)
+ (portRef I1 (instanceRef blk0000014b))
+ )
+ )
+ (net sig0000071a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000011b))
+ (portRef CE (instanceRef blk0000011c))
+ (portRef CE (instanceRef blk0000011d))
+ (portRef CE (instanceRef blk0000011e))
+ (portRef CE (instanceRef blk0000011f))
+ (portRef CE (instanceRef blk00000120))
+ (portRef CE (instanceRef blk00000121))
+ (portRef CE (instanceRef blk00000122))
+ (portRef CE (instanceRef blk00000123))
+ (portRef CE (instanceRef blk00000124))
+ (portRef CE (instanceRef blk00000125))
+ (portRef CE (instanceRef blk00000126))
+ (portRef CE (instanceRef blk00000127))
+ (portRef CE (instanceRef blk00000128))
+ (portRef CE (instanceRef blk00000129))
+ (portRef CE (instanceRef blk0000012a))
+ (portRef CE (instanceRef blk0000012b))
+ (portRef CE (instanceRef blk0000012c))
+ (portRef CE (instanceRef blk0000012d))
+ (portRef CE (instanceRef blk0000012e))
+ (portRef CE (instanceRef blk0000012f))
+ (portRef CE (instanceRef blk00000130))
+ (portRef CE (instanceRef blk00000131))
+ (portRef CE (instanceRef blk00000132))
+ (portRef I0 (instanceRef blk0000014b))
+ )
+ )
+ (net sig0000071b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000011b))
+ (portRef C (instanceRef blk0000011c))
+ (portRef C (instanceRef blk0000011d))
+ (portRef C (instanceRef blk0000011e))
+ (portRef C (instanceRef blk0000011f))
+ (portRef C (instanceRef blk00000120))
+ (portRef C (instanceRef blk00000121))
+ (portRef C (instanceRef blk00000122))
+ (portRef C (instanceRef blk00000123))
+ (portRef C (instanceRef blk00000124))
+ (portRef C (instanceRef blk00000125))
+ (portRef C (instanceRef blk00000126))
+ (portRef C (instanceRef blk00000127))
+ (portRef C (instanceRef blk00000128))
+ (portRef C (instanceRef blk00000129))
+ (portRef C (instanceRef blk0000012a))
+ (portRef C (instanceRef blk0000012b))
+ (portRef C (instanceRef blk0000012c))
+ (portRef C (instanceRef blk0000012d))
+ (portRef C (instanceRef blk0000012e))
+ (portRef C (instanceRef blk0000012f))
+ (portRef C (instanceRef blk00000130))
+ (portRef C (instanceRef blk00000131))
+ (portRef C (instanceRef blk00000132))
+ (portRef CLK (instanceRef blk00000133))
+ (portRef CLK (instanceRef blk00000134))
+ (portRef CLK (instanceRef blk00000135))
+ (portRef CLK (instanceRef blk00000136))
+ (portRef CLK (instanceRef blk00000137))
+ (portRef CLK (instanceRef blk00000138))
+ (portRef CLK (instanceRef blk00000139))
+ (portRef CLK (instanceRef blk0000013a))
+ (portRef CLK (instanceRef blk0000013b))
+ (portRef CLK (instanceRef blk0000013c))
+ (portRef CLK (instanceRef blk0000013d))
+ (portRef CLK (instanceRef blk0000013e))
+ (portRef CLK (instanceRef blk0000013f))
+ (portRef CLK (instanceRef blk00000140))
+ (portRef CLK (instanceRef blk00000141))
+ (portRef CLK (instanceRef blk00000142))
+ (portRef CLK (instanceRef blk00000143))
+ (portRef CLK (instanceRef blk00000144))
+ (portRef CLK (instanceRef blk00000145))
+ (portRef CLK (instanceRef blk00000146))
+ (portRef CLK (instanceRef blk00000147))
+ (portRef CLK (instanceRef blk00000148))
+ (portRef CLK (instanceRef blk00000149))
+ (portRef CLK (instanceRef blk0000014a))
+ )
+ )
+ (net sig0000071c
+ (joined
+ (portRef (member DATA_OUT 0))
+ (portRef Q (instanceRef blk00000132))
+ )
+ )
+ (net sig0000071d
+ (joined
+ (portRef (member DATA_OUT 1))
+ (portRef Q (instanceRef blk00000131))
+ )
+ )
+ (net sig0000071e
+ (joined
+ (portRef (member DATA_OUT 2))
+ (portRef Q (instanceRef blk00000130))
+ )
+ )
+ (net sig0000071f
+ (joined
+ (portRef (member DATA_OUT 3))
+ (portRef Q (instanceRef blk0000012f))
+ )
+ )
+ (net sig00000720
+ (joined
+ (portRef (member DATA_OUT 4))
+ (portRef Q (instanceRef blk0000012e))
+ )
+ )
+ (net sig00000721
+ (joined
+ (portRef (member DATA_OUT 5))
+ (portRef Q (instanceRef blk0000012d))
+ )
+ )
+ (net sig00000722
+ (joined
+ (portRef (member DATA_OUT 6))
+ (portRef Q (instanceRef blk0000012c))
+ )
+ )
+ (net sig00000723
+ (joined
+ (portRef (member DATA_OUT 7))
+ (portRef Q (instanceRef blk0000012b))
+ )
+ )
+ (net sig00000724
+ (joined
+ (portRef (member DATA_OUT 8))
+ (portRef Q (instanceRef blk0000012a))
+ )
+ )
+ (net sig00000725
+ (joined
+ (portRef (member DATA_OUT 9))
+ (portRef Q (instanceRef blk00000129))
+ )
+ )
+ (net sig00000726
+ (joined
+ (portRef (member DATA_OUT 10))
+ (portRef Q (instanceRef blk00000128))
+ )
+ )
+ (net sig00000727
+ (joined
+ (portRef (member DATA_OUT 11))
+ (portRef Q (instanceRef blk00000127))
+ )
+ )
+ (net sig00000728
+ (joined
+ (portRef (member DATA_OUT 12))
+ (portRef Q (instanceRef blk00000126))
+ )
+ )
+ (net sig00000729
+ (joined
+ (portRef (member DATA_OUT 13))
+ (portRef Q (instanceRef blk00000125))
+ )
+ )
+ (net sig0000072a
+ (joined
+ (portRef (member DATA_OUT 14))
+ (portRef Q (instanceRef blk00000124))
+ )
+ )
+ (net sig0000072b
+ (joined
+ (portRef (member DATA_OUT 15))
+ (portRef Q (instanceRef blk00000123))
+ )
+ )
+ (net sig0000072c
+ (joined
+ (portRef (member DATA_OUT 16))
+ (portRef Q (instanceRef blk00000122))
+ )
+ )
+ (net sig0000072d
+ (joined
+ (portRef (member DATA_OUT 17))
+ (portRef Q (instanceRef blk00000121))
+ )
+ )
+ (net sig0000072e
+ (joined
+ (portRef (member DATA_OUT 18))
+ (portRef Q (instanceRef blk00000120))
+ )
+ )
+ (net sig0000072f
+ (joined
+ (portRef (member DATA_OUT 19))
+ (portRef Q (instanceRef blk0000011f))
+ )
+ )
+ (net sig00000730
+ (joined
+ (portRef (member DATA_OUT 20))
+ (portRef Q (instanceRef blk0000011e))
+ )
+ )
+ (net sig00000731
+ (joined
+ (portRef (member DATA_OUT 21))
+ (portRef Q (instanceRef blk0000011d))
+ )
+ )
+ (net sig00000732
+ (joined
+ (portRef (member DATA_OUT 22))
+ (portRef Q (instanceRef blk0000011c))
+ )
+ )
+ (net sig00000733
+ (joined
+ (portRef (member DATA_OUT 23))
+ (portRef Q (instanceRef blk0000011b))
+ )
+ )
+ (net sig00000734
+ (joined
+ (portRef G (instanceRef blk0000011a))
+ (portRef A3 (instanceRef blk00000133))
+ (portRef A3 (instanceRef blk00000134))
+ (portRef A3 (instanceRef blk00000135))
+ (portRef A3 (instanceRef blk00000136))
+ (portRef A3 (instanceRef blk00000137))
+ (portRef A3 (instanceRef blk00000138))
+ (portRef A3 (instanceRef blk00000139))
+ (portRef A3 (instanceRef blk0000013a))
+ (portRef A3 (instanceRef blk0000013b))
+ (portRef A3 (instanceRef blk0000013c))
+ (portRef A3 (instanceRef blk0000013d))
+ (portRef A3 (instanceRef blk0000013e))
+ (portRef A3 (instanceRef blk0000013f))
+ (portRef A3 (instanceRef blk00000140))
+ (portRef A3 (instanceRef blk00000141))
+ (portRef A3 (instanceRef blk00000142))
+ (portRef A3 (instanceRef blk00000143))
+ (portRef A3 (instanceRef blk00000144))
+ (portRef A3 (instanceRef blk00000145))
+ (portRef A3 (instanceRef blk00000146))
+ (portRef A3 (instanceRef blk00000147))
+ (portRef A3 (instanceRef blk00000148))
+ (portRef A3 (instanceRef blk00000149))
+ (portRef A3 (instanceRef blk0000014a))
+ )
+ )
+ (net sig00000735
+ (joined
+ (portRef D (instanceRef blk0000011b))
+ (portRef Q (instanceRef blk00000134))
+ )
+ )
+ (net sig00000736
+ (joined
+ (portRef D (instanceRef blk0000011c))
+ (portRef Q (instanceRef blk00000135))
+ )
+ )
+ (net sig00000737
+ (joined
+ (portRef D (instanceRef blk0000011d))
+ (portRef Q (instanceRef blk00000133))
+ )
+ )
+ (net sig00000738
+ (joined
+ (portRef D (instanceRef blk0000011e))
+ (portRef Q (instanceRef blk00000137))
+ )
+ )
+ (net sig00000739
+ (joined
+ (portRef D (instanceRef blk0000011f))
+ (portRef Q (instanceRef blk00000138))
+ )
+ )
+ (net sig0000073a
+ (joined
+ (portRef D (instanceRef blk00000120))
+ (portRef Q (instanceRef blk00000136))
+ )
+ )
+ (net sig0000073b
+ (joined
+ (portRef D (instanceRef blk00000121))
+ (portRef Q (instanceRef blk0000013a))
+ )
+ )
+ (net sig0000073c
+ (joined
+ (portRef D (instanceRef blk00000122))
+ (portRef Q (instanceRef blk0000013b))
+ )
+ )
+ (net sig0000073d
+ (joined
+ (portRef D (instanceRef blk00000123))
+ (portRef Q (instanceRef blk00000139))
+ )
+ )
+ (net sig0000073e
+ (joined
+ (portRef D (instanceRef blk00000124))
+ (portRef Q (instanceRef blk0000013d))
+ )
+ )
+ (net sig0000073f
+ (joined
+ (portRef D (instanceRef blk00000125))
+ (portRef Q (instanceRef blk0000013e))
+ )
+ )
+ (net sig00000740
+ (joined
+ (portRef D (instanceRef blk00000126))
+ (portRef Q (instanceRef blk0000013c))
+ )
+ )
+ (net sig00000741
+ (joined
+ (portRef D (instanceRef blk00000127))
+ (portRef Q (instanceRef blk00000140))
+ )
+ )
+ (net sig00000742
+ (joined
+ (portRef D (instanceRef blk00000128))
+ (portRef Q (instanceRef blk00000141))
+ )
+ )
+ (net sig00000743
+ (joined
+ (portRef D (instanceRef blk00000129))
+ (portRef Q (instanceRef blk0000013f))
+ )
+ )
+ (net sig00000744
+ (joined
+ (portRef D (instanceRef blk0000012a))
+ (portRef Q (instanceRef blk00000143))
+ )
+ )
+ (net sig00000745
+ (joined
+ (portRef D (instanceRef blk0000012b))
+ (portRef Q (instanceRef blk00000144))
+ )
+ )
+ (net sig00000746
+ (joined
+ (portRef D (instanceRef blk0000012c))
+ (portRef Q (instanceRef blk00000142))
+ )
+ )
+ (net sig00000747
+ (joined
+ (portRef D (instanceRef blk0000012d))
+ (portRef Q (instanceRef blk00000146))
+ )
+ )
+ (net sig00000748
+ (joined
+ (portRef D (instanceRef blk0000012e))
+ (portRef Q (instanceRef blk00000147))
+ )
+ )
+ (net sig00000749
+ (joined
+ (portRef D (instanceRef blk0000012f))
+ (portRef Q (instanceRef blk00000145))
+ )
+ )
+ (net sig0000074a
+ (joined
+ (portRef D (instanceRef blk00000130))
+ (portRef Q (instanceRef blk00000149))
+ )
+ )
+ (net sig0000074b
+ (joined
+ (portRef D (instanceRef blk00000131))
+ (portRef Q (instanceRef blk0000014a))
+ )
+ )
+ (net sig0000074c
+ (joined
+ (portRef D (instanceRef blk00000132))
+ (portRef Q (instanceRef blk00000148))
+ )
+ )
+ (net sig0000074d
+ (joined
+ (portRef CE (instanceRef blk00000133))
+ (portRef CE (instanceRef blk00000134))
+ (portRef CE (instanceRef blk00000135))
+ (portRef CE (instanceRef blk00000136))
+ (portRef CE (instanceRef blk00000137))
+ (portRef CE (instanceRef blk00000138))
+ (portRef CE (instanceRef blk00000139))
+ (portRef CE (instanceRef blk0000013a))
+ (portRef CE (instanceRef blk0000013b))
+ (portRef CE (instanceRef blk0000013c))
+ (portRef CE (instanceRef blk0000013d))
+ (portRef CE (instanceRef blk0000013e))
+ (portRef CE (instanceRef blk0000013f))
+ (portRef CE (instanceRef blk00000140))
+ (portRef CE (instanceRef blk00000141))
+ (portRef CE (instanceRef blk00000142))
+ (portRef CE (instanceRef blk00000143))
+ (portRef CE (instanceRef blk00000144))
+ (portRef CE (instanceRef blk00000145))
+ (portRef CE (instanceRef blk00000146))
+ (portRef CE (instanceRef blk00000147))
+ (portRef CE (instanceRef blk00000148))
+ (portRef CE (instanceRef blk00000149))
+ (portRef CE (instanceRef blk0000014a))
+ (portRef O (instanceRef blk0000014b))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename dpr_ram_1_blk0000002a "dpr_ram_1")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port WEA
+ (direction INPUT)
+ )
+ (port CE
+ (direction INPUT)
+ )
+ (port SCLR
+ (direction INPUT)
+ )
+ (port CLK
+ (direction INPUT)
+ )
+ (port (array (rename ADDRA "ADDRA<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_IN "DA_IN<47:0>") 48)
+ (direction INPUT))
+ (port (array (rename ADDRB "ADDRB<1:0>") 2)
+ (direction INPUT))
+ (port (array (rename DA_OUT "DA_OUT<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename DB_OUT "DB_OUT<47:0>") 48)
+ (direction OUTPUT))
+ )
+ (contents
+ (instance blk0000002b
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk0000002c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000030
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000031
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000032
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000033
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000034
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000035
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000036
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000037
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000038
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000039
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000003f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000040
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000041
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000042
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000043
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000044
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000045
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000046
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000047
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000048
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000049
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004c
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004e
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000004f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000050
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000051
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000052
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000053
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000054
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000055
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000056
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000057
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000058
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000059
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005a
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000005c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000005d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000005e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000005f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000060
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000061
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000062
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000063
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000064
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000065
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000066
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000067
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000068
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000069
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000006f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000070
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000071
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000072
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000073
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000074
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000075
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000076
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000077
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000078
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000079
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007c
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007d
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007e
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000007f
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000080
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000081
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000082
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000083
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000084
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000085
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000086
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000087
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000088
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk00000089
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008a
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008b
+ (viewRef view_1 (cellRef RAM32X1D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00000000") (owner "Xilinx"))
+ )
+ (instance blk0000008c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (net sig00000665
+ (joined
+ (portRef (member ADDRA 0))
+ (portRef A1 (instanceRef blk0000005c))
+ (portRef A1 (instanceRef blk0000005d))
+ (portRef A1 (instanceRef blk0000005e))
+ (portRef A1 (instanceRef blk0000005f))
+ (portRef A1 (instanceRef blk00000060))
+ (portRef A1 (instanceRef blk00000061))
+ (portRef A1 (instanceRef blk00000062))
+ (portRef A1 (instanceRef blk00000063))
+ (portRef A1 (instanceRef blk00000064))
+ (portRef A1 (instanceRef blk00000065))
+ (portRef A1 (instanceRef blk00000066))
+ (portRef A1 (instanceRef blk00000067))
+ (portRef A1 (instanceRef blk00000068))
+ (portRef A1 (instanceRef blk00000069))
+ (portRef A1 (instanceRef blk0000006a))
+ (portRef A1 (instanceRef blk0000006b))
+ (portRef A1 (instanceRef blk0000006c))
+ (portRef A1 (instanceRef blk0000006d))
+ (portRef A1 (instanceRef blk0000006e))
+ (portRef A1 (instanceRef blk0000006f))
+ (portRef A1 (instanceRef blk00000070))
+ (portRef A1 (instanceRef blk00000071))
+ (portRef A1 (instanceRef blk00000072))
+ (portRef A1 (instanceRef blk00000073))
+ (portRef A1 (instanceRef blk00000074))
+ (portRef A1 (instanceRef blk00000075))
+ (portRef A1 (instanceRef blk00000076))
+ (portRef A1 (instanceRef blk00000077))
+ (portRef A1 (instanceRef blk00000078))
+ (portRef A1 (instanceRef blk00000079))
+ (portRef A1 (instanceRef blk0000007a))
+ (portRef A1 (instanceRef blk0000007b))
+ (portRef A1 (instanceRef blk0000007c))
+ (portRef A1 (instanceRef blk0000007d))
+ (portRef A1 (instanceRef blk0000007e))
+ (portRef A1 (instanceRef blk0000007f))
+ (portRef A1 (instanceRef blk00000080))
+ (portRef A1 (instanceRef blk00000081))
+ (portRef A1 (instanceRef blk00000082))
+ (portRef A1 (instanceRef blk00000083))
+ (portRef A1 (instanceRef blk00000084))
+ (portRef A1 (instanceRef blk00000085))
+ (portRef A1 (instanceRef blk00000086))
+ (portRef A1 (instanceRef blk00000087))
+ (portRef A1 (instanceRef blk00000088))
+ (portRef A1 (instanceRef blk00000089))
+ (portRef A1 (instanceRef blk0000008a))
+ (portRef A1 (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000666
+ (joined
+ (portRef (member ADDRA 1))
+ (portRef A0 (instanceRef blk0000005c))
+ (portRef A0 (instanceRef blk0000005d))
+ (portRef A0 (instanceRef blk0000005e))
+ (portRef A0 (instanceRef blk0000005f))
+ (portRef A0 (instanceRef blk00000060))
+ (portRef A0 (instanceRef blk00000061))
+ (portRef A0 (instanceRef blk00000062))
+ (portRef A0 (instanceRef blk00000063))
+ (portRef A0 (instanceRef blk00000064))
+ (portRef A0 (instanceRef blk00000065))
+ (portRef A0 (instanceRef blk00000066))
+ (portRef A0 (instanceRef blk00000067))
+ (portRef A0 (instanceRef blk00000068))
+ (portRef A0 (instanceRef blk00000069))
+ (portRef A0 (instanceRef blk0000006a))
+ (portRef A0 (instanceRef blk0000006b))
+ (portRef A0 (instanceRef blk0000006c))
+ (portRef A0 (instanceRef blk0000006d))
+ (portRef A0 (instanceRef blk0000006e))
+ (portRef A0 (instanceRef blk0000006f))
+ (portRef A0 (instanceRef blk00000070))
+ (portRef A0 (instanceRef blk00000071))
+ (portRef A0 (instanceRef blk00000072))
+ (portRef A0 (instanceRef blk00000073))
+ (portRef A0 (instanceRef blk00000074))
+ (portRef A0 (instanceRef blk00000075))
+ (portRef A0 (instanceRef blk00000076))
+ (portRef A0 (instanceRef blk00000077))
+ (portRef A0 (instanceRef blk00000078))
+ (portRef A0 (instanceRef blk00000079))
+ (portRef A0 (instanceRef blk0000007a))
+ (portRef A0 (instanceRef blk0000007b))
+ (portRef A0 (instanceRef blk0000007c))
+ (portRef A0 (instanceRef blk0000007d))
+ (portRef A0 (instanceRef blk0000007e))
+ (portRef A0 (instanceRef blk0000007f))
+ (portRef A0 (instanceRef blk00000080))
+ (portRef A0 (instanceRef blk00000081))
+ (portRef A0 (instanceRef blk00000082))
+ (portRef A0 (instanceRef blk00000083))
+ (portRef A0 (instanceRef blk00000084))
+ (portRef A0 (instanceRef blk00000085))
+ (portRef A0 (instanceRef blk00000086))
+ (portRef A0 (instanceRef blk00000087))
+ (portRef A0 (instanceRef blk00000088))
+ (portRef A0 (instanceRef blk00000089))
+ (portRef A0 (instanceRef blk0000008a))
+ (portRef A0 (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000667
+ (joined
+ (portRef (member DA_IN 0))
+ (portRef D (instanceRef blk00000089))
+ )
+ )
+ (net sig00000668
+ (joined
+ (portRef (member DA_IN 1))
+ (portRef D (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000669
+ (joined
+ (portRef (member DA_IN 2))
+ (portRef D (instanceRef blk0000008a))
+ )
+ )
+ (net sig0000066a
+ (joined
+ (portRef (member DA_IN 3))
+ (portRef D (instanceRef blk00000086))
+ )
+ )
+ (net sig0000066b
+ (joined
+ (portRef (member DA_IN 4))
+ (portRef D (instanceRef blk00000088))
+ )
+ )
+ (net sig0000066c
+ (joined
+ (portRef (member DA_IN 5))
+ (portRef D (instanceRef blk00000087))
+ )
+ )
+ (net sig0000066d
+ (joined
+ (portRef (member DA_IN 6))
+ (portRef D (instanceRef blk00000083))
+ )
+ )
+ (net sig0000066e
+ (joined
+ (portRef (member DA_IN 7))
+ (portRef D (instanceRef blk00000085))
+ )
+ )
+ (net sig0000066f
+ (joined
+ (portRef (member DA_IN 8))
+ (portRef D (instanceRef blk00000084))
+ )
+ )
+ (net sig00000670
+ (joined
+ (portRef (member DA_IN 9))
+ (portRef D (instanceRef blk00000080))
+ )
+ )
+ (net sig00000671
+ (joined
+ (portRef (member DA_IN 10))
+ (portRef D (instanceRef blk00000082))
+ )
+ )
+ (net sig00000672
+ (joined
+ (portRef (member DA_IN 11))
+ (portRef D (instanceRef blk00000081))
+ )
+ )
+ (net sig00000673
+ (joined
+ (portRef (member DA_IN 12))
+ (portRef D (instanceRef blk0000007d))
+ )
+ )
+ (net sig00000674
+ (joined
+ (portRef (member DA_IN 13))
+ (portRef D (instanceRef blk0000007f))
+ )
+ )
+ (net sig00000675
+ (joined
+ (portRef (member DA_IN 14))
+ (portRef D (instanceRef blk0000007e))
+ )
+ )
+ (net sig00000676
+ (joined
+ (portRef (member DA_IN 15))
+ (portRef D (instanceRef blk0000007a))
+ )
+ )
+ (net sig00000677
+ (joined
+ (portRef (member DA_IN 16))
+ (portRef D (instanceRef blk0000007c))
+ )
+ )
+ (net sig00000678
+ (joined
+ (portRef (member DA_IN 17))
+ (portRef D (instanceRef blk0000007b))
+ )
+ )
+ (net sig00000679
+ (joined
+ (portRef (member DA_IN 18))
+ (portRef D (instanceRef blk00000077))
+ )
+ )
+ (net sig0000067a
+ (joined
+ (portRef (member DA_IN 19))
+ (portRef D (instanceRef blk00000079))
+ )
+ )
+ (net sig0000067b
+ (joined
+ (portRef (member DA_IN 20))
+ (portRef D (instanceRef blk00000078))
+ )
+ )
+ (net sig0000067c
+ (joined
+ (portRef (member DA_IN 21))
+ (portRef D (instanceRef blk00000074))
+ )
+ )
+ (net sig0000067d
+ (joined
+ (portRef (member DA_IN 22))
+ (portRef D (instanceRef blk00000076))
+ )
+ )
+ (net sig0000067e
+ (joined
+ (portRef (member DA_IN 23))
+ (portRef D (instanceRef blk00000075))
+ )
+ )
+ (net sig0000067f
+ (joined
+ (portRef (member DA_IN 24))
+ (portRef D (instanceRef blk00000071))
+ )
+ )
+ (net sig00000680
+ (joined
+ (portRef (member DA_IN 25))
+ (portRef D (instanceRef blk00000073))
+ )
+ )
+ (net sig00000681
+ (joined
+ (portRef (member DA_IN 26))
+ (portRef D (instanceRef blk00000072))
+ )
+ )
+ (net sig00000682
+ (joined
+ (portRef (member DA_IN 27))
+ (portRef D (instanceRef blk0000006e))
+ )
+ )
+ (net sig00000683
+ (joined
+ (portRef (member DA_IN 28))
+ (portRef D (instanceRef blk00000070))
+ )
+ )
+ (net sig00000684
+ (joined
+ (portRef (member DA_IN 29))
+ (portRef D (instanceRef blk0000006f))
+ )
+ )
+ (net sig00000685
+ (joined
+ (portRef (member DA_IN 30))
+ (portRef D (instanceRef blk0000006b))
+ )
+ )
+ (net sig00000686
+ (joined
+ (portRef (member DA_IN 31))
+ (portRef D (instanceRef blk0000006d))
+ )
+ )
+ (net sig00000687
+ (joined
+ (portRef (member DA_IN 32))
+ (portRef D (instanceRef blk0000006c))
+ )
+ )
+ (net sig00000688
+ (joined
+ (portRef (member DA_IN 33))
+ (portRef D (instanceRef blk00000068))
+ )
+ )
+ (net sig00000689
+ (joined
+ (portRef (member DA_IN 34))
+ (portRef D (instanceRef blk0000006a))
+ )
+ )
+ (net sig0000068a
+ (joined
+ (portRef (member DA_IN 35))
+ (portRef D (instanceRef blk00000069))
+ )
+ )
+ (net sig0000068b
+ (joined
+ (portRef (member DA_IN 36))
+ (portRef D (instanceRef blk00000065))
+ )
+ )
+ (net sig0000068c
+ (joined
+ (portRef (member DA_IN 37))
+ (portRef D (instanceRef blk00000067))
+ )
+ )
+ (net sig0000068d
+ (joined
+ (portRef (member DA_IN 38))
+ (portRef D (instanceRef blk00000066))
+ )
+ )
+ (net sig0000068e
+ (joined
+ (portRef (member DA_IN 39))
+ (portRef D (instanceRef blk00000062))
+ )
+ )
+ (net sig0000068f
+ (joined
+ (portRef (member DA_IN 40))
+ (portRef D (instanceRef blk00000064))
+ )
+ )
+ (net sig00000690
+ (joined
+ (portRef (member DA_IN 41))
+ (portRef D (instanceRef blk00000063))
+ )
+ )
+ (net sig00000691
+ (joined
+ (portRef (member DA_IN 42))
+ (portRef D (instanceRef blk0000005f))
+ )
+ )
+ (net sig00000692
+ (joined
+ (portRef (member DA_IN 43))
+ (portRef D (instanceRef blk00000061))
+ )
+ )
+ (net sig00000693
+ (joined
+ (portRef (member DA_IN 44))
+ (portRef D (instanceRef blk00000060))
+ )
+ )
+ (net sig00000694
+ (joined
+ (portRef (member DA_IN 45))
+ (portRef D (instanceRef blk0000005c))
+ )
+ )
+ (net sig00000695
+ (joined
+ (portRef (member DA_IN 46))
+ (portRef D (instanceRef blk0000005e))
+ )
+ )
+ (net sig00000696
+ (joined
+ (portRef (member DA_IN 47))
+ (portRef D (instanceRef blk0000005d))
+ )
+ )
+ (net sig00000697
+ (joined
+ (portRef (member ADDRB 0))
+ (portRef DPRA1 (instanceRef blk0000005c))
+ (portRef DPRA1 (instanceRef blk0000005d))
+ (portRef DPRA1 (instanceRef blk0000005e))
+ (portRef DPRA1 (instanceRef blk0000005f))
+ (portRef DPRA1 (instanceRef blk00000060))
+ (portRef DPRA1 (instanceRef blk00000061))
+ (portRef DPRA1 (instanceRef blk00000062))
+ (portRef DPRA1 (instanceRef blk00000063))
+ (portRef DPRA1 (instanceRef blk00000064))
+ (portRef DPRA1 (instanceRef blk00000065))
+ (portRef DPRA1 (instanceRef blk00000066))
+ (portRef DPRA1 (instanceRef blk00000067))
+ (portRef DPRA1 (instanceRef blk00000068))
+ (portRef DPRA1 (instanceRef blk00000069))
+ (portRef DPRA1 (instanceRef blk0000006a))
+ (portRef DPRA1 (instanceRef blk0000006b))
+ (portRef DPRA1 (instanceRef blk0000006c))
+ (portRef DPRA1 (instanceRef blk0000006d))
+ (portRef DPRA1 (instanceRef blk0000006e))
+ (portRef DPRA1 (instanceRef blk0000006f))
+ (portRef DPRA1 (instanceRef blk00000070))
+ (portRef DPRA1 (instanceRef blk00000071))
+ (portRef DPRA1 (instanceRef blk00000072))
+ (portRef DPRA1 (instanceRef blk00000073))
+ (portRef DPRA1 (instanceRef blk00000074))
+ (portRef DPRA1 (instanceRef blk00000075))
+ (portRef DPRA1 (instanceRef blk00000076))
+ (portRef DPRA1 (instanceRef blk00000077))
+ (portRef DPRA1 (instanceRef blk00000078))
+ (portRef DPRA1 (instanceRef blk00000079))
+ (portRef DPRA1 (instanceRef blk0000007a))
+ (portRef DPRA1 (instanceRef blk0000007b))
+ (portRef DPRA1 (instanceRef blk0000007c))
+ (portRef DPRA1 (instanceRef blk0000007d))
+ (portRef DPRA1 (instanceRef blk0000007e))
+ (portRef DPRA1 (instanceRef blk0000007f))
+ (portRef DPRA1 (instanceRef blk00000080))
+ (portRef DPRA1 (instanceRef blk00000081))
+ (portRef DPRA1 (instanceRef blk00000082))
+ (portRef DPRA1 (instanceRef blk00000083))
+ (portRef DPRA1 (instanceRef blk00000084))
+ (portRef DPRA1 (instanceRef blk00000085))
+ (portRef DPRA1 (instanceRef blk00000086))
+ (portRef DPRA1 (instanceRef blk00000087))
+ (portRef DPRA1 (instanceRef blk00000088))
+ (portRef DPRA1 (instanceRef blk00000089))
+ (portRef DPRA1 (instanceRef blk0000008a))
+ (portRef DPRA1 (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000698
+ (joined
+ (portRef (member ADDRB 1))
+ (portRef DPRA0 (instanceRef blk0000005c))
+ (portRef DPRA0 (instanceRef blk0000005d))
+ (portRef DPRA0 (instanceRef blk0000005e))
+ (portRef DPRA0 (instanceRef blk0000005f))
+ (portRef DPRA0 (instanceRef blk00000060))
+ (portRef DPRA0 (instanceRef blk00000061))
+ (portRef DPRA0 (instanceRef blk00000062))
+ (portRef DPRA0 (instanceRef blk00000063))
+ (portRef DPRA0 (instanceRef blk00000064))
+ (portRef DPRA0 (instanceRef blk00000065))
+ (portRef DPRA0 (instanceRef blk00000066))
+ (portRef DPRA0 (instanceRef blk00000067))
+ (portRef DPRA0 (instanceRef blk00000068))
+ (portRef DPRA0 (instanceRef blk00000069))
+ (portRef DPRA0 (instanceRef blk0000006a))
+ (portRef DPRA0 (instanceRef blk0000006b))
+ (portRef DPRA0 (instanceRef blk0000006c))
+ (portRef DPRA0 (instanceRef blk0000006d))
+ (portRef DPRA0 (instanceRef blk0000006e))
+ (portRef DPRA0 (instanceRef blk0000006f))
+ (portRef DPRA0 (instanceRef blk00000070))
+ (portRef DPRA0 (instanceRef blk00000071))
+ (portRef DPRA0 (instanceRef blk00000072))
+ (portRef DPRA0 (instanceRef blk00000073))
+ (portRef DPRA0 (instanceRef blk00000074))
+ (portRef DPRA0 (instanceRef blk00000075))
+ (portRef DPRA0 (instanceRef blk00000076))
+ (portRef DPRA0 (instanceRef blk00000077))
+ (portRef DPRA0 (instanceRef blk00000078))
+ (portRef DPRA0 (instanceRef blk00000079))
+ (portRef DPRA0 (instanceRef blk0000007a))
+ (portRef DPRA0 (instanceRef blk0000007b))
+ (portRef DPRA0 (instanceRef blk0000007c))
+ (portRef DPRA0 (instanceRef blk0000007d))
+ (portRef DPRA0 (instanceRef blk0000007e))
+ (portRef DPRA0 (instanceRef blk0000007f))
+ (portRef DPRA0 (instanceRef blk00000080))
+ (portRef DPRA0 (instanceRef blk00000081))
+ (portRef DPRA0 (instanceRef blk00000082))
+ (portRef DPRA0 (instanceRef blk00000083))
+ (portRef DPRA0 (instanceRef blk00000084))
+ (portRef DPRA0 (instanceRef blk00000085))
+ (portRef DPRA0 (instanceRef blk00000086))
+ (portRef DPRA0 (instanceRef blk00000087))
+ (portRef DPRA0 (instanceRef blk00000088))
+ (portRef DPRA0 (instanceRef blk00000089))
+ (portRef DPRA0 (instanceRef blk0000008a))
+ (portRef DPRA0 (instanceRef blk0000008b))
+ )
+ )
+ (net sig00000699
+ (joined
+ (portRef WEA)
+ (portRef I0 (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000069a
+ (joined
+ (portRef CE)
+ (portRef CE (instanceRef blk0000002c))
+ (portRef CE (instanceRef blk0000002d))
+ (portRef CE (instanceRef blk0000002e))
+ (portRef CE (instanceRef blk0000002f))
+ (portRef CE (instanceRef blk00000030))
+ (portRef CE (instanceRef blk00000031))
+ (portRef CE (instanceRef blk00000032))
+ (portRef CE (instanceRef blk00000033))
+ (portRef CE (instanceRef blk00000034))
+ (portRef CE (instanceRef blk00000035))
+ (portRef CE (instanceRef blk00000036))
+ (portRef CE (instanceRef blk00000037))
+ (portRef CE (instanceRef blk00000038))
+ (portRef CE (instanceRef blk00000039))
+ (portRef CE (instanceRef blk0000003a))
+ (portRef CE (instanceRef blk0000003b))
+ (portRef CE (instanceRef blk0000003c))
+ (portRef CE (instanceRef blk0000003d))
+ (portRef CE (instanceRef blk0000003e))
+ (portRef CE (instanceRef blk0000003f))
+ (portRef CE (instanceRef blk00000040))
+ (portRef CE (instanceRef blk00000041))
+ (portRef CE (instanceRef blk00000042))
+ (portRef CE (instanceRef blk00000043))
+ (portRef CE (instanceRef blk00000044))
+ (portRef CE (instanceRef blk00000045))
+ (portRef CE (instanceRef blk00000046))
+ (portRef CE (instanceRef blk00000047))
+ (portRef CE (instanceRef blk00000048))
+ (portRef CE (instanceRef blk00000049))
+ (portRef CE (instanceRef blk0000004a))
+ (portRef CE (instanceRef blk0000004b))
+ (portRef CE (instanceRef blk0000004c))
+ (portRef CE (instanceRef blk0000004d))
+ (portRef CE (instanceRef blk0000004e))
+ (portRef CE (instanceRef blk0000004f))
+ (portRef CE (instanceRef blk00000050))
+ (portRef CE (instanceRef blk00000051))
+ (portRef CE (instanceRef blk00000052))
+ (portRef CE (instanceRef blk00000053))
+ (portRef CE (instanceRef blk00000054))
+ (portRef CE (instanceRef blk00000055))
+ (portRef CE (instanceRef blk00000056))
+ (portRef CE (instanceRef blk00000057))
+ (portRef CE (instanceRef blk00000058))
+ (portRef CE (instanceRef blk00000059))
+ (portRef CE (instanceRef blk0000005a))
+ (portRef CE (instanceRef blk0000005b))
+ (portRef I1 (instanceRef blk0000008c))
+ )
+ )
+ (net sig0000069b
+ (joined
+ (portRef CLK)
+ (portRef C (instanceRef blk0000002c))
+ (portRef C (instanceRef blk0000002d))
+ (portRef C (instanceRef blk0000002e))
+ (portRef C (instanceRef blk0000002f))
+ (portRef C (instanceRef blk00000030))
+ (portRef C (instanceRef blk00000031))
+ (portRef C (instanceRef blk00000032))
+ (portRef C (instanceRef blk00000033))
+ (portRef C (instanceRef blk00000034))
+ (portRef C (instanceRef blk00000035))
+ (portRef C (instanceRef blk00000036))
+ (portRef C (instanceRef blk00000037))
+ (portRef C (instanceRef blk00000038))
+ (portRef C (instanceRef blk00000039))
+ (portRef C (instanceRef blk0000003a))
+ (portRef C (instanceRef blk0000003b))
+ (portRef C (instanceRef blk0000003c))
+ (portRef C (instanceRef blk0000003d))
+ (portRef C (instanceRef blk0000003e))
+ (portRef C (instanceRef blk0000003f))
+ (portRef C (instanceRef blk00000040))
+ (portRef C (instanceRef blk00000041))
+ (portRef C (instanceRef blk00000042))
+ (portRef C (instanceRef blk00000043))
+ (portRef C (instanceRef blk00000044))
+ (portRef C (instanceRef blk00000045))
+ (portRef C (instanceRef blk00000046))
+ (portRef C (instanceRef blk00000047))
+ (portRef C (instanceRef blk00000048))
+ (portRef C (instanceRef blk00000049))
+ (portRef C (instanceRef blk0000004a))
+ (portRef C (instanceRef blk0000004b))
+ (portRef C (instanceRef blk0000004c))
+ (portRef C (instanceRef blk0000004d))
+ (portRef C (instanceRef blk0000004e))
+ (portRef C (instanceRef blk0000004f))
+ (portRef C (instanceRef blk00000050))
+ (portRef C (instanceRef blk00000051))
+ (portRef C (instanceRef blk00000052))
+ (portRef C (instanceRef blk00000053))
+ (portRef C (instanceRef blk00000054))
+ (portRef C (instanceRef blk00000055))
+ (portRef C (instanceRef blk00000056))
+ (portRef C (instanceRef blk00000057))
+ (portRef C (instanceRef blk00000058))
+ (portRef C (instanceRef blk00000059))
+ (portRef C (instanceRef blk0000005a))
+ (portRef C (instanceRef blk0000005b))
+ (portRef WCLK (instanceRef blk0000005c))
+ (portRef WCLK (instanceRef blk0000005d))
+ (portRef WCLK (instanceRef blk0000005e))
+ (portRef WCLK (instanceRef blk0000005f))
+ (portRef WCLK (instanceRef blk00000060))
+ (portRef WCLK (instanceRef blk00000061))
+ (portRef WCLK (instanceRef blk00000062))
+ (portRef WCLK (instanceRef blk00000063))
+ (portRef WCLK (instanceRef blk00000064))
+ (portRef WCLK (instanceRef blk00000065))
+ (portRef WCLK (instanceRef blk00000066))
+ (portRef WCLK (instanceRef blk00000067))
+ (portRef WCLK (instanceRef blk00000068))
+ (portRef WCLK (instanceRef blk00000069))
+ (portRef WCLK (instanceRef blk0000006a))
+ (portRef WCLK (instanceRef blk0000006b))
+ (portRef WCLK (instanceRef blk0000006c))
+ (portRef WCLK (instanceRef blk0000006d))
+ (portRef WCLK (instanceRef blk0000006e))
+ (portRef WCLK (instanceRef blk0000006f))
+ (portRef WCLK (instanceRef blk00000070))
+ (portRef WCLK (instanceRef blk00000071))
+ (portRef WCLK (instanceRef blk00000072))
+ (portRef WCLK (instanceRef blk00000073))
+ (portRef WCLK (instanceRef blk00000074))
+ (portRef WCLK (instanceRef blk00000075))
+ (portRef WCLK (instanceRef blk00000076))
+ (portRef WCLK (instanceRef blk00000077))
+ (portRef WCLK (instanceRef blk00000078))
+ (portRef WCLK (instanceRef blk00000079))
+ (portRef WCLK (instanceRef blk0000007a))
+ (portRef WCLK (instanceRef blk0000007b))
+ (portRef WCLK (instanceRef blk0000007c))
+ (portRef WCLK (instanceRef blk0000007d))
+ (portRef WCLK (instanceRef blk0000007e))
+ (portRef WCLK (instanceRef blk0000007f))
+ (portRef WCLK (instanceRef blk00000080))
+ (portRef WCLK (instanceRef blk00000081))
+ (portRef WCLK (instanceRef blk00000082))
+ (portRef WCLK (instanceRef blk00000083))
+ (portRef WCLK (instanceRef blk00000084))
+ (portRef WCLK (instanceRef blk00000085))
+ (portRef WCLK (instanceRef blk00000086))
+ (portRef WCLK (instanceRef blk00000087))
+ (portRef WCLK (instanceRef blk00000088))
+ (portRef WCLK (instanceRef blk00000089))
+ (portRef WCLK (instanceRef blk0000008a))
+ (portRef WCLK (instanceRef blk0000008b))
+ )
+ )
+ (net sig0000069c
+ (joined
+ (portRef (member DB_OUT 0))
+ (portRef Q (instanceRef blk0000005b))
+ )
+ )
+ (net sig0000069d
+ (joined
+ (portRef (member DB_OUT 1))
+ (portRef Q (instanceRef blk0000005a))
+ )
+ )
+ (net sig0000069e
+ (joined
+ (portRef (member DB_OUT 2))
+ (portRef Q (instanceRef blk00000059))
+ )
+ )
+ (net sig0000069f
+ (joined
+ (portRef (member DB_OUT 3))
+ (portRef Q (instanceRef blk00000058))
+ )
+ )
+ (net sig000006a0
+ (joined
+ (portRef (member DB_OUT 4))
+ (portRef Q (instanceRef blk00000057))
+ )
+ )
+ (net sig000006a1
+ (joined
+ (portRef (member DB_OUT 5))
+ (portRef Q (instanceRef blk00000056))
+ )
+ )
+ (net sig000006a2
+ (joined
+ (portRef (member DB_OUT 6))
+ (portRef Q (instanceRef blk00000055))
+ )
+ )
+ (net sig000006a3
+ (joined
+ (portRef (member DB_OUT 7))
+ (portRef Q (instanceRef blk00000054))
+ )
+ )
+ (net sig000006a4
+ (joined
+ (portRef (member DB_OUT 8))
+ (portRef Q (instanceRef blk00000053))
+ )
+ )
+ (net sig000006a5
+ (joined
+ (portRef (member DB_OUT 9))
+ (portRef Q (instanceRef blk00000052))
+ )
+ )
+ (net sig000006a6
+ (joined
+ (portRef (member DB_OUT 10))
+ (portRef Q (instanceRef blk00000051))
+ )
+ )
+ (net sig000006a7
+ (joined
+ (portRef (member DB_OUT 11))
+ (portRef Q (instanceRef blk00000050))
+ )
+ )
+ (net sig000006a8
+ (joined
+ (portRef (member DB_OUT 12))
+ (portRef Q (instanceRef blk0000004f))
+ )
+ )
+ (net sig000006a9
+ (joined
+ (portRef (member DB_OUT 13))
+ (portRef Q (instanceRef blk0000004e))
+ )
+ )
+ (net sig000006aa
+ (joined
+ (portRef (member DB_OUT 14))
+ (portRef Q (instanceRef blk0000004d))
+ )
+ )
+ (net sig000006ab
+ (joined
+ (portRef (member DB_OUT 15))
+ (portRef Q (instanceRef blk0000004c))
+ )
+ )
+ (net sig000006ac
+ (joined
+ (portRef (member DB_OUT 16))
+ (portRef Q (instanceRef blk0000004b))
+ )
+ )
+ (net sig000006ad
+ (joined
+ (portRef (member DB_OUT 17))
+ (portRef Q (instanceRef blk0000004a))
+ )
+ )
+ (net sig000006ae
+ (joined
+ (portRef (member DB_OUT 18))
+ (portRef Q (instanceRef blk00000049))
+ )
+ )
+ (net sig000006af
+ (joined
+ (portRef (member DB_OUT 19))
+ (portRef Q (instanceRef blk00000048))
+ )
+ )
+ (net sig000006b0
+ (joined
+ (portRef (member DB_OUT 20))
+ (portRef Q (instanceRef blk00000047))
+ )
+ )
+ (net sig000006b1
+ (joined
+ (portRef (member DB_OUT 21))
+ (portRef Q (instanceRef blk00000046))
+ )
+ )
+ (net sig000006b2
+ (joined
+ (portRef (member DB_OUT 22))
+ (portRef Q (instanceRef blk00000045))
+ )
+ )
+ (net sig000006b3
+ (joined
+ (portRef (member DB_OUT 23))
+ (portRef Q (instanceRef blk00000044))
+ )
+ )
+ (net sig000006b4
+ (joined
+ (portRef (member DB_OUT 24))
+ (portRef Q (instanceRef blk00000043))
+ )
+ )
+ (net sig000006b5
+ (joined
+ (portRef (member DB_OUT 25))
+ (portRef Q (instanceRef blk00000042))
+ )
+ )
+ (net sig000006b6
+ (joined
+ (portRef (member DB_OUT 26))
+ (portRef Q (instanceRef blk00000041))
+ )
+ )
+ (net sig000006b7
+ (joined
+ (portRef (member DB_OUT 27))
+ (portRef Q (instanceRef blk00000040))
+ )
+ )
+ (net sig000006b8
+ (joined
+ (portRef (member DB_OUT 28))
+ (portRef Q (instanceRef blk0000003f))
+ )
+ )
+ (net sig000006b9
+ (joined
+ (portRef (member DB_OUT 29))
+ (portRef Q (instanceRef blk0000003e))
+ )
+ )
+ (net sig000006ba
+ (joined
+ (portRef (member DB_OUT 30))
+ (portRef Q (instanceRef blk0000003d))
+ )
+ )
+ (net sig000006bb
+ (joined
+ (portRef (member DB_OUT 31))
+ (portRef Q (instanceRef blk0000003c))
+ )
+ )
+ (net sig000006bc
+ (joined
+ (portRef (member DB_OUT 32))
+ (portRef Q (instanceRef blk0000003b))
+ )
+ )
+ (net sig000006bd
+ (joined
+ (portRef (member DB_OUT 33))
+ (portRef Q (instanceRef blk0000003a))
+ )
+ )
+ (net sig000006be
+ (joined
+ (portRef (member DB_OUT 34))
+ (portRef Q (instanceRef blk00000039))
+ )
+ )
+ (net sig000006bf
+ (joined
+ (portRef (member DB_OUT 35))
+ (portRef Q (instanceRef blk00000038))
+ )
+ )
+ (net sig000006c0
+ (joined
+ (portRef (member DB_OUT 36))
+ (portRef Q (instanceRef blk00000037))
+ )
+ )
+ (net sig000006c1
+ (joined
+ (portRef (member DB_OUT 37))
+ (portRef Q (instanceRef blk00000036))
+ )
+ )
+ (net sig000006c2
+ (joined
+ (portRef (member DB_OUT 38))
+ (portRef Q (instanceRef blk00000035))
+ )
+ )
+ (net sig000006c3
+ (joined
+ (portRef (member DB_OUT 39))
+ (portRef Q (instanceRef blk00000034))
+ )
+ )
+ (net sig000006c4
+ (joined
+ (portRef (member DB_OUT 40))
+ (portRef Q (instanceRef blk00000033))
+ )
+ )
+ (net sig000006c5
+ (joined
+ (portRef (member DB_OUT 41))
+ (portRef Q (instanceRef blk00000032))
+ )
+ )
+ (net sig000006c6
+ (joined
+ (portRef (member DB_OUT 42))
+ (portRef Q (instanceRef blk00000031))
+ )
+ )
+ (net sig000006c7
+ (joined
+ (portRef (member DB_OUT 43))
+ (portRef Q (instanceRef blk00000030))
+ )
+ )
+ (net sig000006c8
+ (joined
+ (portRef (member DB_OUT 44))
+ (portRef Q (instanceRef blk0000002f))
+ )
+ )
+ (net sig000006c9
+ (joined
+ (portRef (member DB_OUT 45))
+ (portRef Q (instanceRef blk0000002e))
+ )
+ )
+ (net sig000006ca
+ (joined
+ (portRef (member DB_OUT 46))
+ (portRef Q (instanceRef blk0000002d))
+ )
+ )
+ (net sig000006cb
+ (joined
+ (portRef (member DB_OUT 47))
+ (portRef Q (instanceRef blk0000002c))
+ )
+ )
+ (net sig000006cc
+ (joined
+ (portRef G (instanceRef blk0000002b))
+ (portRef A2 (instanceRef blk0000005c))
+ (portRef A3 (instanceRef blk0000005c))
+ (portRef A4 (instanceRef blk0000005c))
+ (portRef DPRA2 (instanceRef blk0000005c))
+ (portRef DPRA3 (instanceRef blk0000005c))
+ (portRef DPRA4 (instanceRef blk0000005c))
+ (portRef A2 (instanceRef blk0000005d))
+ (portRef A3 (instanceRef blk0000005d))
+ (portRef A4 (instanceRef blk0000005d))
+ (portRef DPRA2 (instanceRef blk0000005d))
+ (portRef DPRA3 (instanceRef blk0000005d))
+ (portRef DPRA4 (instanceRef blk0000005d))
+ (portRef A2 (instanceRef blk0000005e))
+ (portRef A3 (instanceRef blk0000005e))
+ (portRef A4 (instanceRef blk0000005e))
+ (portRef DPRA2 (instanceRef blk0000005e))
+ (portRef DPRA3 (instanceRef blk0000005e))
+ (portRef DPRA4 (instanceRef blk0000005e))
+ (portRef A2 (instanceRef blk0000005f))
+ (portRef A3 (instanceRef blk0000005f))
+ (portRef A4 (instanceRef blk0000005f))
+ (portRef DPRA2 (instanceRef blk0000005f))
+ (portRef DPRA3 (instanceRef blk0000005f))
+ (portRef DPRA4 (instanceRef blk0000005f))
+ (portRef A2 (instanceRef blk00000060))
+ (portRef A3 (instanceRef blk00000060))
+ (portRef A4 (instanceRef blk00000060))
+ (portRef DPRA2 (instanceRef blk00000060))
+ (portRef DPRA3 (instanceRef blk00000060))
+ (portRef DPRA4 (instanceRef blk00000060))
+ (portRef A2 (instanceRef blk00000061))
+ (portRef A3 (instanceRef blk00000061))
+ (portRef A4 (instanceRef blk00000061))
+ (portRef DPRA2 (instanceRef blk00000061))
+ (portRef DPRA3 (instanceRef blk00000061))
+ (portRef DPRA4 (instanceRef blk00000061))
+ (portRef A2 (instanceRef blk00000062))
+ (portRef A3 (instanceRef blk00000062))
+ (portRef A4 (instanceRef blk00000062))
+ (portRef DPRA2 (instanceRef blk00000062))
+ (portRef DPRA3 (instanceRef blk00000062))
+ (portRef DPRA4 (instanceRef blk00000062))
+ (portRef A2 (instanceRef blk00000063))
+ (portRef A3 (instanceRef blk00000063))
+ (portRef A4 (instanceRef blk00000063))
+ (portRef DPRA2 (instanceRef blk00000063))
+ (portRef DPRA3 (instanceRef blk00000063))
+ (portRef DPRA4 (instanceRef blk00000063))
+ (portRef A2 (instanceRef blk00000064))
+ (portRef A3 (instanceRef blk00000064))
+ (portRef A4 (instanceRef blk00000064))
+ (portRef DPRA2 (instanceRef blk00000064))
+ (portRef DPRA3 (instanceRef blk00000064))
+ (portRef DPRA4 (instanceRef blk00000064))
+ (portRef A2 (instanceRef blk00000065))
+ (portRef A3 (instanceRef blk00000065))
+ (portRef A4 (instanceRef blk00000065))
+ (portRef DPRA2 (instanceRef blk00000065))
+ (portRef DPRA3 (instanceRef blk00000065))
+ (portRef DPRA4 (instanceRef blk00000065))
+ (portRef A2 (instanceRef blk00000066))
+ (portRef A3 (instanceRef blk00000066))
+ (portRef A4 (instanceRef blk00000066))
+ (portRef DPRA2 (instanceRef blk00000066))
+ (portRef DPRA3 (instanceRef blk00000066))
+ (portRef DPRA4 (instanceRef blk00000066))
+ (portRef A2 (instanceRef blk00000067))
+ (portRef A3 (instanceRef blk00000067))
+ (portRef A4 (instanceRef blk00000067))
+ (portRef DPRA2 (instanceRef blk00000067))
+ (portRef DPRA3 (instanceRef blk00000067))
+ (portRef DPRA4 (instanceRef blk00000067))
+ (portRef A2 (instanceRef blk00000068))
+ (portRef A3 (instanceRef blk00000068))
+ (portRef A4 (instanceRef blk00000068))
+ (portRef DPRA2 (instanceRef blk00000068))
+ (portRef DPRA3 (instanceRef blk00000068))
+ (portRef DPRA4 (instanceRef blk00000068))
+ (portRef A2 (instanceRef blk00000069))
+ (portRef A3 (instanceRef blk00000069))
+ (portRef A4 (instanceRef blk00000069))
+ (portRef DPRA2 (instanceRef blk00000069))
+ (portRef DPRA3 (instanceRef blk00000069))
+ (portRef DPRA4 (instanceRef blk00000069))
+ (portRef A2 (instanceRef blk0000006a))
+ (portRef A3 (instanceRef blk0000006a))
+ (portRef A4 (instanceRef blk0000006a))
+ (portRef DPRA2 (instanceRef blk0000006a))
+ (portRef DPRA3 (instanceRef blk0000006a))
+ (portRef DPRA4 (instanceRef blk0000006a))
+ (portRef A2 (instanceRef blk0000006b))
+ (portRef A3 (instanceRef blk0000006b))
+ (portRef A4 (instanceRef blk0000006b))
+ (portRef DPRA2 (instanceRef blk0000006b))
+ (portRef DPRA3 (instanceRef blk0000006b))
+ (portRef DPRA4 (instanceRef blk0000006b))
+ (portRef A2 (instanceRef blk0000006c))
+ (portRef A3 (instanceRef blk0000006c))
+ (portRef A4 (instanceRef blk0000006c))
+ (portRef DPRA2 (instanceRef blk0000006c))
+ (portRef DPRA3 (instanceRef blk0000006c))
+ (portRef DPRA4 (instanceRef blk0000006c))
+ (portRef A2 (instanceRef blk0000006d))
+ (portRef A3 (instanceRef blk0000006d))
+ (portRef A4 (instanceRef blk0000006d))
+ (portRef DPRA2 (instanceRef blk0000006d))
+ (portRef DPRA3 (instanceRef blk0000006d))
+ (portRef DPRA4 (instanceRef blk0000006d))
+ (portRef A2 (instanceRef blk0000006e))
+ (portRef A3 (instanceRef blk0000006e))
+ (portRef A4 (instanceRef blk0000006e))
+ (portRef DPRA2 (instanceRef blk0000006e))
+ (portRef DPRA3 (instanceRef blk0000006e))
+ (portRef DPRA4 (instanceRef blk0000006e))
+ (portRef A2 (instanceRef blk0000006f))
+ (portRef A3 (instanceRef blk0000006f))
+ (portRef A4 (instanceRef blk0000006f))
+ (portRef DPRA2 (instanceRef blk0000006f))
+ (portRef DPRA3 (instanceRef blk0000006f))
+ (portRef DPRA4 (instanceRef blk0000006f))
+ (portRef A2 (instanceRef blk00000070))
+ (portRef A3 (instanceRef blk00000070))
+ (portRef A4 (instanceRef blk00000070))
+ (portRef DPRA2 (instanceRef blk00000070))
+ (portRef DPRA3 (instanceRef blk00000070))
+ (portRef DPRA4 (instanceRef blk00000070))
+ (portRef A2 (instanceRef blk00000071))
+ (portRef A3 (instanceRef blk00000071))
+ (portRef A4 (instanceRef blk00000071))
+ (portRef DPRA2 (instanceRef blk00000071))
+ (portRef DPRA3 (instanceRef blk00000071))
+ (portRef DPRA4 (instanceRef blk00000071))
+ (portRef A2 (instanceRef blk00000072))
+ (portRef A3 (instanceRef blk00000072))
+ (portRef A4 (instanceRef blk00000072))
+ (portRef DPRA2 (instanceRef blk00000072))
+ (portRef DPRA3 (instanceRef blk00000072))
+ (portRef DPRA4 (instanceRef blk00000072))
+ (portRef A2 (instanceRef blk00000073))
+ (portRef A3 (instanceRef blk00000073))
+ (portRef A4 (instanceRef blk00000073))
+ (portRef DPRA2 (instanceRef blk00000073))
+ (portRef DPRA3 (instanceRef blk00000073))
+ (portRef DPRA4 (instanceRef blk00000073))
+ (portRef A2 (instanceRef blk00000074))
+ (portRef A3 (instanceRef blk00000074))
+ (portRef A4 (instanceRef blk00000074))
+ (portRef DPRA2 (instanceRef blk00000074))
+ (portRef DPRA3 (instanceRef blk00000074))
+ (portRef DPRA4 (instanceRef blk00000074))
+ (portRef A2 (instanceRef blk00000075))
+ (portRef A3 (instanceRef blk00000075))
+ (portRef A4 (instanceRef blk00000075))
+ (portRef DPRA2 (instanceRef blk00000075))
+ (portRef DPRA3 (instanceRef blk00000075))
+ (portRef DPRA4 (instanceRef blk00000075))
+ (portRef A2 (instanceRef blk00000076))
+ (portRef A3 (instanceRef blk00000076))
+ (portRef A4 (instanceRef blk00000076))
+ (portRef DPRA2 (instanceRef blk00000076))
+ (portRef DPRA3 (instanceRef blk00000076))
+ (portRef DPRA4 (instanceRef blk00000076))
+ (portRef A2 (instanceRef blk00000077))
+ (portRef A3 (instanceRef blk00000077))
+ (portRef A4 (instanceRef blk00000077))
+ (portRef DPRA2 (instanceRef blk00000077))
+ (portRef DPRA3 (instanceRef blk00000077))
+ (portRef DPRA4 (instanceRef blk00000077))
+ (portRef A2 (instanceRef blk00000078))
+ (portRef A3 (instanceRef blk00000078))
+ (portRef A4 (instanceRef blk00000078))
+ (portRef DPRA2 (instanceRef blk00000078))
+ (portRef DPRA3 (instanceRef blk00000078))
+ (portRef DPRA4 (instanceRef blk00000078))
+ (portRef A2 (instanceRef blk00000079))
+ (portRef A3 (instanceRef blk00000079))
+ (portRef A4 (instanceRef blk00000079))
+ (portRef DPRA2 (instanceRef blk00000079))
+ (portRef DPRA3 (instanceRef blk00000079))
+ (portRef DPRA4 (instanceRef blk00000079))
+ (portRef A2 (instanceRef blk0000007a))
+ (portRef A3 (instanceRef blk0000007a))
+ (portRef A4 (instanceRef blk0000007a))
+ (portRef DPRA2 (instanceRef blk0000007a))
+ (portRef DPRA3 (instanceRef blk0000007a))
+ (portRef DPRA4 (instanceRef blk0000007a))
+ (portRef A2 (instanceRef blk0000007b))
+ (portRef A3 (instanceRef blk0000007b))
+ (portRef A4 (instanceRef blk0000007b))
+ (portRef DPRA2 (instanceRef blk0000007b))
+ (portRef DPRA3 (instanceRef blk0000007b))
+ (portRef DPRA4 (instanceRef blk0000007b))
+ (portRef A2 (instanceRef blk0000007c))
+ (portRef A3 (instanceRef blk0000007c))
+ (portRef A4 (instanceRef blk0000007c))
+ (portRef DPRA2 (instanceRef blk0000007c))
+ (portRef DPRA3 (instanceRef blk0000007c))
+ (portRef DPRA4 (instanceRef blk0000007c))
+ (portRef A2 (instanceRef blk0000007d))
+ (portRef A3 (instanceRef blk0000007d))
+ (portRef A4 (instanceRef blk0000007d))
+ (portRef DPRA2 (instanceRef blk0000007d))
+ (portRef DPRA3 (instanceRef blk0000007d))
+ (portRef DPRA4 (instanceRef blk0000007d))
+ (portRef A2 (instanceRef blk0000007e))
+ (portRef A3 (instanceRef blk0000007e))
+ (portRef A4 (instanceRef blk0000007e))
+ (portRef DPRA2 (instanceRef blk0000007e))
+ (portRef DPRA3 (instanceRef blk0000007e))
+ (portRef DPRA4 (instanceRef blk0000007e))
+ (portRef A2 (instanceRef blk0000007f))
+ (portRef A3 (instanceRef blk0000007f))
+ (portRef A4 (instanceRef blk0000007f))
+ (portRef DPRA2 (instanceRef blk0000007f))
+ (portRef DPRA3 (instanceRef blk0000007f))
+ (portRef DPRA4 (instanceRef blk0000007f))
+ (portRef A2 (instanceRef blk00000080))
+ (portRef A3 (instanceRef blk00000080))
+ (portRef A4 (instanceRef blk00000080))
+ (portRef DPRA2 (instanceRef blk00000080))
+ (portRef DPRA3 (instanceRef blk00000080))
+ (portRef DPRA4 (instanceRef blk00000080))
+ (portRef A2 (instanceRef blk00000081))
+ (portRef A3 (instanceRef blk00000081))
+ (portRef A4 (instanceRef blk00000081))
+ (portRef DPRA2 (instanceRef blk00000081))
+ (portRef DPRA3 (instanceRef blk00000081))
+ (portRef DPRA4 (instanceRef blk00000081))
+ (portRef A2 (instanceRef blk00000082))
+ (portRef A3 (instanceRef blk00000082))
+ (portRef A4 (instanceRef blk00000082))
+ (portRef DPRA2 (instanceRef blk00000082))
+ (portRef DPRA3 (instanceRef blk00000082))
+ (portRef DPRA4 (instanceRef blk00000082))
+ (portRef A2 (instanceRef blk00000083))
+ (portRef A3 (instanceRef blk00000083))
+ (portRef A4 (instanceRef blk00000083))
+ (portRef DPRA2 (instanceRef blk00000083))
+ (portRef DPRA3 (instanceRef blk00000083))
+ (portRef DPRA4 (instanceRef blk00000083))
+ (portRef A2 (instanceRef blk00000084))
+ (portRef A3 (instanceRef blk00000084))
+ (portRef A4 (instanceRef blk00000084))
+ (portRef DPRA2 (instanceRef blk00000084))
+ (portRef DPRA3 (instanceRef blk00000084))
+ (portRef DPRA4 (instanceRef blk00000084))
+ (portRef A2 (instanceRef blk00000085))
+ (portRef A3 (instanceRef blk00000085))
+ (portRef A4 (instanceRef blk00000085))
+ (portRef DPRA2 (instanceRef blk00000085))
+ (portRef DPRA3 (instanceRef blk00000085))
+ (portRef DPRA4 (instanceRef blk00000085))
+ (portRef A2 (instanceRef blk00000086))
+ (portRef A3 (instanceRef blk00000086))
+ (portRef A4 (instanceRef blk00000086))
+ (portRef DPRA2 (instanceRef blk00000086))
+ (portRef DPRA3 (instanceRef blk00000086))
+ (portRef DPRA4 (instanceRef blk00000086))
+ (portRef A2 (instanceRef blk00000087))
+ (portRef A3 (instanceRef blk00000087))
+ (portRef A4 (instanceRef blk00000087))
+ (portRef DPRA2 (instanceRef blk00000087))
+ (portRef DPRA3 (instanceRef blk00000087))
+ (portRef DPRA4 (instanceRef blk00000087))
+ (portRef A2 (instanceRef blk00000088))
+ (portRef A3 (instanceRef blk00000088))
+ (portRef A4 (instanceRef blk00000088))
+ (portRef DPRA2 (instanceRef blk00000088))
+ (portRef DPRA3 (instanceRef blk00000088))
+ (portRef DPRA4 (instanceRef blk00000088))
+ (portRef A2 (instanceRef blk00000089))
+ (portRef A3 (instanceRef blk00000089))
+ (portRef A4 (instanceRef blk00000089))
+ (portRef DPRA2 (instanceRef blk00000089))
+ (portRef DPRA3 (instanceRef blk00000089))
+ (portRef DPRA4 (instanceRef blk00000089))
+ (portRef A2 (instanceRef blk0000008a))
+ (portRef A3 (instanceRef blk0000008a))
+ (portRef A4 (instanceRef blk0000008a))
+ (portRef DPRA2 (instanceRef blk0000008a))
+ (portRef DPRA3 (instanceRef blk0000008a))
+ (portRef DPRA4 (instanceRef blk0000008a))
+ (portRef A2 (instanceRef blk0000008b))
+ (portRef A3 (instanceRef blk0000008b))
+ (portRef A4 (instanceRef blk0000008b))
+ (portRef DPRA2 (instanceRef blk0000008b))
+ (portRef DPRA3 (instanceRef blk0000008b))
+ (portRef DPRA4 (instanceRef blk0000008b))
+ )
+ )
+ (net sig000006cd
+ (joined
+ (portRef D (instanceRef blk0000002c))
+ (portRef DPO (instanceRef blk0000005d))
+ )
+ )
+ (net sig000006ce
+ (joined
+ (portRef D (instanceRef blk0000002d))
+ (portRef DPO (instanceRef blk0000005e))
+ )
+ )
+ (net sig000006cf
+ (joined
+ (portRef D (instanceRef blk0000002e))
+ (portRef DPO (instanceRef blk0000005c))
+ )
+ )
+ (net sig000006d0
+ (joined
+ (portRef D (instanceRef blk0000002f))
+ (portRef DPO (instanceRef blk00000060))
+ )
+ )
+ (net sig000006d1
+ (joined
+ (portRef D (instanceRef blk00000030))
+ (portRef DPO (instanceRef blk00000061))
+ )
+ )
+ (net sig000006d2
+ (joined
+ (portRef D (instanceRef blk00000031))
+ (portRef DPO (instanceRef blk0000005f))
+ )
+ )
+ (net sig000006d3
+ (joined
+ (portRef D (instanceRef blk00000032))
+ (portRef DPO (instanceRef blk00000063))
+ )
+ )
+ (net sig000006d4
+ (joined
+ (portRef D (instanceRef blk00000033))
+ (portRef DPO (instanceRef blk00000064))
+ )
+ )
+ (net sig000006d5
+ (joined
+ (portRef D (instanceRef blk00000034))
+ (portRef DPO (instanceRef blk00000062))
+ )
+ )
+ (net sig000006d6
+ (joined
+ (portRef D (instanceRef blk00000035))
+ (portRef DPO (instanceRef blk00000066))
+ )
+ )
+ (net sig000006d7
+ (joined
+ (portRef D (instanceRef blk00000036))
+ (portRef DPO (instanceRef blk00000067))
+ )
+ )
+ (net sig000006d8
+ (joined
+ (portRef D (instanceRef blk00000037))
+ (portRef DPO (instanceRef blk00000065))
+ )
+ )
+ (net sig000006d9
+ (joined
+ (portRef D (instanceRef blk00000038))
+ (portRef DPO (instanceRef blk00000069))
+ )
+ )
+ (net sig000006da
+ (joined
+ (portRef D (instanceRef blk00000039))
+ (portRef DPO (instanceRef blk0000006a))
+ )
+ )
+ (net sig000006db
+ (joined
+ (portRef D (instanceRef blk0000003a))
+ (portRef DPO (instanceRef blk00000068))
+ )
+ )
+ (net sig000006dc
+ (joined
+ (portRef D (instanceRef blk0000003b))
+ (portRef DPO (instanceRef blk0000006c))
+ )
+ )
+ (net sig000006dd
+ (joined
+ (portRef D (instanceRef blk0000003c))
+ (portRef DPO (instanceRef blk0000006d))
+ )
+ )
+ (net sig000006de
+ (joined
+ (portRef D (instanceRef blk0000003d))
+ (portRef DPO (instanceRef blk0000006b))
+ )
+ )
+ (net sig000006df
+ (joined
+ (portRef D (instanceRef blk0000003e))
+ (portRef DPO (instanceRef blk0000006f))
+ )
+ )
+ (net sig000006e0
+ (joined
+ (portRef D (instanceRef blk0000003f))
+ (portRef DPO (instanceRef blk00000070))
+ )
+ )
+ (net sig000006e1
+ (joined
+ (portRef D (instanceRef blk00000040))
+ (portRef DPO (instanceRef blk0000006e))
+ )
+ )
+ (net sig000006e2
+ (joined
+ (portRef D (instanceRef blk00000041))
+ (portRef DPO (instanceRef blk00000072))
+ )
+ )
+ (net sig000006e3
+ (joined
+ (portRef D (instanceRef blk00000042))
+ (portRef DPO (instanceRef blk00000073))
+ )
+ )
+ (net sig000006e4
+ (joined
+ (portRef D (instanceRef blk00000043))
+ (portRef DPO (instanceRef blk00000071))
+ )
+ )
+ (net sig000006e5
+ (joined
+ (portRef D (instanceRef blk00000044))
+ (portRef DPO (instanceRef blk00000075))
+ )
+ )
+ (net sig000006e6
+ (joined
+ (portRef D (instanceRef blk00000045))
+ (portRef DPO (instanceRef blk00000076))
+ )
+ )
+ (net sig000006e7
+ (joined
+ (portRef D (instanceRef blk00000046))
+ (portRef DPO (instanceRef blk00000074))
+ )
+ )
+ (net sig000006e8
+ (joined
+ (portRef D (instanceRef blk00000047))
+ (portRef DPO (instanceRef blk00000078))
+ )
+ )
+ (net sig000006e9
+ (joined
+ (portRef D (instanceRef blk00000048))
+ (portRef DPO (instanceRef blk00000079))
+ )
+ )
+ (net sig000006ea
+ (joined
+ (portRef D (instanceRef blk00000049))
+ (portRef DPO (instanceRef blk00000077))
+ )
+ )
+ (net sig000006eb
+ (joined
+ (portRef D (instanceRef blk0000004a))
+ (portRef DPO (instanceRef blk0000007b))
+ )
+ )
+ (net sig000006ec
+ (joined
+ (portRef D (instanceRef blk0000004b))
+ (portRef DPO (instanceRef blk0000007c))
+ )
+ )
+ (net sig000006ed
+ (joined
+ (portRef D (instanceRef blk0000004c))
+ (portRef DPO (instanceRef blk0000007a))
+ )
+ )
+ (net sig000006ee
+ (joined
+ (portRef D (instanceRef blk0000004d))
+ (portRef DPO (instanceRef blk0000007e))
+ )
+ )
+ (net sig000006ef
+ (joined
+ (portRef D (instanceRef blk0000004e))
+ (portRef DPO (instanceRef blk0000007f))
+ )
+ )
+ (net sig000006f0
+ (joined
+ (portRef D (instanceRef blk0000004f))
+ (portRef DPO (instanceRef blk0000007d))
+ )
+ )
+ (net sig000006f1
+ (joined
+ (portRef D (instanceRef blk00000050))
+ (portRef DPO (instanceRef blk00000081))
+ )
+ )
+ (net sig000006f2
+ (joined
+ (portRef D (instanceRef blk00000051))
+ (portRef DPO (instanceRef blk00000082))
+ )
+ )
+ (net sig000006f3
+ (joined
+ (portRef D (instanceRef blk00000052))
+ (portRef DPO (instanceRef blk00000080))
+ )
+ )
+ (net sig000006f4
+ (joined
+ (portRef D (instanceRef blk00000053))
+ (portRef DPO (instanceRef blk00000084))
+ )
+ )
+ (net sig000006f5
+ (joined
+ (portRef D (instanceRef blk00000054))
+ (portRef DPO (instanceRef blk00000085))
+ )
+ )
+ (net sig000006f6
+ (joined
+ (portRef D (instanceRef blk00000055))
+ (portRef DPO (instanceRef blk00000083))
+ )
+ )
+ (net sig000006f7
+ (joined
+ (portRef D (instanceRef blk00000056))
+ (portRef DPO (instanceRef blk00000087))
+ )
+ )
+ (net sig000006f8
+ (joined
+ (portRef D (instanceRef blk00000057))
+ (portRef DPO (instanceRef blk00000088))
+ )
+ )
+ (net sig000006f9
+ (joined
+ (portRef D (instanceRef blk00000058))
+ (portRef DPO (instanceRef blk00000086))
+ )
+ )
+ (net sig000006fa
+ (joined
+ (portRef D (instanceRef blk00000059))
+ (portRef DPO (instanceRef blk0000008a))
+ )
+ )
+ (net sig000006fb
+ (joined
+ (portRef D (instanceRef blk0000005a))
+ (portRef DPO (instanceRef blk0000008b))
+ )
+ )
+ (net sig000006fc
+ (joined
+ (portRef D (instanceRef blk0000005b))
+ (portRef DPO (instanceRef blk00000089))
+ )
+ )
+ (net sig000006fd
+ (joined
+ (portRef WE (instanceRef blk0000005c))
+ (portRef WE (instanceRef blk0000005d))
+ (portRef WE (instanceRef blk0000005e))
+ (portRef WE (instanceRef blk0000005f))
+ (portRef WE (instanceRef blk00000060))
+ (portRef WE (instanceRef blk00000061))
+ (portRef WE (instanceRef blk00000062))
+ (portRef WE (instanceRef blk00000063))
+ (portRef WE (instanceRef blk00000064))
+ (portRef WE (instanceRef blk00000065))
+ (portRef WE (instanceRef blk00000066))
+ (portRef WE (instanceRef blk00000067))
+ (portRef WE (instanceRef blk00000068))
+ (portRef WE (instanceRef blk00000069))
+ (portRef WE (instanceRef blk0000006a))
+ (portRef WE (instanceRef blk0000006b))
+ (portRef WE (instanceRef blk0000006c))
+ (portRef WE (instanceRef blk0000006d))
+ (portRef WE (instanceRef blk0000006e))
+ (portRef WE (instanceRef blk0000006f))
+ (portRef WE (instanceRef blk00000070))
+ (portRef WE (instanceRef blk00000071))
+ (portRef WE (instanceRef blk00000072))
+ (portRef WE (instanceRef blk00000073))
+ (portRef WE (instanceRef blk00000074))
+ (portRef WE (instanceRef blk00000075))
+ (portRef WE (instanceRef blk00000076))
+ (portRef WE (instanceRef blk00000077))
+ (portRef WE (instanceRef blk00000078))
+ (portRef WE (instanceRef blk00000079))
+ (portRef WE (instanceRef blk0000007a))
+ (portRef WE (instanceRef blk0000007b))
+ (portRef WE (instanceRef blk0000007c))
+ (portRef WE (instanceRef blk0000007d))
+ (portRef WE (instanceRef blk0000007e))
+ (portRef WE (instanceRef blk0000007f))
+ (portRef WE (instanceRef blk00000080))
+ (portRef WE (instanceRef blk00000081))
+ (portRef WE (instanceRef blk00000082))
+ (portRef WE (instanceRef blk00000083))
+ (portRef WE (instanceRef blk00000084))
+ (portRef WE (instanceRef blk00000085))
+ (portRef WE (instanceRef blk00000086))
+ (portRef WE (instanceRef blk00000087))
+ (portRef WE (instanceRef blk00000088))
+ (portRef WE (instanceRef blk00000089))
+ (portRef WE (instanceRef blk0000008a))
+ (portRef WE (instanceRef blk0000008b))
+ (portRef O (instanceRef blk0000008c))
+ )
+ )
+ )
+ )
+ )
+ (cell (rename hbdec3_fir_compiler_v5_0_xst_1_blk00000003 "hbdec3_fir_compiler_v5_0_xst_1")
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port sclr
+ (direction INPUT)
+ )
+ (port ce
+ (direction INPUT)
+ )
+ (port rfd
+ (direction OUTPUT)
+ )
+ (port rdy
+ (direction OUTPUT)
+ )
+ (port data_valid
+ (direction OUTPUT)
+ )
+ (port coef_we
+ (direction INPUT)
+ )
+ (port nd
+ (direction INPUT)
+ )
+ (port clk
+ (direction INPUT)
+ )
+ (port coef_ld
+ (direction INPUT)
+ )
+ (port (array (rename dout_10 "dout_10<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_11 "dout_11<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_12 "dout_12<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_13 "dout_13<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_14 "dout_14<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_15 "dout_15<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_16 "dout_16<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_i_10 "dout_i_10<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_11 "dout_i_11<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_12 "dout_i_12<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_13 "dout_i_13<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_14 "dout_i_14<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_15 "dout_i_15<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_16 "dout_i_16<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename din_10 "din_10<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_11 "din_11<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_12 "din_12<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_13 "din_13<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_14 "din_14<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_15 "din_15<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_16 "din_16<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename coef_filter_sel "coef_filter_sel<0:0>") 1)
+ (direction INPUT))
+ (port (array (rename dout_1 "dout_1<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_2 "dout_2<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_3 "dout_3<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_4 "dout_4<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_1 "din_1<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_2 "din_2<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_5 "dout_5<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_3 "din_3<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_6 "dout_6<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_4 "din_4<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_7 "dout_7<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_5 "din_5<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_8 "dout_8<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_6 "din_6<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_9 "dout_9<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_7 "din_7<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_8 "din_8<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_9 "din_9<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename dout_q_10 "dout_q_10<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_11 "dout_q_11<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_12 "dout_q_12<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_13 "dout_q_13<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_14 "dout_q_14<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_15 "dout_q_15<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_16 "dout_q_16<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename coef_din "coef_din<17:0>") 18)
+ (direction INPUT))
+ (port (array (rename dout_i "dout_i<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q "dout_q<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_i_1 "dout_i_1<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_2 "dout_i_2<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_3 "dout_i_3<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_4 "dout_i_4<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_5 "dout_i_5<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_i_6 "dout_i_6<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_1 "dout_q_1<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_i_7 "dout_i_7<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_2 "dout_q_2<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_i_8 "dout_i_8<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_3 "dout_q_3<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_i_9 "dout_i_9<23:0>") 24)
+ (direction OUTPUT))
+ (port (array (rename dout_q_4 "dout_q_4<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_5 "dout_q_5<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_6 "dout_q_6<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_7 "dout_q_7<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_8 "dout_q_8<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_q_9 "dout_q_9<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout "dout<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din "din<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename chan_in "chan_in<0:0>") 1)
+ (direction OUTPUT))
+ (port (array (rename chan_out "chan_out<0:0>") 1)
+ (direction OUTPUT))
+ (port (array (rename filter_sel "filter_sel<0:0>") 1)
+ (direction INPUT))
+ )
+ (contents
+ (instance blk00000004
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000005
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000006
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000007
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000008
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000009
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000a
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000b
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000c
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000d
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000000e
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 2) (owner "Xilinx"))
+ (property ADREG (integer 0) (owner "Xilinx"))
+ (property ALUMODEREG (integer 1) (owner "Xilinx"))
+ (property AREG (integer 2) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 2) (owner "Xilinx"))
+ (property BREG (integer 2) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 0) (owner "Xilinx"))
+ (property INMODEREG (integer 0) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 1) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "FALSE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk0000000f
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 2) (owner "Xilinx"))
+ (property ADREG (integer 0) (owner "Xilinx"))
+ (property ALUMODEREG (integer 1) (owner "Xilinx"))
+ (property AREG (integer 2) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 2) (owner "Xilinx"))
+ (property BREG (integer 2) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 0) (owner "Xilinx"))
+ (property INMODEREG (integer 0) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 1) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "FALSE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000010
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000011
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000012
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000013
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000014
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000015
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000016
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000017
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000018
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000019
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000001f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000020
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000021
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000022
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000023
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000024
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000025
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000026
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000027
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000028
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk00000029
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000002a
+ (viewRef view_1 (cellRef dpr_ram_1_blk0000002a (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "2:INPUT:ADDRA<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:DA_IN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "2:INPUT:ADDRB<1:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:DA_OUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:DB_OUT<47:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_1_blk0000002a") (owner "Xilinx"))
+ )
+ (instance blk0000008d
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk0000008e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000008f
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000090
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000091
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000092
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000093
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000094
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000095
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000096
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000097
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000098
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000099
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000009f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a4
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a6
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000aa
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ac
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000ae
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000b0
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b1
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b3
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b4
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b5
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b6
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b7
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b8
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000b9
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ba
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bb
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bc
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bd
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000be
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000bf
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c0
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c1
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c3
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c4
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000c6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000000c8
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000c9
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ca
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cb
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cc
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cd
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ce
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000cf
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000d1
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000000d2
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d3
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d4
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d5
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d6
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d7
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d8
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000d9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000da
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000000db
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000dc
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000dd
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000de
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000df
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000e0
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e1
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000000e4
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e5
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e6
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e7
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e8
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000e9
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ea
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000eb
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ec
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000000ee
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ef
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f0
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f1
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f2
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f3
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f4
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f5
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f6
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f7
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f8
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000f9
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000fa
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000fb
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000fc
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000fd
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000fe
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000000ff
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000100
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000101
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000102
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000103
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000104
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000105
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000106
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000107
+ (viewRef view_1 (cellRef DSP48E1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property BUS_INFO (string "3:INPUT:CARRYINSEL<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:OUTPUT:CARRYOUT<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ALUMODE<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "7:INPUT:OPMODE<6:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "5:INPUT:INMODE<4:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:OUTPUT:ACOUT<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:BCOUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:PCOUT<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:PCIN<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:BCIN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:ACIN<29:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:INPUT:C<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:B<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "25:INPUT:D<24:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:P<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "30:INPUT:A<29:0>") (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property ACASCREG (integer 1) (owner "Xilinx"))
+ (property ADREG (integer 1) (owner "Xilinx"))
+ (property ALUMODEREG (integer 0) (owner "Xilinx"))
+ (property AREG (integer 1) (owner "Xilinx"))
+ (property AUTORESET_PATDET (string "NO_RESET") (owner "Xilinx"))
+ (property A_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property BCASCREG (integer 1) (owner "Xilinx"))
+ (property BREG (integer 1) (owner "Xilinx"))
+ (property B_INPUT (string "DIRECT") (owner "Xilinx"))
+ (property CARRYINREG (integer 1) (owner "Xilinx"))
+ (property CARRYINSELREG (integer 1) (owner "Xilinx"))
+ (property CREG (integer 1) (owner "Xilinx"))
+ (property DREG (integer 1) (owner "Xilinx"))
+ (property INMODEREG (integer 1) (owner "Xilinx"))
+ (property MASK (string "FFFFFFFFFFFE") (owner "Xilinx"))
+ (property MREG (integer 1) (owner "Xilinx"))
+ (property OPMODEREG (integer 0) (owner "Xilinx"))
+ (property PATTERN (string "000000000000") (owner "Xilinx"))
+ (property PREG (integer 1) (owner "Xilinx"))
+ (property SEL_MASK (string "MASK") (owner "Xilinx"))
+ (property SEL_PATTERN (string "PATTERN") (owner "Xilinx"))
+ (property USE_DPORT (string "TRUE") (owner "Xilinx"))
+ (property USE_MULT (string "MULTIPLY") (owner "Xilinx"))
+ (property USE_PATTERN_DETECT (string "NO_PATDET") (owner "Xilinx"))
+ (property USE_SIMD (string "ONE48") (owner "Xilinx"))
+ )
+ (instance blk00000108
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000109
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000010f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000110
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000111
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000112
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000113
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000114
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000115
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000116
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000117
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000118
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000119
+ (viewRef view_1 (cellRef sp_ram_blk00000119 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_blk00000119") (owner "Xilinx"))
+ )
+ (instance blk0000014c
+ (viewRef view_1 (cellRef sp_ram_NO1_blk0000014c (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO1_blk0000014c") (owner "Xilinx"))
+ )
+ (instance blk0000017f
+ (viewRef view_1 (cellRef sp_ram_NO2_blk0000017f (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 2) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 5) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO2_blk0000017f") (owner "Xilinx"))
+ )
+ (instance blk000001b2
+ (viewRef view_1 (cellRef sp_ram_NO3_blk000001b2 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 3) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 6) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO3_blk000001b2") (owner "Xilinx"))
+ )
+ (instance blk000001e5
+ (viewRef view_1 (cellRef sp_ram_NO4_blk000001e5 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 4) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 7) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO4_blk000001e5") (owner "Xilinx"))
+ )
+ (instance blk00000218
+ (viewRef view_1 (cellRef sp_ram_NO5_blk00000218 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 5) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 8) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO5_blk00000218") (owner "Xilinx"))
+ )
+ (instance blk0000024b
+ (viewRef view_1 (cellRef sp_ram_NO6_blk0000024b (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 6) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 9) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO6_blk0000024b") (owner "Xilinx"))
+ )
+ (instance blk0000027e
+ (viewRef view_1 (cellRef sp_ram_NO7_blk0000027e (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "3:INPUT:ADDR<2:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:DATA_IN<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:DATA_OUT<23:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 7) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 10) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "sp_ram_NO7_blk0000027e") (owner "Xilinx"))
+ )
+ (instance blk000002b1
+ (viewRef view_1 (cellRef dpr_ram_2_blk000002b1 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "4:INPUT:ADDRA<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ADDRB<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 11) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_2_blk000002b1") (owner "Xilinx"))
+ )
+ (instance blk000002ea
+ (viewRef view_1 (cellRef dpr_ram_3_blk000002ea (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "4:INPUT:ADDRA<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "4:INPUT:ADDRB<3:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 12) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_3_blk000002ea") (owner "Xilinx"))
+ )
+ (instance blk00000311
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000312
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000313
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000314
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000315
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000316
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000317
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000318
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000319
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000031f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000320
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000321
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000322
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000323
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000324
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000325
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000326
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000327
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000328
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000329
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000032f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000330
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000331
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000332
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000333
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000334
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000335
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000336
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000337
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000338
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000339
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000033a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000033b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000033c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000033d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000033e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000033f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000340
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000341
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000342
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000343
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000344
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000345
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000346
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000347
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000348
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000349
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000034f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000350
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000351
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000352
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000353
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000354
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000355
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000356
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000357
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000358
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000359
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000035f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000360
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000361
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000362
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000363
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000364
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000365
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000366
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000367
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000368
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000369
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036a
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036b
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036c
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036d
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036e
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000036f
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000370
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000371
+ (viewRef view_1 (cellRef dpr_ram_4_blk00000371 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "1:INPUT:ADDRA<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:DA_IN<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:ADDRB<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DA_OUT<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:OUTPUT:DB_OUT<17:0>") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 13) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "dpr_ram_4_blk00000371") (owner "Xilinx"))
+ )
+ (instance blk00000398
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000399
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000039a
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000039b
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000039c
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000039d
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000039e
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000039f
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000003a0
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000003a1
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk000003a2
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003a9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003aa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ab
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ac
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ad
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ae
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003af
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003b9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ba
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003be
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003bf
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003c9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ca
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ce
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003cf
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003d9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003da
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003db
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003dc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003dd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003de
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003df
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003e9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ea
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003eb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ec
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ed
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ee
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ef
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003f9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fa
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003fe
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000003ff
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000400
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000401
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000402
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000403
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000404
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000405
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000406
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000407
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000408
+ (viewRef view_1 (cellRef XORCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk00000409
+ (viewRef view_1 (cellRef MUXCY_D (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000040a
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000040b
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000040c
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000040d
+ (viewRef view_1 (cellRef MUXCY_L (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000040e
+ (viewRef view_1 (cellRef MUXCY (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ )
+ (instance blk0000040f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___6___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/opcode_cntrl_dly<1>_eqn1") (owner "Xilinx"))
+ (property INIT (string "FDA8") (owner "Xilinx"))
+ )
+ (instance blk00000410
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "9A") (owner "Xilinx"))
+ )
+ (instance blk00000411
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___1___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_stop_earily/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "5540") (owner "Xilinx"))
+ )
+ (instance blk00000412
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___3___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_blank_reg/gen_blank_regs[0].blank_reg_x/reg_input1") (owner "Xilinx"))
+ (property INIT (string "8808") (owner "Xilinx"))
+ )
+ (instance blk00000413
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___1___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_stop_earily/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "CEEE8AAA") (owner "Xilinx"))
+ )
+ (instance blk00000414
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___4___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_rfd/gen_struct.gen_norm.cntrl/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "8F88") (owner "Xilinx"))
+ )
+ (instance blk00000415
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "72") (owner "Xilinx"))
+ )
+ (instance blk00000416
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___6___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/opcode_cntrl_dly<1>_eqn1") (owner "Xilinx"))
+ (property INIT (string "D8") (owner "Xilinx"))
+ )
+ (instance blk00000417
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000418
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___3___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_blank_reg/gen_blank_regs[0].blank_reg_x/reg_input1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk00000419
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "00008000") (owner "Xilinx"))
+ )
+ (instance blk0000041a
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "08") (owner "Xilinx"))
+ )
+ (instance blk0000041b
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk0000041c
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "D") (owner "Xilinx"))
+ )
+ (instance blk0000041d
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___5___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/Reset_OR_DriverANDClockEnable1") (owner "Xilinx"))
+ (property INIT (string "EA") (owner "Xilinx"))
+ )
+ (instance blk0000041e
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "10") (owner "Xilinx"))
+ )
+ (instance blk0000041f
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000420
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___4___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_rfd/gen_struct.gen_norm.cntrl/Mmux_reg_input11") (owner "Xilinx"))
+ (property INIT (string "80") (owner "Xilinx"))
+ )
+ (instance blk00000421
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "FF8A") (owner "Xilinx"))
+ )
+ (instance blk00000422
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "FFFF2AAA") (owner "Xilinx"))
+ )
+ (instance blk00000423
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk00000424
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk00000425
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "08") (owner "Xilinx"))
+ )
+ (instance blk00000426
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "08") (owner "Xilinx"))
+ )
+ (instance blk00000427
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000428
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___7___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/COEF_LD_coef_ld_dly_AND_138_o1") (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk00000429
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000042a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000042b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DAAA") (owner "Xilinx"))
+ )
+ (instance blk0000042c
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk0000042d
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___7___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/COEF_LD_coef_ld_dly_AND_138_o1") (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk0000042e
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk0000042f
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000430
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DF") (owner "Xilinx"))
+ )
+ (instance blk00000431
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000432
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000433
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000434
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk00000435
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000436
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000437
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000438
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000439
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "09") (owner "Xilinx"))
+ )
+ (instance blk0000043a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000043b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DFA0") (owner "Xilinx"))
+ )
+ (instance blk0000043c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EAAA") (owner "Xilinx"))
+ )
+ (instance blk0000043d
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7F") (owner "Xilinx"))
+ )
+ (instance blk0000043e
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000043f
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk00000440
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "BC") (owner "Xilinx"))
+ )
+ (instance blk00000441
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "F8") (owner "Xilinx"))
+ )
+ (instance blk00000442
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "EA") (owner "Xilinx"))
+ )
+ (instance blk00000443
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "7") (owner "Xilinx"))
+ )
+ (instance blk00000444
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000445
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000446
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk00000447
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___9___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[2].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_167_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000448
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___10___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/sym_delay/CE_WE_AND_184_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk00000449
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___8___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_centre_tap_data_latched/CE_WE_AND_186_o1") (owner "Xilinx"))
+ (property INIT (string "8") (owner "Xilinx"))
+ )
+ (instance blk0000044a
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6") (owner "Xilinx"))
+ )
+ (instance blk0000044b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000044c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000044d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000044e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000044f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000450
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000451
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000452
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000453
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000454
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000455
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000456
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000457
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000458
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000459
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000045a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000045b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000045c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000045d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000045e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000045f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000460
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000461
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000462
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000463
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000464
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000465
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000466
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000467
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000468
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000469
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000046a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000046b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000046c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000046d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000046e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000046f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000470
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000471
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000472
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000473
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000474
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000475
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000476
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000477
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000478
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000479
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000047a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000047b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000047c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000047d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000047e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000047f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000480
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000481
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000482
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000483
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000484
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000485
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000486
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000487
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000488
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000489
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000048a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000048b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000048c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000048d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000048e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000048f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000490
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000491
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000492
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000493
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000494
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000495
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000496
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000497
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000498
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk00000499
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000049a
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000049b
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000049c
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000049d
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000049e
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk0000049f
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a0
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a1
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a3
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a4
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a6
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a7
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a8
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004a9
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004aa
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "5140") (owner "Xilinx"))
+ )
+ (instance blk000004ab
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "04") (owner "Xilinx"))
+ )
+ (instance blk000004ac
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "DE") (owner "Xilinx"))
+ )
+ (instance blk000004ad
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000004ae
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000004af
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000004b0
+ (viewRef view_1 (cellRef LUT2 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "E") (owner "Xilinx"))
+ )
+ (instance blk000004b1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b6
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b7
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b8
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004b9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ba
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bb
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004bc
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004be
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004bf
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c0
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c1
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004c2
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004c3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004c6
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004c7
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004c8
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004c9
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ca
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cb
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cc
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004cd
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ce
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004cf
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004d0
+ (viewRef view_1 (cellRef FDSE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004d1
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d2
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d3
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d4
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d5
+ (viewRef view_1 (cellRef FDRE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property OPTIMIZE_PRIMITIVES_NGC (string "no") (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004d6
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004d7
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004d8
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "08") (owner "Xilinx"))
+ )
+ (instance blk000004d9
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004da
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004db
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004dc
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004dd
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004de
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004df
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e0
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e1
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e2
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e3
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e4
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e5
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e6
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e7
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e8
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004e9
+ (viewRef view_1 (cellRef LUT1 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "2") (owner "Xilinx"))
+ )
+ (instance blk000004ea
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004eb
+ (viewRef view_1 (cellRef FDR (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004ec
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004ed
+ (viewRef view_1 (cellRef FD (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "1") (owner "Xilinx"))
+ )
+ (instance blk000004ee
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "6AAAAAAA") (owner "Xilinx"))
+ )
+ (instance blk000004ef
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk000004f0
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___5___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/Reset_OR_DriverANDClockEnable1") (owner "Xilinx"))
+ (property INIT (string "F4") (owner "Xilinx"))
+ )
+ (instance blk000004f1
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___10___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/sym_delay/CE_WE_AND_184_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk000004f2
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___9___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_filter_block/gen_independant_col[1].gen_split_col[0].gen_taps[2].gen_data_sym_casc.gen_norm.data_sym_casc_dly/CE_WE_AND_167_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk000004f3
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___8___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_centre_tap_data_latched/CE_WE_AND_186_o1") (owner "Xilinx"))
+ (property INIT (string "EA2A") (owner "Xilinx"))
+ )
+ (instance blk000004f4
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___0___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_enable_reload_hb_enable_OR_11_o1") (owner "Xilinx"))
+ (property INIT (string "20AA2020") (owner "Xilinx"))
+ )
+ (instance blk000004f5
+ (viewRef view_1 (cellRef LUT4 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___0___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_enable_reload_hb_enable_OR_11_o1") (owner "Xilinx"))
+ (property INIT (string "1000") (owner "Xilinx"))
+ )
+ (instance blk000004f6
+ (viewRef view_1 (cellRef LUT5 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___2___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_complete_COEF_LD_OR_12_o1") (owner "Xilinx"))
+ (property INIT (string "4F444444") (owner "Xilinx"))
+ )
+ (instance blk000004f7
+ (viewRef view_1 (cellRef LUT3 (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property PK_HLUTNM (string "___XLNM___2___U0/g_mac.mac/fir_select/gen_halfband_decimation.fir/gen_reload.reload_cntrl/reload_complete_COEF_LD_OR_12_o1") (owner "Xilinx"))
+ (property INIT (string "08") (owner "Xilinx"))
+ )
+ (instance blk000004f8
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000004f9
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004fa
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004fb
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004fc
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004fd
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004fe
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk000004ff
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000500
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000501
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000502
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000503
+ (viewRef view_1 (cellRef INV (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ )
+ (instance blk00000504
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000505
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000506
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000507
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000508
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000509
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000050e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000050f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000510
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000511
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000512
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000513
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000514
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000515
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000516
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000517
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000518
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000519
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000051b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000051d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000051e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000051f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000520
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000521
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000522
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000523
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000524
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000525
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000526
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000527
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000528
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000529
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000052b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000052d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000052e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000052f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000530
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000531
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000532
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000533
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000534
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000535
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000536
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000537
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000538
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000539
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000053a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000053c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000053e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000053f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000540
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000541
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000542
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000543
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000544
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000545
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000546
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000547
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000548
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000549
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000054a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000054c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000054e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000054f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000550
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000551
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000552
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000553
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000554
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000555
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000556
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000557
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000558
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000559
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000055b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000055d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000055e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000055f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000560
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000561
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000562
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000563
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000564
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000565
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000566
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000567
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000568
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000569
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000056a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000056c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000056e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000056f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000570
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000571
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000572
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000573
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000574
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000575
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000576
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000577
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000578
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000579
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000057a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000057c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000057e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000057f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000580
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000581
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000582
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000583
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000584
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000585
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000586
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000587
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000588
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000589
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000058b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000058d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000058e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000058f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000590
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000591
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000592
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000593
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000594
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000595
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000596
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000597
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000598
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000599
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000059a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000059b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000059c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000059d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000059e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000059f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005a0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005a2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005a4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005a6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005a8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005a9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005aa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ab
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ac
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ad
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ae
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005af
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005b8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005b9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ba
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005bb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005bc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005bd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005be
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005bf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005c1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005c3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005c5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005c7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005c8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005c9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ca
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005cb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005cc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005cd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ce
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005cf
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005d0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005d2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005d4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005d6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005d8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005d9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005da
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005db
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005dc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005dd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005de
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005df
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005e8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005e9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ea
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005eb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ec
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ed
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005ee
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ef
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f0
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005f1
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f2
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005f3
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f4
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005f5
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f6
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005f7
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005f8
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005f9
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fa
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005fb
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fc
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005fd
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk000005fe
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk000005ff
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000600
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000601
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000602
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000603
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000604
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000605
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000606
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000607
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000608
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000609
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000060b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000060d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000060e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000060f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000610
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000611
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000612
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000613
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000614
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000615
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000616
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000617
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000618
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000619
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000061a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000061b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000061c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000061d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000061e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000061f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000620
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000621
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000622
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000623
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000624
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000625
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000626
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000627
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000628
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000629
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000062b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000062d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000062e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000062f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000630
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000631
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000632
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000633
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000634
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000635
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000636
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000637
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000638
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000639
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000063b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000063d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000063e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000063f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000640
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000641
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000642
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000643
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000644
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000645
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000646
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000647
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000648
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000649
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000064a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000064b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000064c
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000064d
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000064e
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000064f
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000650
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000651
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000652
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000653
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000654
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000655
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000656
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000657
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk00000658
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk00000659
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (instance blk0000065a
+ (viewRef view_1 (cellRef SRLC16E (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0000") (owner "Xilinx"))
+ )
+ (instance blk0000065b
+ (viewRef view_1 (cellRef FDE (libraryRef UNISIMS)))
+ (property XSTLIB (boolean (true)) (owner "Xilinx"))
+ (property INIT (string "0") (owner "Xilinx"))
+ )
+ (net sig00000001
+ (joined
+ (portRef (member coef_din 0))
+ (portRef D (instanceRef blk0000050a))
+ )
+ )
+ (net sig00000002
+ (joined
+ (portRef (member coef_din 1))
+ (portRef D (instanceRef blk0000050c))
+ )
+ )
+ (net sig00000003
+ (joined
+ (portRef (member coef_din 2))
+ (portRef D (instanceRef blk00000508))
+ )
+ )
+ (net sig00000004
+ (joined
+ (portRef (member coef_din 3))
+ (portRef D (instanceRef blk00000510))
+ )
+ )
+ (net sig00000005
+ (joined
+ (portRef (member coef_din 4))
+ (portRef D (instanceRef blk00000512))
+ )
+ )
+ (net sig00000006
+ (joined
+ (portRef (member coef_din 5))
+ (portRef D (instanceRef blk0000050e))
+ )
+ )
+ (net sig00000007
+ (joined
+ (portRef (member coef_din 6))
+ (portRef D (instanceRef blk00000514))
+ )
+ )
+ (net sig00000008
+ (joined
+ (portRef (member coef_din 7))
+ (portRef D (instanceRef blk00000516))
+ )
+ )
+ (net sig00000009
+ (joined
+ (portRef (member coef_din 8))
+ (portRef D (instanceRef blk0000051a))
+ )
+ )
+ (net sig0000000a
+ (joined
+ (portRef (member coef_din 9))
+ (portRef D (instanceRef blk0000051c))
+ )
+ )
+ (net sig0000000b
+ (joined
+ (portRef (member coef_din 10))
+ (portRef D (instanceRef blk00000518))
+ )
+ )
+ (net sig0000000c
+ (joined
+ (portRef (member coef_din 11))
+ (portRef D (instanceRef blk00000520))
+ )
+ )
+ (net sig0000000d
+ (joined
+ (portRef (member coef_din 12))
+ (portRef D (instanceRef blk00000522))
+ )
+ )
+ (net sig0000000e
+ (joined
+ (portRef (member coef_din 13))
+ (portRef D (instanceRef blk0000051e))
+ )
+ )
+ (net sig0000000f
+ (joined
+ (portRef (member coef_din 14))
+ (portRef D (instanceRef blk00000526))
+ )
+ )
+ (net sig00000010
+ (joined
+ (portRef (member coef_din 15))
+ (portRef D (instanceRef blk00000528))
+ )
+ )
+ (net sig00000011
+ (joined
+ (portRef (member coef_din 16))
+ (portRef D (instanceRef blk00000524))
+ )
+ )
+ (net sig00000012
+ (joined
+ (portRef (member coef_din 17))
+ (portRef D (instanceRef blk0000052a))
+ )
+ )
+ (net sig00000013
+ (joined
+ (portRef (member din_1 0))
+ (portRef (member DA_IN 24) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000014
+ (joined
+ (portRef (member din_1 1))
+ (portRef (member DA_IN 25) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000015
+ (joined
+ (portRef (member din_1 2))
+ (portRef (member DA_IN 26) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000016
+ (joined
+ (portRef (member din_1 3))
+ (portRef (member DA_IN 27) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000017
+ (joined
+ (portRef (member din_1 4))
+ (portRef (member DA_IN 28) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000018
+ (joined
+ (portRef (member din_1 5))
+ (portRef (member DA_IN 29) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000019
+ (joined
+ (portRef (member din_1 6))
+ (portRef (member DA_IN 30) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000001a
+ (joined
+ (portRef (member din_1 7))
+ (portRef (member DA_IN 31) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000001b
+ (joined
+ (portRef (member din_1 8))
+ (portRef (member DA_IN 32) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000001c
+ (joined
+ (portRef (member din_1 9))
+ (portRef (member DA_IN 33) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000001d
+ (joined
+ (portRef (member din_1 10))
+ (portRef (member DA_IN 34) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000001e
+ (joined
+ (portRef (member din_1 11))
+ (portRef (member DA_IN 35) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000001f
+ (joined
+ (portRef (member din_1 12))
+ (portRef (member DA_IN 36) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000020
+ (joined
+ (portRef (member din_1 13))
+ (portRef (member DA_IN 37) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000021
+ (joined
+ (portRef (member din_1 14))
+ (portRef (member DA_IN 38) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000022
+ (joined
+ (portRef (member din_1 15))
+ (portRef (member DA_IN 39) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000023
+ (joined
+ (portRef (member din_1 16))
+ (portRef (member DA_IN 40) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000024
+ (joined
+ (portRef (member din_1 17))
+ (portRef (member DA_IN 41) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000025
+ (joined
+ (portRef (member din_1 18))
+ (portRef (member DA_IN 42) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000026
+ (joined
+ (portRef (member din_1 19))
+ (portRef (member DA_IN 43) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000027
+ (joined
+ (portRef (member din_1 20))
+ (portRef (member DA_IN 44) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000028
+ (joined
+ (portRef (member din_1 21))
+ (portRef (member DA_IN 45) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000029
+ (joined
+ (portRef (member din_1 22))
+ (portRef (member DA_IN 46) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000002a
+ (joined
+ (portRef (member din_1 23))
+ (portRef (member DA_IN 47) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000002b
+ (joined
+ (portRef (member din_2 0))
+ (portRef (member DA_IN 0) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000002c
+ (joined
+ (portRef (member din_2 1))
+ (portRef (member DA_IN 1) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000002d
+ (joined
+ (portRef (member din_2 2))
+ (portRef (member DA_IN 2) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000002e
+ (joined
+ (portRef (member din_2 3))
+ (portRef (member DA_IN 3) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000002f
+ (joined
+ (portRef (member din_2 4))
+ (portRef (member DA_IN 4) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000030
+ (joined
+ (portRef (member din_2 5))
+ (portRef (member DA_IN 5) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000031
+ (joined
+ (portRef (member din_2 6))
+ (portRef (member DA_IN 6) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000032
+ (joined
+ (portRef (member din_2 7))
+ (portRef (member DA_IN 7) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000033
+ (joined
+ (portRef (member din_2 8))
+ (portRef (member DA_IN 8) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000034
+ (joined
+ (portRef (member din_2 9))
+ (portRef (member DA_IN 9) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000035
+ (joined
+ (portRef (member din_2 10))
+ (portRef (member DA_IN 10) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000036
+ (joined
+ (portRef (member din_2 11))
+ (portRef (member DA_IN 11) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000037
+ (joined
+ (portRef (member din_2 12))
+ (portRef (member DA_IN 12) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000038
+ (joined
+ (portRef (member din_2 13))
+ (portRef (member DA_IN 13) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000039
+ (joined
+ (portRef (member din_2 14))
+ (portRef (member DA_IN 14) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000003a
+ (joined
+ (portRef (member din_2 15))
+ (portRef (member DA_IN 15) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000003b
+ (joined
+ (portRef (member din_2 16))
+ (portRef (member DA_IN 16) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000003c
+ (joined
+ (portRef (member din_2 17))
+ (portRef (member DA_IN 17) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000003d
+ (joined
+ (portRef (member din_2 18))
+ (portRef (member DA_IN 18) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000003e
+ (joined
+ (portRef (member din_2 19))
+ (portRef (member DA_IN 19) (instanceRef blk0000002a))
+ )
+ )
+ (net sig0000003f
+ (joined
+ (portRef (member din_2 20))
+ (portRef (member DA_IN 20) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000040
+ (joined
+ (portRef (member din_2 21))
+ (portRef (member DA_IN 21) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000041
+ (joined
+ (portRef (member din_2 22))
+ (portRef (member DA_IN 22) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000042
+ (joined
+ (portRef (member din_2 23))
+ (portRef (member DA_IN 23) (instanceRef blk0000002a))
+ )
+ )
+ (net sig00000043
+ (joined
+ (portRef sclr)
+ (portRef S (instanceRef blk00000013))
+ (portRef R (instanceRef blk00000014))
+ (portRef R (instanceRef blk00000015))
+ (portRef R (instanceRef blk00000018))
+ (portRef R (instanceRef blk00000019))
+ (portRef R (instanceRef blk0000001a))
+ (portRef R (instanceRef blk0000001b))
+ (portRef R (instanceRef blk0000001c))
+ (portRef R (instanceRef blk0000001d))
+ (portRef R (instanceRef blk0000001e))
+ (portRef R (instanceRef blk0000001f))
+ (portRef S (instanceRef blk00000020))
+ (portRef R (instanceRef blk00000021))
+ (portRef S (instanceRef blk00000022))
+ (portRef R (instanceRef blk00000024))
+ (portRef R (instanceRef blk00000025))
+ (portRef R (instanceRef blk00000027))
+ (portRef R (instanceRef blk00000028))
+ (portRef R (instanceRef blk00000029))
+ (portRef SCLR (instanceRef blk0000002a))
+ (portRef R (instanceRef blk000000df))
+ (portRef R (instanceRef blk000000e3))
+ (portRef R (instanceRef blk0000010d))
+ (portRef R (instanceRef blk0000010e))
+ (portRef R (instanceRef blk0000010f))
+ (portRef R (instanceRef blk00000110))
+ (portRef R (instanceRef blk00000111))
+ (portRef R (instanceRef blk00000112))
+ (portRef R (instanceRef blk00000113))
+ (portRef R (instanceRef blk00000114))
+ (portRef R (instanceRef blk00000115))
+ (portRef R (instanceRef blk00000116))
+ (portRef R (instanceRef blk00000117))
+ (portRef R (instanceRef blk00000118))
+ (portRef SCLR (instanceRef blk00000119))
+ (portRef SCLR (instanceRef blk0000014c))
+ (portRef SCLR (instanceRef blk0000017f))
+ (portRef SCLR (instanceRef blk000001b2))
+ (portRef SCLR (instanceRef blk000001e5))
+ (portRef SCLR (instanceRef blk00000218))
+ (portRef SCLR (instanceRef blk0000024b))
+ (portRef SCLR (instanceRef blk0000027e))
+ (portRef SCLR (instanceRef blk000002b1))
+ (portRef SCLR (instanceRef blk000002ea))
+ (portRef R (instanceRef blk00000311))
+ (portRef R (instanceRef blk00000312))
+ (portRef R (instanceRef blk00000313))
+ (portRef R (instanceRef blk00000314))
+ (portRef R (instanceRef blk00000315))
+ (portRef R (instanceRef blk00000316))
+ (portRef R (instanceRef blk00000317))
+ (portRef R (instanceRef blk00000318))
+ (portRef R (instanceRef blk00000319))
+ (portRef R (instanceRef blk0000031a))
+ (portRef R (instanceRef blk0000031b))
+ (portRef R (instanceRef blk0000031c))
+ (portRef R (instanceRef blk0000031d))
+ (portRef R (instanceRef blk0000031e))
+ (portRef R (instanceRef blk0000031f))
+ (portRef R (instanceRef blk00000320))
+ (portRef R (instanceRef blk00000321))
+ (portRef R (instanceRef blk00000322))
+ (portRef R (instanceRef blk00000323))
+ (portRef R (instanceRef blk00000324))
+ (portRef R (instanceRef blk00000325))
+ (portRef R (instanceRef blk00000326))
+ (portRef R (instanceRef blk00000327))
+ (portRef R (instanceRef blk00000328))
+ (portRef R (instanceRef blk00000329))
+ (portRef R (instanceRef blk0000032a))
+ (portRef R (instanceRef blk0000032b))
+ (portRef R (instanceRef blk0000032c))
+ (portRef R (instanceRef blk0000032d))
+ (portRef R (instanceRef blk0000032e))
+ (portRef R (instanceRef blk0000032f))
+ (portRef R (instanceRef blk00000330))
+ (portRef R (instanceRef blk00000331))
+ (portRef R (instanceRef blk00000332))
+ (portRef R (instanceRef blk00000333))
+ (portRef R (instanceRef blk00000334))
+ (portRef R (instanceRef blk00000335))
+ (portRef R (instanceRef blk00000336))
+ (portRef R (instanceRef blk00000337))
+ (portRef R (instanceRef blk00000338))
+ (portRef R (instanceRef blk00000339))
+ (portRef R (instanceRef blk0000033a))
+ (portRef R (instanceRef blk0000033b))
+ (portRef R (instanceRef blk0000033c))
+ (portRef R (instanceRef blk0000033d))
+ (portRef R (instanceRef blk0000033e))
+ (portRef R (instanceRef blk0000033f))
+ (portRef R (instanceRef blk00000340))
+ (portRef R (instanceRef blk00000341))
+ (portRef R (instanceRef blk00000342))
+ (portRef R (instanceRef blk00000343))
+ (portRef R (instanceRef blk00000344))
+ (portRef R (instanceRef blk00000345))
+ (portRef R (instanceRef blk00000346))
+ (portRef R (instanceRef blk00000347))
+ (portRef R (instanceRef blk00000348))
+ (portRef R (instanceRef blk00000349))
+ (portRef R (instanceRef blk0000034a))
+ (portRef R (instanceRef blk0000034b))
+ (portRef R (instanceRef blk0000034c))
+ (portRef R (instanceRef blk0000034d))
+ (portRef R (instanceRef blk0000034e))
+ (portRef R (instanceRef blk0000034f))
+ (portRef R (instanceRef blk00000350))
+ (portRef R (instanceRef blk00000351))
+ (portRef R (instanceRef blk00000352))
+ (portRef R (instanceRef blk00000353))
+ (portRef R (instanceRef blk00000354))
+ (portRef R (instanceRef blk00000355))
+ (portRef R (instanceRef blk00000356))
+ (portRef R (instanceRef blk00000357))
+ (portRef R (instanceRef blk00000358))
+ (portRef R (instanceRef blk00000359))
+ (portRef R (instanceRef blk0000035a))
+ (portRef R (instanceRef blk0000035b))
+ (portRef R (instanceRef blk0000035c))
+ (portRef R (instanceRef blk0000035d))
+ (portRef R (instanceRef blk0000035e))
+ (portRef R (instanceRef blk0000035f))
+ (portRef R (instanceRef blk00000360))
+ (portRef R (instanceRef blk00000361))
+ (portRef R (instanceRef blk00000362))
+ (portRef R (instanceRef blk00000363))
+ (portRef R (instanceRef blk00000364))
+ (portRef R (instanceRef blk00000365))
+ (portRef R (instanceRef blk00000366))
+ (portRef R (instanceRef blk00000367))
+ (portRef R (instanceRef blk00000368))
+ (portRef R (instanceRef blk00000369))
+ (portRef R (instanceRef blk0000036a))
+ (portRef R (instanceRef blk0000036b))
+ (portRef R (instanceRef blk0000036c))
+ (portRef R (instanceRef blk0000036d))
+ (portRef R (instanceRef blk0000036e))
+ (portRef R (instanceRef blk0000036f))
+ (portRef R (instanceRef blk00000370))
+ (portRef SCLR (instanceRef blk00000371))
+ (portRef R (instanceRef blk000003a3))
+ (portRef R (instanceRef blk000003a4))
+ (portRef R (instanceRef blk000003a5))
+ (portRef R (instanceRef blk000003a6))
+ (portRef R (instanceRef blk000003a7))
+ (portRef R (instanceRef blk000003a8))
+ (portRef R (instanceRef blk000003a9))
+ (portRef R (instanceRef blk000003aa))
+ (portRef R (instanceRef blk000003ab))
+ (portRef R (instanceRef blk000003ac))
+ (portRef R (instanceRef blk000003ad))
+ (portRef R (instanceRef blk000003ae))
+ (portRef R (instanceRef blk000003af))
+ (portRef R (instanceRef blk000003b0))
+ (portRef R (instanceRef blk000003b1))
+ (portRef R (instanceRef blk000003b2))
+ (portRef R (instanceRef blk000003b3))
+ (portRef R (instanceRef blk000003b4))
+ (portRef R (instanceRef blk000003b5))
+ (portRef R (instanceRef blk000003b6))
+ (portRef R (instanceRef blk000003b7))
+ (portRef R (instanceRef blk000003b8))
+ (portRef R (instanceRef blk000003b9))
+ (portRef R (instanceRef blk000003ba))
+ (portRef R (instanceRef blk000003bb))
+ (portRef R (instanceRef blk000003bc))
+ (portRef R (instanceRef blk000003bd))
+ (portRef R (instanceRef blk000003be))
+ (portRef R (instanceRef blk000003bf))
+ (portRef R (instanceRef blk000003c0))
+ (portRef R (instanceRef blk000003c1))
+ (portRef R (instanceRef blk000003c2))
+ (portRef R (instanceRef blk000003c3))
+ (portRef R (instanceRef blk000003c4))
+ (portRef R (instanceRef blk000003c5))
+ (portRef R (instanceRef blk000003c6))
+ (portRef R (instanceRef blk000003c7))
+ (portRef R (instanceRef blk000003c8))
+ (portRef R (instanceRef blk000003c9))
+ (portRef R (instanceRef blk000003ca))
+ (portRef R (instanceRef blk000003cb))
+ (portRef R (instanceRef blk000003cc))
+ (portRef R (instanceRef blk000003cd))
+ (portRef R (instanceRef blk000003ce))
+ (portRef R (instanceRef blk000003cf))
+ (portRef R (instanceRef blk000003d0))
+ (portRef R (instanceRef blk000003d1))
+ (portRef R (instanceRef blk000003d2))
+ (portRef R (instanceRef blk000003d3))
+ (portRef R (instanceRef blk000003d4))
+ (portRef R (instanceRef blk000003d5))
+ (portRef R (instanceRef blk000003d6))
+ (portRef R (instanceRef blk000003d7))
+ (portRef R (instanceRef blk000003d8))
+ (portRef R (instanceRef blk000003d9))
+ (portRef R (instanceRef blk000003da))
+ (portRef R (instanceRef blk000003db))
+ (portRef R (instanceRef blk000003dc))
+ (portRef R (instanceRef blk000003dd))
+ (portRef R (instanceRef blk000003de))
+ (portRef R (instanceRef blk000003df))
+ (portRef R (instanceRef blk000003e0))
+ (portRef R (instanceRef blk000003e1))
+ (portRef R (instanceRef blk000003e2))
+ (portRef R (instanceRef blk000003e3))
+ (portRef R (instanceRef blk000003e4))
+ (portRef R (instanceRef blk000003e5))
+ (portRef R (instanceRef blk000003e6))
+ (portRef R (instanceRef blk000003e7))
+ (portRef R (instanceRef blk000003e8))
+ (portRef R (instanceRef blk000003e9))
+ (portRef R (instanceRef blk000003ea))
+ (portRef R (instanceRef blk000003eb))
+ (portRef R (instanceRef blk000003ec))
+ (portRef R (instanceRef blk000003ed))
+ (portRef R (instanceRef blk000003ee))
+ (portRef R (instanceRef blk000003ef))
+ (portRef R (instanceRef blk000003f0))
+ (portRef R (instanceRef blk000003f1))
+ (portRef R (instanceRef blk000003f2))
+ (portRef R (instanceRef blk000003f3))
+ (portRef R (instanceRef blk000003f4))
+ (portRef R (instanceRef blk000003f5))
+ (portRef R (instanceRef blk000003f6))
+ (portRef R (instanceRef blk000003f7))
+ (portRef R (instanceRef blk000003f8))
+ (portRef R (instanceRef blk000003f9))
+ (portRef R (instanceRef blk000003fa))
+ (portRef R (instanceRef blk000003fb))
+ (portRef R (instanceRef blk000003fc))
+ (portRef R (instanceRef blk000003fd))
+ (portRef R (instanceRef blk000003fe))
+ (portRef R (instanceRef blk000003ff))
+ (portRef R (instanceRef blk00000400))
+ (portRef R (instanceRef blk00000401))
+ (portRef R (instanceRef blk00000402))
+ (portRef R (instanceRef blk00000403))
+ (portRef I0 (instanceRef blk0000041d))
+ (portRef I0 (instanceRef blk0000043f))
+ (portRef R (instanceRef blk000004b4))
+ (portRef R (instanceRef blk000004b5))
+ (portRef R (instanceRef blk000004b6))
+ (portRef R (instanceRef blk000004bc))
+ (portRef R (instanceRef blk000004bd))
+ (portRef R (instanceRef blk000004be))
+ (portRef R (instanceRef blk000004bf))
+ (portRef R (instanceRef blk000004c0))
+ (portRef S (instanceRef blk000004c1))
+ (portRef S (instanceRef blk000004cc))
+ (portRef R (instanceRef blk000004cd))
+ (portRef R (instanceRef blk000004ce))
+ (portRef S (instanceRef blk000004cf))
+ (portRef S (instanceRef blk000004d0))
+ (portRef R (instanceRef blk000004d1))
+ (portRef R (instanceRef blk000004d2))
+ (portRef R (instanceRef blk000004d3))
+ (portRef R (instanceRef blk000004d4))
+ (portRef R (instanceRef blk000004d5))
+ (portRef R (instanceRef blk000004ea))
+ (portRef R (instanceRef blk000004eb))
+ (portRef I1 (instanceRef blk000004f0))
+ )
+ )
+ (net sig00000044
+ (joined
+ (portRef clk)
+ (portRef C (instanceRef blk00000006))
+ (portRef C (instanceRef blk00000007))
+ (portRef C (instanceRef blk00000008))
+ (portRef CLK (instanceRef blk0000000e))
+ (portRef CLK (instanceRef blk0000000f))
+ (portRef C (instanceRef blk00000013))
+ (portRef C (instanceRef blk00000014))
+ (portRef C (instanceRef blk00000015))
+ (portRef C (instanceRef blk00000016))
+ (portRef C (instanceRef blk00000017))
+ (portRef C (instanceRef blk00000018))
+ (portRef C (instanceRef blk00000019))
+ (portRef C (instanceRef blk0000001a))
+ (portRef C (instanceRef blk0000001b))
+ (portRef C (instanceRef blk0000001c))
+ (portRef C (instanceRef blk0000001d))
+ (portRef C (instanceRef blk0000001e))
+ (portRef C (instanceRef blk0000001f))
+ (portRef C (instanceRef blk00000020))
+ (portRef C (instanceRef blk00000021))
+ (portRef C (instanceRef blk00000022))
+ (portRef C (instanceRef blk00000023))
+ (portRef C (instanceRef blk00000024))
+ (portRef C (instanceRef blk00000025))
+ (portRef C (instanceRef blk00000026))
+ (portRef C (instanceRef blk00000027))
+ (portRef C (instanceRef blk00000028))
+ (portRef C (instanceRef blk00000029))
+ (portRef CLK (instanceRef blk0000002a))
+ (portRef C (instanceRef blk0000008d))
+ (portRef C (instanceRef blk0000008e))
+ (portRef C (instanceRef blk00000098))
+ (portRef C (instanceRef blk00000099))
+ (portRef C (instanceRef blk0000009a))
+ (portRef C (instanceRef blk0000009b))
+ (portRef C (instanceRef blk0000009c))
+ (portRef C (instanceRef blk0000009d))
+ (portRef C (instanceRef blk0000009e))
+ (portRef C (instanceRef blk0000009f))
+ (portRef C (instanceRef blk000000a0))
+ (portRef C (instanceRef blk000000a1))
+ (portRef C (instanceRef blk000000a2))
+ (portRef C (instanceRef blk000000a3))
+ (portRef C (instanceRef blk000000a4))
+ (portRef C (instanceRef blk000000a5))
+ (portRef C (instanceRef blk000000a6))
+ (portRef C (instanceRef blk000000a7))
+ (portRef C (instanceRef blk000000a8))
+ (portRef C (instanceRef blk000000a9))
+ (portRef C (instanceRef blk000000aa))
+ (portRef C (instanceRef blk000000ab))
+ (portRef C (instanceRef blk000000ac))
+ (portRef C (instanceRef blk000000ad))
+ (portRef C (instanceRef blk000000ae))
+ (portRef C (instanceRef blk000000af))
+ (portRef C (instanceRef blk000000c5))
+ (portRef C (instanceRef blk000000c6))
+ (portRef C (instanceRef blk000000c7))
+ (portRef C (instanceRef blk000000d0))
+ (portRef C (instanceRef blk000000d1))
+ (portRef C (instanceRef blk000000d9))
+ (portRef C (instanceRef blk000000da))
+ (portRef C (instanceRef blk000000df))
+ (portRef C (instanceRef blk000000e3))
+ (portRef C (instanceRef blk000000ed))
+ (portRef C (instanceRef blk00000101))
+ (portRef C (instanceRef blk00000102))
+ (portRef C (instanceRef blk00000103))
+ (portRef CLK (instanceRef blk00000104))
+ (portRef CLK (instanceRef blk00000105))
+ (portRef CLK (instanceRef blk00000106))
+ (portRef CLK (instanceRef blk00000107))
+ (portRef C (instanceRef blk00000108))
+ (portRef C (instanceRef blk00000109))
+ (portRef C (instanceRef blk0000010a))
+ (portRef C (instanceRef blk0000010b))
+ (portRef C (instanceRef blk0000010c))
+ (portRef C (instanceRef blk0000010d))
+ (portRef C (instanceRef blk0000010e))
+ (portRef C (instanceRef blk0000010f))
+ (portRef C (instanceRef blk00000110))
+ (portRef C (instanceRef blk00000111))
+ (portRef C (instanceRef blk00000112))
+ (portRef C (instanceRef blk00000113))
+ (portRef C (instanceRef blk00000114))
+ (portRef C (instanceRef blk00000115))
+ (portRef C (instanceRef blk00000116))
+ (portRef C (instanceRef blk00000117))
+ (portRef C (instanceRef blk00000118))
+ (portRef CLK (instanceRef blk00000119))
+ (portRef CLK (instanceRef blk0000014c))
+ (portRef CLK (instanceRef blk0000017f))
+ (portRef CLK (instanceRef blk000001b2))
+ (portRef CLK (instanceRef blk000001e5))
+ (portRef CLK (instanceRef blk00000218))
+ (portRef CLK (instanceRef blk0000024b))
+ (portRef CLK (instanceRef blk0000027e))
+ (portRef CLK (instanceRef blk000002b1))
+ (portRef CLK (instanceRef blk000002ea))
+ (portRef C (instanceRef blk00000311))
+ (portRef C (instanceRef blk00000312))
+ (portRef C (instanceRef blk00000313))
+ (portRef C (instanceRef blk00000314))
+ (portRef C (instanceRef blk00000315))
+ (portRef C (instanceRef blk00000316))
+ (portRef C (instanceRef blk00000317))
+ (portRef C (instanceRef blk00000318))
+ (portRef C (instanceRef blk00000319))
+ (portRef C (instanceRef blk0000031a))
+ (portRef C (instanceRef blk0000031b))
+ (portRef C (instanceRef blk0000031c))
+ (portRef C (instanceRef blk0000031d))
+ (portRef C (instanceRef blk0000031e))
+ (portRef C (instanceRef blk0000031f))
+ (portRef C (instanceRef blk00000320))
+ (portRef C (instanceRef blk00000321))
+ (portRef C (instanceRef blk00000322))
+ (portRef C (instanceRef blk00000323))
+ (portRef C (instanceRef blk00000324))
+ (portRef C (instanceRef blk00000325))
+ (portRef C (instanceRef blk00000326))
+ (portRef C (instanceRef blk00000327))
+ (portRef C (instanceRef blk00000328))
+ (portRef C (instanceRef blk00000329))
+ (portRef C (instanceRef blk0000032a))
+ (portRef C (instanceRef blk0000032b))
+ (portRef C (instanceRef blk0000032c))
+ (portRef C (instanceRef blk0000032d))
+ (portRef C (instanceRef blk0000032e))
+ (portRef C (instanceRef blk0000032f))
+ (portRef C (instanceRef blk00000330))
+ (portRef C (instanceRef blk00000331))
+ (portRef C (instanceRef blk00000332))
+ (portRef C (instanceRef blk00000333))
+ (portRef C (instanceRef blk00000334))
+ (portRef C (instanceRef blk00000335))
+ (portRef C (instanceRef blk00000336))
+ (portRef C (instanceRef blk00000337))
+ (portRef C (instanceRef blk00000338))
+ (portRef C (instanceRef blk00000339))
+ (portRef C (instanceRef blk0000033a))
+ (portRef C (instanceRef blk0000033b))
+ (portRef C (instanceRef blk0000033c))
+ (portRef C (instanceRef blk0000033d))
+ (portRef C (instanceRef blk0000033e))
+ (portRef C (instanceRef blk0000033f))
+ (portRef C (instanceRef blk00000340))
+ (portRef C (instanceRef blk00000341))
+ (portRef C (instanceRef blk00000342))
+ (portRef C (instanceRef blk00000343))
+ (portRef C (instanceRef blk00000344))
+ (portRef C (instanceRef blk00000345))
+ (portRef C (instanceRef blk00000346))
+ (portRef C (instanceRef blk00000347))
+ (portRef C (instanceRef blk00000348))
+ (portRef C (instanceRef blk00000349))
+ (portRef C (instanceRef blk0000034a))
+ (portRef C (instanceRef blk0000034b))
+ (portRef C (instanceRef blk0000034c))
+ (portRef C (instanceRef blk0000034d))
+ (portRef C (instanceRef blk0000034e))
+ (portRef C (instanceRef blk0000034f))
+ (portRef C (instanceRef blk00000350))
+ (portRef C (instanceRef blk00000351))
+ (portRef C (instanceRef blk00000352))
+ (portRef C (instanceRef blk00000353))
+ (portRef C (instanceRef blk00000354))
+ (portRef C (instanceRef blk00000355))
+ (portRef C (instanceRef blk00000356))
+ (portRef C (instanceRef blk00000357))
+ (portRef C (instanceRef blk00000358))
+ (portRef C (instanceRef blk00000359))
+ (portRef C (instanceRef blk0000035a))
+ (portRef C (instanceRef blk0000035b))
+ (portRef C (instanceRef blk0000035c))
+ (portRef C (instanceRef blk0000035d))
+ (portRef C (instanceRef blk0000035e))
+ (portRef C (instanceRef blk0000035f))
+ (portRef C (instanceRef blk00000360))
+ (portRef C (instanceRef blk00000361))
+ (portRef C (instanceRef blk00000362))
+ (portRef C (instanceRef blk00000363))
+ (portRef C (instanceRef blk00000364))
+ (portRef C (instanceRef blk00000365))
+ (portRef C (instanceRef blk00000366))
+ (portRef C (instanceRef blk00000367))
+ (portRef C (instanceRef blk00000368))
+ (portRef C (instanceRef blk00000369))
+ (portRef C (instanceRef blk0000036a))
+ (portRef C (instanceRef blk0000036b))
+ (portRef C (instanceRef blk0000036c))
+ (portRef C (instanceRef blk0000036d))
+ (portRef C (instanceRef blk0000036e))
+ (portRef C (instanceRef blk0000036f))
+ (portRef C (instanceRef blk00000370))
+ (portRef CLK (instanceRef blk00000371))
+ (portRef C (instanceRef blk000003a2))
+ (portRef C (instanceRef blk000003a3))
+ (portRef C (instanceRef blk000003a4))
+ (portRef C (instanceRef blk000003a5))
+ (portRef C (instanceRef blk000003a6))
+ (portRef C (instanceRef blk000003a7))
+ (portRef C (instanceRef blk000003a8))
+ (portRef C (instanceRef blk000003a9))
+ (portRef C (instanceRef blk000003aa))
+ (portRef C (instanceRef blk000003ab))
+ (portRef C (instanceRef blk000003ac))
+ (portRef C (instanceRef blk000003ad))
+ (portRef C (instanceRef blk000003ae))
+ (portRef C (instanceRef blk000003af))
+ (portRef C (instanceRef blk000003b0))
+ (portRef C (instanceRef blk000003b1))
+ (portRef C (instanceRef blk000003b2))
+ (portRef C (instanceRef blk000003b3))
+ (portRef C (instanceRef blk000003b4))
+ (portRef C (instanceRef blk000003b5))
+ (portRef C (instanceRef blk000003b6))
+ (portRef C (instanceRef blk000003b7))
+ (portRef C (instanceRef blk000003b8))
+ (portRef C (instanceRef blk000003b9))
+ (portRef C (instanceRef blk000003ba))
+ (portRef C (instanceRef blk000003bb))
+ (portRef C (instanceRef blk000003bc))
+ (portRef C (instanceRef blk000003bd))
+ (portRef C (instanceRef blk000003be))
+ (portRef C (instanceRef blk000003bf))
+ (portRef C (instanceRef blk000003c0))
+ (portRef C (instanceRef blk000003c1))
+ (portRef C (instanceRef blk000003c2))
+ (portRef C (instanceRef blk000003c3))
+ (portRef C (instanceRef blk000003c4))
+ (portRef C (instanceRef blk000003c5))
+ (portRef C (instanceRef blk000003c6))
+ (portRef C (instanceRef blk000003c7))
+ (portRef C (instanceRef blk000003c8))
+ (portRef C (instanceRef blk000003c9))
+ (portRef C (instanceRef blk000003ca))
+ (portRef C (instanceRef blk000003cb))
+ (portRef C (instanceRef blk000003cc))
+ (portRef C (instanceRef blk000003cd))
+ (portRef C (instanceRef blk000003ce))
+ (portRef C (instanceRef blk000003cf))
+ (portRef C (instanceRef blk000003d0))
+ (portRef C (instanceRef blk000003d1))
+ (portRef C (instanceRef blk000003d2))
+ (portRef C (instanceRef blk000003d3))
+ (portRef C (instanceRef blk000003d4))
+ (portRef C (instanceRef blk000003d5))
+ (portRef C (instanceRef blk000003d6))
+ (portRef C (instanceRef blk000003d7))
+ (portRef C (instanceRef blk000003d8))
+ (portRef C (instanceRef blk000003d9))
+ (portRef C (instanceRef blk000003da))
+ (portRef C (instanceRef blk000003db))
+ (portRef C (instanceRef blk000003dc))
+ (portRef C (instanceRef blk000003dd))
+ (portRef C (instanceRef blk000003de))
+ (portRef C (instanceRef blk000003df))
+ (portRef C (instanceRef blk000003e0))
+ (portRef C (instanceRef blk000003e1))
+ (portRef C (instanceRef blk000003e2))
+ (portRef C (instanceRef blk000003e3))
+ (portRef C (instanceRef blk000003e4))
+ (portRef C (instanceRef blk000003e5))
+ (portRef C (instanceRef blk000003e6))
+ (portRef C (instanceRef blk000003e7))
+ (portRef C (instanceRef blk000003e8))
+ (portRef C (instanceRef blk000003e9))
+ (portRef C (instanceRef blk000003ea))
+ (portRef C (instanceRef blk000003eb))
+ (portRef C (instanceRef blk000003ec))
+ (portRef C (instanceRef blk000003ed))
+ (portRef C (instanceRef blk000003ee))
+ (portRef C (instanceRef blk000003ef))
+ (portRef C (instanceRef blk000003f0))
+ (portRef C (instanceRef blk000003f1))
+ (portRef C (instanceRef blk000003f2))
+ (portRef C (instanceRef blk000003f3))
+ (portRef C (instanceRef blk000003f4))
+ (portRef C (instanceRef blk000003f5))
+ (portRef C (instanceRef blk000003f6))
+ (portRef C (instanceRef blk000003f7))
+ (portRef C (instanceRef blk000003f8))
+ (portRef C (instanceRef blk000003f9))
+ (portRef C (instanceRef blk000003fa))
+ (portRef C (instanceRef blk000003fb))
+ (portRef C (instanceRef blk000003fc))
+ (portRef C (instanceRef blk000003fd))
+ (portRef C (instanceRef blk000003fe))
+ (portRef C (instanceRef blk000003ff))
+ (portRef C (instanceRef blk00000400))
+ (portRef C (instanceRef blk00000401))
+ (portRef C (instanceRef blk00000402))
+ (portRef C (instanceRef blk00000403))
+ (portRef C (instanceRef blk000004b1))
+ (portRef C (instanceRef blk000004b2))
+ (portRef C (instanceRef blk000004b3))
+ (portRef C (instanceRef blk000004b4))
+ (portRef C (instanceRef blk000004b5))
+ (portRef C (instanceRef blk000004b6))
+ (portRef C (instanceRef blk000004b7))
+ (portRef C (instanceRef blk000004b8))
+ (portRef C (instanceRef blk000004b9))
+ (portRef C (instanceRef blk000004ba))
+ (portRef C (instanceRef blk000004bb))
+ (portRef C (instanceRef blk000004bc))
+ (portRef C (instanceRef blk000004bd))
+ (portRef C (instanceRef blk000004be))
+ (portRef C (instanceRef blk000004bf))
+ (portRef C (instanceRef blk000004c0))
+ (portRef C (instanceRef blk000004c1))
+ (portRef C (instanceRef blk000004c2))
+ (portRef C (instanceRef blk000004c3))
+ (portRef C (instanceRef blk000004c4))
+ (portRef C (instanceRef blk000004c5))
+ (portRef C (instanceRef blk000004c6))
+ (portRef C (instanceRef blk000004c7))
+ (portRef C (instanceRef blk000004c8))
+ (portRef C (instanceRef blk000004c9))
+ (portRef C (instanceRef blk000004ca))
+ (portRef C (instanceRef blk000004cb))
+ (portRef C (instanceRef blk000004cc))
+ (portRef C (instanceRef blk000004cd))
+ (portRef C (instanceRef blk000004ce))
+ (portRef C (instanceRef blk000004cf))
+ (portRef C (instanceRef blk000004d0))
+ (portRef C (instanceRef blk000004d1))
+ (portRef C (instanceRef blk000004d2))
+ (portRef C (instanceRef blk000004d3))
+ (portRef C (instanceRef blk000004d4))
+ (portRef C (instanceRef blk000004d5))
+ (portRef C (instanceRef blk000004ea))
+ (portRef C (instanceRef blk000004eb))
+ (portRef C (instanceRef blk000004ec))
+ (portRef C (instanceRef blk000004ed))
+ (portRef C (instanceRef blk000004f8))
+ (portRef CLK (instanceRef blk00000504))
+ (portRef C (instanceRef blk00000505))
+ (portRef CLK (instanceRef blk00000506))
+ (portRef C (instanceRef blk00000507))
+ (portRef CLK (instanceRef blk00000508))
+ (portRef C (instanceRef blk00000509))
+ (portRef CLK (instanceRef blk0000050a))
+ (portRef C (instanceRef blk0000050b))
+ (portRef CLK (instanceRef blk0000050c))
+ (portRef C (instanceRef blk0000050d))
+ (portRef CLK (instanceRef blk0000050e))
+ (portRef C (instanceRef blk0000050f))
+ (portRef CLK (instanceRef blk00000510))
+ (portRef C (instanceRef blk00000511))
+ (portRef CLK (instanceRef blk00000512))
+ (portRef C (instanceRef blk00000513))
+ (portRef CLK (instanceRef blk00000514))
+ (portRef C (instanceRef blk00000515))
+ (portRef CLK (instanceRef blk00000516))
+ (portRef C (instanceRef blk00000517))
+ (portRef CLK (instanceRef blk00000518))
+ (portRef C (instanceRef blk00000519))
+ (portRef CLK (instanceRef blk0000051a))
+ (portRef C (instanceRef blk0000051b))
+ (portRef CLK (instanceRef blk0000051c))
+ (portRef C (instanceRef blk0000051d))
+ (portRef CLK (instanceRef blk0000051e))
+ (portRef C (instanceRef blk0000051f))
+ (portRef CLK (instanceRef blk00000520))
+ (portRef C (instanceRef blk00000521))
+ (portRef CLK (instanceRef blk00000522))
+ (portRef C (instanceRef blk00000523))
+ (portRef CLK (instanceRef blk00000524))
+ (portRef C (instanceRef blk00000525))
+ (portRef CLK (instanceRef blk00000526))
+ (portRef C (instanceRef blk00000527))
+ (portRef CLK (instanceRef blk00000528))
+ (portRef C (instanceRef blk00000529))
+ (portRef CLK (instanceRef blk0000052a))
+ (portRef C (instanceRef blk0000052b))
+ (portRef CLK (instanceRef blk0000052c))
+ (portRef C (instanceRef blk0000052d))
+ (portRef CLK (instanceRef blk0000052e))
+ (portRef C (instanceRef blk0000052f))
+ (portRef CLK (instanceRef blk00000530))
+ (portRef C (instanceRef blk00000531))
+ (portRef CLK (instanceRef blk00000532))
+ (portRef C (instanceRef blk00000533))
+ (portRef CLK (instanceRef blk00000534))
+ (portRef C (instanceRef blk00000535))
+ (portRef CLK (instanceRef blk00000536))
+ (portRef C (instanceRef blk00000537))
+ (portRef CLK (instanceRef blk00000538))
+ (portRef C (instanceRef blk00000539))
+ (portRef CLK (instanceRef blk0000053a))
+ (portRef C (instanceRef blk0000053b))
+ (portRef CLK (instanceRef blk0000053c))
+ (portRef C (instanceRef blk0000053d))
+ (portRef CLK (instanceRef blk0000053e))
+ (portRef C (instanceRef blk0000053f))
+ (portRef CLK (instanceRef blk00000540))
+ (portRef C (instanceRef blk00000541))
+ (portRef CLK (instanceRef blk00000542))
+ (portRef C (instanceRef blk00000543))
+ (portRef CLK (instanceRef blk00000544))
+ (portRef C (instanceRef blk00000545))
+ (portRef CLK (instanceRef blk00000546))
+ (portRef C (instanceRef blk00000547))
+ (portRef CLK (instanceRef blk00000548))
+ (portRef C (instanceRef blk00000549))
+ (portRef CLK (instanceRef blk0000054a))
+ (portRef C (instanceRef blk0000054b))
+ (portRef CLK (instanceRef blk0000054c))
+ (portRef C (instanceRef blk0000054d))
+ (portRef CLK (instanceRef blk0000054e))
+ (portRef C (instanceRef blk0000054f))
+ (portRef CLK (instanceRef blk00000550))
+ (portRef C (instanceRef blk00000551))
+ (portRef CLK (instanceRef blk00000552))
+ (portRef C (instanceRef blk00000553))
+ (portRef CLK (instanceRef blk00000554))
+ (portRef C (instanceRef blk00000555))
+ (portRef CLK (instanceRef blk00000556))
+ (portRef C (instanceRef blk00000557))
+ (portRef CLK (instanceRef blk00000558))
+ (portRef C (instanceRef blk00000559))
+ (portRef CLK (instanceRef blk0000055a))
+ (portRef C (instanceRef blk0000055b))
+ (portRef CLK (instanceRef blk0000055c))
+ (portRef C (instanceRef blk0000055d))
+ (portRef CLK (instanceRef blk0000055e))
+ (portRef C (instanceRef blk0000055f))
+ (portRef CLK (instanceRef blk00000560))
+ (portRef C (instanceRef blk00000561))
+ (portRef CLK (instanceRef blk00000562))
+ (portRef C (instanceRef blk00000563))
+ (portRef CLK (instanceRef blk00000564))
+ (portRef C (instanceRef blk00000565))
+ (portRef CLK (instanceRef blk00000566))
+ (portRef C (instanceRef blk00000567))
+ (portRef CLK (instanceRef blk00000568))
+ (portRef C (instanceRef blk00000569))
+ (portRef CLK (instanceRef blk0000056a))
+ (portRef C (instanceRef blk0000056b))
+ (portRef CLK (instanceRef blk0000056c))
+ (portRef C (instanceRef blk0000056d))
+ (portRef CLK (instanceRef blk0000056e))
+ (portRef C (instanceRef blk0000056f))
+ (portRef CLK (instanceRef blk00000570))
+ (portRef C (instanceRef blk00000571))
+ (portRef CLK (instanceRef blk00000572))
+ (portRef C (instanceRef blk00000573))
+ (portRef CLK (instanceRef blk00000574))
+ (portRef C (instanceRef blk00000575))
+ (portRef CLK (instanceRef blk00000576))
+ (portRef C (instanceRef blk00000577))
+ (portRef CLK (instanceRef blk00000578))
+ (portRef C (instanceRef blk00000579))
+ (portRef CLK (instanceRef blk0000057a))
+ (portRef C (instanceRef blk0000057b))
+ (portRef CLK (instanceRef blk0000057c))
+ (portRef C (instanceRef blk0000057d))
+ (portRef CLK (instanceRef blk0000057e))
+ (portRef C (instanceRef blk0000057f))
+ (portRef CLK (instanceRef blk00000580))
+ (portRef C (instanceRef blk00000581))
+ (portRef CLK (instanceRef blk00000582))
+ (portRef C (instanceRef blk00000583))
+ (portRef CLK (instanceRef blk00000584))
+ (portRef C (instanceRef blk00000585))
+ (portRef CLK (instanceRef blk00000586))
+ (portRef C (instanceRef blk00000587))
+ (portRef CLK (instanceRef blk00000588))
+ (portRef C (instanceRef blk00000589))
+ (portRef CLK (instanceRef blk0000058a))
+ (portRef C (instanceRef blk0000058b))
+ (portRef CLK (instanceRef blk0000058c))
+ (portRef C (instanceRef blk0000058d))
+ (portRef CLK (instanceRef blk0000058e))
+ (portRef C (instanceRef blk0000058f))
+ (portRef CLK (instanceRef blk00000590))
+ (portRef C (instanceRef blk00000591))
+ (portRef CLK (instanceRef blk00000592))
+ (portRef C (instanceRef blk00000593))
+ (portRef CLK (instanceRef blk00000594))
+ (portRef C (instanceRef blk00000595))
+ (portRef CLK (instanceRef blk00000596))
+ (portRef C (instanceRef blk00000597))
+ (portRef CLK (instanceRef blk00000598))
+ (portRef C (instanceRef blk00000599))
+ (portRef CLK (instanceRef blk0000059a))
+ (portRef C (instanceRef blk0000059b))
+ (portRef CLK (instanceRef blk0000059c))
+ (portRef C (instanceRef blk0000059d))
+ (portRef CLK (instanceRef blk0000059e))
+ (portRef C (instanceRef blk0000059f))
+ (portRef CLK (instanceRef blk000005a0))
+ (portRef C (instanceRef blk000005a1))
+ (portRef CLK (instanceRef blk000005a2))
+ (portRef C (instanceRef blk000005a3))
+ (portRef CLK (instanceRef blk000005a4))
+ (portRef C (instanceRef blk000005a5))
+ (portRef CLK (instanceRef blk000005a6))
+ (portRef C (instanceRef blk000005a7))
+ (portRef CLK (instanceRef blk000005a8))
+ (portRef C (instanceRef blk000005a9))
+ (portRef CLK (instanceRef blk000005aa))
+ (portRef C (instanceRef blk000005ab))
+ (portRef CLK (instanceRef blk000005ac))
+ (portRef C (instanceRef blk000005ad))
+ (portRef CLK (instanceRef blk000005ae))
+ (portRef C (instanceRef blk000005af))
+ (portRef CLK (instanceRef blk000005b0))
+ (portRef C (instanceRef blk000005b1))
+ (portRef CLK (instanceRef blk000005b2))
+ (portRef C (instanceRef blk000005b3))
+ (portRef CLK (instanceRef blk000005b4))
+ (portRef C (instanceRef blk000005b5))
+ (portRef CLK (instanceRef blk000005b6))
+ (portRef C (instanceRef blk000005b7))
+ (portRef CLK (instanceRef blk000005b8))
+ (portRef C (instanceRef blk000005b9))
+ (portRef CLK (instanceRef blk000005ba))
+ (portRef C (instanceRef blk000005bb))
+ (portRef CLK (instanceRef blk000005bc))
+ (portRef C (instanceRef blk000005bd))
+ (portRef CLK (instanceRef blk000005be))
+ (portRef C (instanceRef blk000005bf))
+ (portRef CLK (instanceRef blk000005c0))
+ (portRef C (instanceRef blk000005c1))
+ (portRef CLK (instanceRef blk000005c2))
+ (portRef C (instanceRef blk000005c3))
+ (portRef CLK (instanceRef blk000005c4))
+ (portRef C (instanceRef blk000005c5))
+ (portRef CLK (instanceRef blk000005c6))
+ (portRef C (instanceRef blk000005c7))
+ (portRef CLK (instanceRef blk000005c8))
+ (portRef C (instanceRef blk000005c9))
+ (portRef CLK (instanceRef blk000005ca))
+ (portRef C (instanceRef blk000005cb))
+ (portRef CLK (instanceRef blk000005cc))
+ (portRef C (instanceRef blk000005cd))
+ (portRef CLK (instanceRef blk000005ce))
+ (portRef C (instanceRef blk000005cf))
+ (portRef CLK (instanceRef blk000005d0))
+ (portRef C (instanceRef blk000005d1))
+ (portRef CLK (instanceRef blk000005d2))
+ (portRef C (instanceRef blk000005d3))
+ (portRef CLK (instanceRef blk000005d4))
+ (portRef C (instanceRef blk000005d5))
+ (portRef CLK (instanceRef blk000005d6))
+ (portRef C (instanceRef blk000005d7))
+ (portRef CLK (instanceRef blk000005d8))
+ (portRef C (instanceRef blk000005d9))
+ (portRef CLK (instanceRef blk000005da))
+ (portRef C (instanceRef blk000005db))
+ (portRef CLK (instanceRef blk000005dc))
+ (portRef C (instanceRef blk000005dd))
+ (portRef CLK (instanceRef blk000005de))
+ (portRef C (instanceRef blk000005df))
+ (portRef CLK (instanceRef blk000005e0))
+ (portRef C (instanceRef blk000005e1))
+ (portRef CLK (instanceRef blk000005e2))
+ (portRef C (instanceRef blk000005e3))
+ (portRef CLK (instanceRef blk000005e4))
+ (portRef C (instanceRef blk000005e5))
+ (portRef CLK (instanceRef blk000005e6))
+ (portRef C (instanceRef blk000005e7))
+ (portRef CLK (instanceRef blk000005e8))
+ (portRef C (instanceRef blk000005e9))
+ (portRef CLK (instanceRef blk000005ea))
+ (portRef C (instanceRef blk000005eb))
+ (portRef CLK (instanceRef blk000005ec))
+ (portRef C (instanceRef blk000005ed))
+ (portRef CLK (instanceRef blk000005ee))
+ (portRef C (instanceRef blk000005ef))
+ (portRef CLK (instanceRef blk000005f0))
+ (portRef C (instanceRef blk000005f1))
+ (portRef CLK (instanceRef blk000005f2))
+ (portRef C (instanceRef blk000005f3))
+ (portRef CLK (instanceRef blk000005f4))
+ (portRef C (instanceRef blk000005f5))
+ (portRef CLK (instanceRef blk000005f6))
+ (portRef C (instanceRef blk000005f7))
+ (portRef CLK (instanceRef blk000005f8))
+ (portRef C (instanceRef blk000005f9))
+ (portRef CLK (instanceRef blk000005fa))
+ (portRef C (instanceRef blk000005fb))
+ (portRef CLK (instanceRef blk000005fc))
+ (portRef C (instanceRef blk000005fd))
+ (portRef CLK (instanceRef blk000005fe))
+ (portRef C (instanceRef blk000005ff))
+ (portRef CLK (instanceRef blk00000600))
+ (portRef C (instanceRef blk00000601))
+ (portRef CLK (instanceRef blk00000602))
+ (portRef C (instanceRef blk00000603))
+ (portRef CLK (instanceRef blk00000604))
+ (portRef C (instanceRef blk00000605))
+ (portRef CLK (instanceRef blk00000606))
+ (portRef C (instanceRef blk00000607))
+ (portRef CLK (instanceRef blk00000608))
+ (portRef C (instanceRef blk00000609))
+ (portRef CLK (instanceRef blk0000060a))
+ (portRef C (instanceRef blk0000060b))
+ (portRef CLK (instanceRef blk0000060c))
+ (portRef C (instanceRef blk0000060d))
+ (portRef CLK (instanceRef blk0000060e))
+ (portRef C (instanceRef blk0000060f))
+ (portRef CLK (instanceRef blk00000610))
+ (portRef C (instanceRef blk00000611))
+ (portRef CLK (instanceRef blk00000612))
+ (portRef C (instanceRef blk00000613))
+ (portRef CLK (instanceRef blk00000614))
+ (portRef C (instanceRef blk00000615))
+ (portRef CLK (instanceRef blk00000616))
+ (portRef C (instanceRef blk00000617))
+ (portRef CLK (instanceRef blk00000618))
+ (portRef C (instanceRef blk00000619))
+ (portRef CLK (instanceRef blk0000061a))
+ (portRef C (instanceRef blk0000061b))
+ (portRef CLK (instanceRef blk0000061c))
+ (portRef C (instanceRef blk0000061d))
+ (portRef CLK (instanceRef blk0000061e))
+ (portRef C (instanceRef blk0000061f))
+ (portRef CLK (instanceRef blk00000620))
+ (portRef C (instanceRef blk00000621))
+ (portRef CLK (instanceRef blk00000622))
+ (portRef C (instanceRef blk00000623))
+ (portRef CLK (instanceRef blk00000624))
+ (portRef C (instanceRef blk00000625))
+ (portRef CLK (instanceRef blk00000626))
+ (portRef C (instanceRef blk00000627))
+ (portRef CLK (instanceRef blk00000628))
+ (portRef C (instanceRef blk00000629))
+ (portRef CLK (instanceRef blk0000062a))
+ (portRef C (instanceRef blk0000062b))
+ (portRef CLK (instanceRef blk0000062c))
+ (portRef C (instanceRef blk0000062d))
+ (portRef CLK (instanceRef blk0000062e))
+ (portRef C (instanceRef blk0000062f))
+ (portRef CLK (instanceRef blk00000630))
+ (portRef C (instanceRef blk00000631))
+ (portRef CLK (instanceRef blk00000632))
+ (portRef C (instanceRef blk00000633))
+ (portRef CLK (instanceRef blk00000634))
+ (portRef C (instanceRef blk00000635))
+ (portRef CLK (instanceRef blk00000636))
+ (portRef C (instanceRef blk00000637))
+ (portRef CLK (instanceRef blk00000638))
+ (portRef C (instanceRef blk00000639))
+ (portRef CLK (instanceRef blk0000063a))
+ (portRef C (instanceRef blk0000063b))
+ (portRef CLK (instanceRef blk0000063c))
+ (portRef C (instanceRef blk0000063d))
+ (portRef CLK (instanceRef blk0000063e))
+ (portRef C (instanceRef blk0000063f))
+ (portRef CLK (instanceRef blk00000640))
+ (portRef C (instanceRef blk00000641))
+ (portRef CLK (instanceRef blk00000642))
+ (portRef C (instanceRef blk00000643))
+ (portRef CLK (instanceRef blk00000644))
+ (portRef C (instanceRef blk00000645))
+ (portRef CLK (instanceRef blk00000646))
+ (portRef C (instanceRef blk00000647))
+ (portRef CLK (instanceRef blk00000648))
+ (portRef C (instanceRef blk00000649))
+ (portRef CLK (instanceRef blk0000064a))
+ (portRef C (instanceRef blk0000064b))
+ (portRef CLK (instanceRef blk0000064c))
+ (portRef C (instanceRef blk0000064d))
+ (portRef CLK (instanceRef blk0000064e))
+ (portRef C (instanceRef blk0000064f))
+ (portRef CLK (instanceRef blk00000650))
+ (portRef C (instanceRef blk00000651))
+ (portRef CLK (instanceRef blk00000652))
+ (portRef C (instanceRef blk00000653))
+ (portRef CLK (instanceRef blk00000654))
+ (portRef C (instanceRef blk00000655))
+ (portRef CLK (instanceRef blk00000656))
+ (portRef C (instanceRef blk00000657))
+ (portRef CLK (instanceRef blk00000658))
+ (portRef C (instanceRef blk00000659))
+ (portRef CLK (instanceRef blk0000065a))
+ (portRef C (instanceRef blk0000065b))
+ )
+ )
+ (net sig00000045
+ (joined
+ (portRef ce)
+ (portRef CEB1 (instanceRef blk0000000e))
+ (portRef CEC (instanceRef blk0000000e))
+ (portRef CEB2 (instanceRef blk0000000e))
+ (portRef CEP (instanceRef blk0000000e))
+ (portRef CECARRYIN (instanceRef blk0000000e))
+ (portRef CEALUMODE (instanceRef blk0000000e))
+ (portRef CEA2 (instanceRef blk0000000e))
+ (portRef CEA1 (instanceRef blk0000000e))
+ (portRef CECTRL (instanceRef blk0000000e))
+ (portRef CEM (instanceRef blk0000000e))
+ (portRef CEINMODE (instanceRef blk0000000e))
+ (portRef CEB1 (instanceRef blk0000000f))
+ (portRef CEC (instanceRef blk0000000f))
+ (portRef CEB2 (instanceRef blk0000000f))
+ (portRef CEP (instanceRef blk0000000f))
+ (portRef CECARRYIN (instanceRef blk0000000f))
+ (portRef CEALUMODE (instanceRef blk0000000f))
+ (portRef CEA2 (instanceRef blk0000000f))
+ (portRef CEA1 (instanceRef blk0000000f))
+ (portRef CECTRL (instanceRef blk0000000f))
+ (portRef CEM (instanceRef blk0000000f))
+ (portRef CEINMODE (instanceRef blk0000000f))
+ (portRef CE (instanceRef blk00000013))
+ (portRef CE (instanceRef blk00000014))
+ (portRef CE (instanceRef blk00000015))
+ (portRef CE (instanceRef blk00000016))
+ (portRef CE (instanceRef blk00000017))
+ (portRef CE (instanceRef blk00000018))
+ (portRef CE (instanceRef blk00000019))
+ (portRef CE (instanceRef blk0000001a))
+ (portRef CE (instanceRef blk0000001b))
+ (portRef CE (instanceRef blk0000001c))
+ (portRef CE (instanceRef blk0000001d))
+ (portRef CE (instanceRef blk0000001e))
+ (portRef CE (instanceRef blk0000001f))
+ (portRef CE (instanceRef blk00000020))
+ (portRef CE (instanceRef blk00000021))
+ (portRef CE (instanceRef blk00000022))
+ (portRef CE (instanceRef blk00000023))
+ (portRef CE (instanceRef blk00000024))
+ (portRef CE (instanceRef blk00000025))
+ (portRef CE (instanceRef blk00000026))
+ (portRef CE (instanceRef blk00000027))
+ (portRef CE (instanceRef blk00000029))
+ (portRef CE (instanceRef blk0000002a))
+ (portRef CE (instanceRef blk0000008d))
+ (portRef CE (instanceRef blk0000008e))
+ (portRef CE (instanceRef blk00000098))
+ (portRef CE (instanceRef blk00000099))
+ (portRef CE (instanceRef blk0000009a))
+ (portRef CE (instanceRef blk0000009b))
+ (portRef CE (instanceRef blk0000009c))
+ (portRef CE (instanceRef blk0000009d))
+ (portRef CE (instanceRef blk0000009e))
+ (portRef CE (instanceRef blk0000009f))
+ (portRef CE (instanceRef blk000000a0))
+ (portRef CE (instanceRef blk000000a1))
+ (portRef CE (instanceRef blk000000a2))
+ (portRef CE (instanceRef blk000000a3))
+ (portRef CE (instanceRef blk000000a4))
+ (portRef CE (instanceRef blk000000a5))
+ (portRef CE (instanceRef blk000000a6))
+ (portRef CE (instanceRef blk000000a7))
+ (portRef CE (instanceRef blk000000a8))
+ (portRef CE (instanceRef blk000000a9))
+ (portRef CE (instanceRef blk000000aa))
+ (portRef CE (instanceRef blk000000ab))
+ (portRef CE (instanceRef blk000000ac))
+ (portRef CE (instanceRef blk000000ad))
+ (portRef CE (instanceRef blk000000ae))
+ (portRef CE (instanceRef blk000000af))
+ (portRef CE (instanceRef blk000000c5))
+ (portRef CE (instanceRef blk000000c6))
+ (portRef CE (instanceRef blk000000c7))
+ (portRef CE (instanceRef blk000000d0))
+ (portRef CE (instanceRef blk000000d1))
+ (portRef CE (instanceRef blk000000d9))
+ (portRef CE (instanceRef blk000000da))
+ (portRef CE (instanceRef blk000000df))
+ (portRef CE (instanceRef blk000000e3))
+ (portRef CE (instanceRef blk000000ed))
+ (portRef CE (instanceRef blk00000101))
+ (portRef CE (instanceRef blk00000102))
+ (portRef CE (instanceRef blk00000103))
+ (portRef CEAD (instanceRef blk00000104))
+ (portRef CEC (instanceRef blk00000104))
+ (portRef CEB2 (instanceRef blk00000104))
+ (portRef CEP (instanceRef blk00000104))
+ (portRef CECARRYIN (instanceRef blk00000104))
+ (portRef CED (instanceRef blk00000104))
+ (portRef CEALUMODE (instanceRef blk00000104))
+ (portRef CEA2 (instanceRef blk00000104))
+ (portRef CECTRL (instanceRef blk00000104))
+ (portRef CEM (instanceRef blk00000104))
+ (portRef CEINMODE (instanceRef blk00000104))
+ (portRef CEAD (instanceRef blk00000105))
+ (portRef CEC (instanceRef blk00000105))
+ (portRef CEB2 (instanceRef blk00000105))
+ (portRef CEP (instanceRef blk00000105))
+ (portRef CECARRYIN (instanceRef blk00000105))
+ (portRef CED (instanceRef blk00000105))
+ (portRef CEALUMODE (instanceRef blk00000105))
+ (portRef CEA2 (instanceRef blk00000105))
+ (portRef CECTRL (instanceRef blk00000105))
+ (portRef CEM (instanceRef blk00000105))
+ (portRef CEINMODE (instanceRef blk00000105))
+ (portRef CEAD (instanceRef blk00000106))
+ (portRef CEC (instanceRef blk00000106))
+ (portRef CEB2 (instanceRef blk00000106))
+ (portRef CEP (instanceRef blk00000106))
+ (portRef CECARRYIN (instanceRef blk00000106))
+ (portRef CED (instanceRef blk00000106))
+ (portRef CEALUMODE (instanceRef blk00000106))
+ (portRef CEA2 (instanceRef blk00000106))
+ (portRef CECTRL (instanceRef blk00000106))
+ (portRef CEM (instanceRef blk00000106))
+ (portRef CEINMODE (instanceRef blk00000106))
+ (portRef CEAD (instanceRef blk00000107))
+ (portRef CEC (instanceRef blk00000107))
+ (portRef CEB2 (instanceRef blk00000107))
+ (portRef CEP (instanceRef blk00000107))
+ (portRef CECARRYIN (instanceRef blk00000107))
+ (portRef CED (instanceRef blk00000107))
+ (portRef CEALUMODE (instanceRef blk00000107))
+ (portRef CEA2 (instanceRef blk00000107))
+ (portRef CECTRL (instanceRef blk00000107))
+ (portRef CEM (instanceRef blk00000107))
+ (portRef CEINMODE (instanceRef blk00000107))
+ (portRef CE (instanceRef blk00000108))
+ (portRef CE (instanceRef blk00000109))
+ (portRef CE (instanceRef blk0000010a))
+ (portRef CE (instanceRef blk0000010b))
+ (portRef CE (instanceRef blk0000010c))
+ (portRef CE (instanceRef blk0000010d))
+ (portRef CE (instanceRef blk0000010e))
+ (portRef CE (instanceRef blk0000010f))
+ (portRef CE (instanceRef blk00000110))
+ (portRef CE (instanceRef blk00000111))
+ (portRef CE (instanceRef blk00000112))
+ (portRef CE (instanceRef blk00000113))
+ (portRef CE (instanceRef blk00000114))
+ (portRef CE (instanceRef blk00000115))
+ (portRef CE (instanceRef blk00000116))
+ (portRef CE (instanceRef blk00000117))
+ (portRef CE (instanceRef blk00000118))
+ (portRef CE (instanceRef blk00000119))
+ (portRef CE (instanceRef blk0000014c))
+ (portRef CE (instanceRef blk0000017f))
+ (portRef CE (instanceRef blk000001b2))
+ (portRef CE (instanceRef blk000001e5))
+ (portRef CE (instanceRef blk00000218))
+ (portRef CE (instanceRef blk0000024b))
+ (portRef CE (instanceRef blk0000027e))
+ (portRef CE (instanceRef blk000002b1))
+ (portRef CE (instanceRef blk000002ea))
+ (portRef CE (instanceRef blk00000371))
+ (portRef CE (instanceRef blk000003a2))
+ (portRef CE (instanceRef blk000003a3))
+ (portRef CE (instanceRef blk000003a4))
+ (portRef CE (instanceRef blk000003a5))
+ (portRef CE (instanceRef blk000003a6))
+ (portRef CE (instanceRef blk000003a7))
+ (portRef CE (instanceRef blk000003a8))
+ (portRef CE (instanceRef blk000003a9))
+ (portRef CE (instanceRef blk000003aa))
+ (portRef CE (instanceRef blk000003ab))
+ (portRef CE (instanceRef blk000003ac))
+ (portRef CE (instanceRef blk000003ad))
+ (portRef CE (instanceRef blk000003ae))
+ (portRef CE (instanceRef blk000003af))
+ (portRef CE (instanceRef blk000003b0))
+ (portRef CE (instanceRef blk000003b1))
+ (portRef CE (instanceRef blk000003b2))
+ (portRef CE (instanceRef blk000003b3))
+ (portRef CE (instanceRef blk000003b4))
+ (portRef CE (instanceRef blk000003b5))
+ (portRef CE (instanceRef blk000003b6))
+ (portRef CE (instanceRef blk000003b7))
+ (portRef CE (instanceRef blk000003b8))
+ (portRef CE (instanceRef blk000003b9))
+ (portRef CE (instanceRef blk000003ba))
+ (portRef CE (instanceRef blk000003bb))
+ (portRef CE (instanceRef blk000003bc))
+ (portRef CE (instanceRef blk000003bd))
+ (portRef CE (instanceRef blk000003be))
+ (portRef CE (instanceRef blk000003bf))
+ (portRef CE (instanceRef blk000003c0))
+ (portRef CE (instanceRef blk000003c1))
+ (portRef CE (instanceRef blk000003c2))
+ (portRef CE (instanceRef blk000003c3))
+ (portRef CE (instanceRef blk000003c4))
+ (portRef CE (instanceRef blk000003c5))
+ (portRef CE (instanceRef blk000003c6))
+ (portRef CE (instanceRef blk000003c7))
+ (portRef CE (instanceRef blk000003c8))
+ (portRef CE (instanceRef blk000003c9))
+ (portRef CE (instanceRef blk000003ca))
+ (portRef CE (instanceRef blk000003cb))
+ (portRef CE (instanceRef blk000003cc))
+ (portRef CE (instanceRef blk000003cd))
+ (portRef CE (instanceRef blk000003ce))
+ (portRef CE (instanceRef blk000003cf))
+ (portRef CE (instanceRef blk000003d0))
+ (portRef CE (instanceRef blk000003d1))
+ (portRef CE (instanceRef blk000003d2))
+ (portRef CE (instanceRef blk000003d3))
+ (portRef CE (instanceRef blk000003d4))
+ (portRef CE (instanceRef blk000003d5))
+ (portRef CE (instanceRef blk000003d6))
+ (portRef CE (instanceRef blk000003d7))
+ (portRef CE (instanceRef blk000003d8))
+ (portRef CE (instanceRef blk000003d9))
+ (portRef CE (instanceRef blk000003da))
+ (portRef CE (instanceRef blk000003db))
+ (portRef CE (instanceRef blk000003dc))
+ (portRef CE (instanceRef blk000003dd))
+ (portRef CE (instanceRef blk000003de))
+ (portRef CE (instanceRef blk000003df))
+ (portRef CE (instanceRef blk000003e0))
+ (portRef CE (instanceRef blk000003e1))
+ (portRef CE (instanceRef blk000003e2))
+ (portRef CE (instanceRef blk000003e3))
+ (portRef CE (instanceRef blk000003e4))
+ (portRef CE (instanceRef blk000003e5))
+ (portRef CE (instanceRef blk000003e6))
+ (portRef CE (instanceRef blk000003e7))
+ (portRef CE (instanceRef blk000003e8))
+ (portRef CE (instanceRef blk000003e9))
+ (portRef CE (instanceRef blk000003ea))
+ (portRef CE (instanceRef blk000003eb))
+ (portRef CE (instanceRef blk000003ec))
+ (portRef CE (instanceRef blk000003ed))
+ (portRef CE (instanceRef blk000003ee))
+ (portRef CE (instanceRef blk000003ef))
+ (portRef CE (instanceRef blk000003f0))
+ (portRef CE (instanceRef blk000003f1))
+ (portRef CE (instanceRef blk000003f2))
+ (portRef CE (instanceRef blk000003f3))
+ (portRef CE (instanceRef blk000003f4))
+ (portRef CE (instanceRef blk000003f5))
+ (portRef CE (instanceRef blk000003f6))
+ (portRef CE (instanceRef blk000003f7))
+ (portRef CE (instanceRef blk000003f8))
+ (portRef CE (instanceRef blk000003f9))
+ (portRef CE (instanceRef blk000003fa))
+ (portRef CE (instanceRef blk000003fb))
+ (portRef CE (instanceRef blk000003fc))
+ (portRef CE (instanceRef blk000003fd))
+ (portRef CE (instanceRef blk000003fe))
+ (portRef CE (instanceRef blk000003ff))
+ (portRef CE (instanceRef blk00000400))
+ (portRef CE (instanceRef blk00000401))
+ (portRef CE (instanceRef blk00000402))
+ (portRef CE (instanceRef blk00000403))
+ (portRef I0 (instanceRef blk0000040f))
+ (portRef I0 (instanceRef blk00000415))
+ (portRef I0 (instanceRef blk00000416))
+ (portRef I1 (instanceRef blk0000041d))
+ (portRef I0 (instanceRef blk00000447))
+ (portRef I0 (instanceRef blk00000448))
+ (portRef I0 (instanceRef blk00000449))
+ (portRef CE (instanceRef blk000004b1))
+ (portRef CE (instanceRef blk000004b2))
+ (portRef CE (instanceRef blk000004b3))
+ (portRef CE (instanceRef blk000004b4))
+ (portRef CE (instanceRef blk000004b5))
+ (portRef CE (instanceRef blk000004b6))
+ (portRef CE (instanceRef blk000004b7))
+ (portRef CE (instanceRef blk000004b8))
+ (portRef CE (instanceRef blk000004b9))
+ (portRef CE (instanceRef blk000004ba))
+ (portRef R (instanceRef blk000004bb))
+ (portRef CE (instanceRef blk000004bc))
+ (portRef CE (instanceRef blk000004bd))
+ (portRef CE (instanceRef blk000004be))
+ (portRef CE (instanceRef blk000004bf))
+ (portRef CE (instanceRef blk000004c0))
+ (portRef CE (instanceRef blk000004c1))
+ (portRef R (instanceRef blk000004c2))
+ (portRef CE (instanceRef blk000004c3))
+ (portRef CE (instanceRef blk000004c4))
+ (portRef CE (instanceRef blk000004c5))
+ (portRef CE (instanceRef blk000004c6))
+ (portRef CE (instanceRef blk000004c7))
+ (portRef CE (instanceRef blk000004c8))
+ (portRef CE (instanceRef blk000004c9))
+ (portRef CE (instanceRef blk000004ca))
+ (portRef CE (instanceRef blk000004cb))
+ (portRef CE (instanceRef blk000004cc))
+ (portRef CE (instanceRef blk000004cd))
+ (portRef CE (instanceRef blk000004ce))
+ (portRef CE (instanceRef blk000004cf))
+ (portRef CE (instanceRef blk000004d0))
+ (portRef CE (instanceRef blk000004d1))
+ (portRef CE (instanceRef blk000004d2))
+ (portRef CE (instanceRef blk000004d3))
+ (portRef CE (instanceRef blk000004d4))
+ (portRef CE (instanceRef blk000004d5))
+ (portRef I2 (instanceRef blk000004ee))
+ (portRef I0 (instanceRef blk000004ef))
+ (portRef I0 (instanceRef blk000004f0))
+ (portRef I1 (instanceRef blk000004f1))
+ (portRef I1 (instanceRef blk000004f2))
+ (portRef I1 (instanceRef blk000004f3))
+ (portRef CE (instanceRef blk000004f8))
+ (portRef CE (instanceRef blk00000504))
+ (portRef CE (instanceRef blk00000505))
+ (portRef CE (instanceRef blk00000506))
+ (portRef CE (instanceRef blk00000507))
+ (portRef CE (instanceRef blk00000508))
+ (portRef CE (instanceRef blk00000509))
+ (portRef CE (instanceRef blk0000050a))
+ (portRef CE (instanceRef blk0000050b))
+ (portRef CE (instanceRef blk0000050c))
+ (portRef CE (instanceRef blk0000050d))
+ (portRef CE (instanceRef blk0000050e))
+ (portRef CE (instanceRef blk0000050f))
+ (portRef CE (instanceRef blk00000510))
+ (portRef CE (instanceRef blk00000511))
+ (portRef CE (instanceRef blk00000512))
+ (portRef CE (instanceRef blk00000513))
+ (portRef CE (instanceRef blk00000514))
+ (portRef CE (instanceRef blk00000515))
+ (portRef CE (instanceRef blk00000516))
+ (portRef CE (instanceRef blk00000517))
+ (portRef CE (instanceRef blk00000518))
+ (portRef CE (instanceRef blk00000519))
+ (portRef CE (instanceRef blk0000051a))
+ (portRef CE (instanceRef blk0000051b))
+ (portRef CE (instanceRef blk0000051c))
+ (portRef CE (instanceRef blk0000051d))
+ (portRef CE (instanceRef blk0000051e))
+ (portRef CE (instanceRef blk0000051f))
+ (portRef CE (instanceRef blk00000520))
+ (portRef CE (instanceRef blk00000521))
+ (portRef CE (instanceRef blk00000522))
+ (portRef CE (instanceRef blk00000523))
+ (portRef CE (instanceRef blk00000524))
+ (portRef CE (instanceRef blk00000525))
+ (portRef CE (instanceRef blk00000526))
+ (portRef CE (instanceRef blk00000527))
+ (portRef CE (instanceRef blk00000528))
+ (portRef CE (instanceRef blk00000529))
+ (portRef CE (instanceRef blk0000052a))
+ (portRef CE (instanceRef blk0000052b))
+ (portRef CE (instanceRef blk0000052c))
+ (portRef CE (instanceRef blk0000052d))
+ (portRef CE (instanceRef blk0000052e))
+ (portRef CE (instanceRef blk0000052f))
+ (portRef CE (instanceRef blk00000530))
+ (portRef CE (instanceRef blk00000531))
+ (portRef CE (instanceRef blk00000532))
+ (portRef CE (instanceRef blk00000533))
+ (portRef CE (instanceRef blk00000534))
+ (portRef CE (instanceRef blk00000535))
+ (portRef CE (instanceRef blk00000536))
+ (portRef CE (instanceRef blk00000537))
+ (portRef CE (instanceRef blk00000538))
+ (portRef CE (instanceRef blk00000539))
+ (portRef CE (instanceRef blk0000053a))
+ (portRef CE (instanceRef blk0000053b))
+ (portRef CE (instanceRef blk0000053c))
+ (portRef CE (instanceRef blk0000053d))
+ (portRef CE (instanceRef blk0000053e))
+ (portRef CE (instanceRef blk0000053f))
+ (portRef CE (instanceRef blk00000540))
+ (portRef CE (instanceRef blk00000541))
+ (portRef CE (instanceRef blk00000542))
+ (portRef CE (instanceRef blk00000543))
+ (portRef CE (instanceRef blk00000544))
+ (portRef CE (instanceRef blk00000545))
+ (portRef CE (instanceRef blk00000546))
+ (portRef CE (instanceRef blk00000547))
+ (portRef CE (instanceRef blk00000548))
+ (portRef CE (instanceRef blk00000549))
+ (portRef CE (instanceRef blk0000054a))
+ (portRef CE (instanceRef blk0000054b))
+ (portRef CE (instanceRef blk0000054c))
+ (portRef CE (instanceRef blk0000054d))
+ (portRef CE (instanceRef blk0000054e))
+ (portRef CE (instanceRef blk0000054f))
+ (portRef CE (instanceRef blk00000550))
+ (portRef CE (instanceRef blk00000551))
+ (portRef CE (instanceRef blk00000552))
+ (portRef CE (instanceRef blk00000553))
+ (portRef CE (instanceRef blk00000554))
+ (portRef CE (instanceRef blk00000555))
+ (portRef CE (instanceRef blk00000556))
+ (portRef CE (instanceRef blk00000557))
+ (portRef CE (instanceRef blk00000558))
+ (portRef CE (instanceRef blk00000559))
+ (portRef CE (instanceRef blk0000055a))
+ (portRef CE (instanceRef blk0000055b))
+ (portRef CE (instanceRef blk0000055c))
+ (portRef CE (instanceRef blk0000055d))
+ (portRef CE (instanceRef blk0000055e))
+ (portRef CE (instanceRef blk0000055f))
+ (portRef CE (instanceRef blk00000560))
+ (portRef CE (instanceRef blk00000561))
+ (portRef CE (instanceRef blk00000562))
+ (portRef CE (instanceRef blk00000563))
+ (portRef CE (instanceRef blk00000564))
+ (portRef CE (instanceRef blk00000565))
+ (portRef CE (instanceRef blk00000566))
+ (portRef CE (instanceRef blk00000567))
+ (portRef CE (instanceRef blk00000568))
+ (portRef CE (instanceRef blk00000569))
+ (portRef CE (instanceRef blk0000056a))
+ (portRef CE (instanceRef blk0000056b))
+ (portRef CE (instanceRef blk0000056c))
+ (portRef CE (instanceRef blk0000056d))
+ (portRef CE (instanceRef blk0000056e))
+ (portRef CE (instanceRef blk0000056f))
+ (portRef CE (instanceRef blk00000570))
+ (portRef CE (instanceRef blk00000571))
+ (portRef CE (instanceRef blk00000572))
+ (portRef CE (instanceRef blk00000573))
+ (portRef CE (instanceRef blk00000574))
+ (portRef CE (instanceRef blk00000575))
+ (portRef CE (instanceRef blk00000576))
+ (portRef CE (instanceRef blk00000577))
+ (portRef CE (instanceRef blk00000578))
+ (portRef CE (instanceRef blk00000579))
+ (portRef CE (instanceRef blk0000057a))
+ (portRef CE (instanceRef blk0000057b))
+ (portRef CE (instanceRef blk0000057c))
+ (portRef CE (instanceRef blk0000057d))
+ (portRef CE (instanceRef blk0000057e))
+ (portRef CE (instanceRef blk0000057f))
+ (portRef CE (instanceRef blk00000580))
+ (portRef CE (instanceRef blk00000581))
+ (portRef CE (instanceRef blk00000582))
+ (portRef CE (instanceRef blk00000583))
+ (portRef CE (instanceRef blk00000584))
+ (portRef CE (instanceRef blk00000585))
+ (portRef CE (instanceRef blk00000586))
+ (portRef CE (instanceRef blk00000587))
+ (portRef CE (instanceRef blk00000588))
+ (portRef CE (instanceRef blk00000589))
+ (portRef CE (instanceRef blk0000058a))
+ (portRef CE (instanceRef blk0000058b))
+ (portRef CE (instanceRef blk0000058c))
+ (portRef CE (instanceRef blk0000058d))
+ (portRef CE (instanceRef blk0000058e))
+ (portRef CE (instanceRef blk0000058f))
+ (portRef CE (instanceRef blk00000592))
+ (portRef CE (instanceRef blk00000593))
+ (portRef CE (instanceRef blk00000594))
+ (portRef CE (instanceRef blk00000595))
+ (portRef CE (instanceRef blk000005f4))
+ (portRef CE (instanceRef blk000005f5))
+ (portRef CE (instanceRef blk000005f6))
+ (portRef CE (instanceRef blk000005f7))
+ (portRef CE (instanceRef blk000005f8))
+ (portRef CE (instanceRef blk000005f9))
+ (portRef CE (instanceRef blk000005fa))
+ (portRef CE (instanceRef blk000005fb))
+ (portRef CE (instanceRef blk000005fc))
+ (portRef CE (instanceRef blk000005fd))
+ (portRef CE (instanceRef blk000005fe))
+ (portRef CE (instanceRef blk000005ff))
+ (portRef CE (instanceRef blk00000600))
+ (portRef CE (instanceRef blk00000601))
+ (portRef CE (instanceRef blk00000602))
+ (portRef CE (instanceRef blk00000603))
+ (portRef CE (instanceRef blk00000604))
+ (portRef CE (instanceRef blk00000605))
+ (portRef CE (instanceRef blk00000606))
+ (portRef CE (instanceRef blk00000607))
+ (portRef CE (instanceRef blk00000608))
+ (portRef CE (instanceRef blk00000609))
+ (portRef CE (instanceRef blk0000060a))
+ (portRef CE (instanceRef blk0000060b))
+ (portRef CE (instanceRef blk0000060c))
+ (portRef CE (instanceRef blk0000060d))
+ (portRef CE (instanceRef blk0000060e))
+ (portRef CE (instanceRef blk0000060f))
+ (portRef CE (instanceRef blk00000610))
+ (portRef CE (instanceRef blk00000611))
+ (portRef CE (instanceRef blk00000612))
+ (portRef CE (instanceRef blk00000613))
+ (portRef CE (instanceRef blk00000614))
+ (portRef CE (instanceRef blk00000615))
+ (portRef CE (instanceRef blk00000616))
+ (portRef CE (instanceRef blk00000617))
+ (portRef CE (instanceRef blk00000618))
+ (portRef CE (instanceRef blk00000619))
+ (portRef CE (instanceRef blk0000061a))
+ (portRef CE (instanceRef blk0000061b))
+ (portRef CE (instanceRef blk0000061c))
+ (portRef CE (instanceRef blk0000061d))
+ (portRef CE (instanceRef blk0000061e))
+ (portRef CE (instanceRef blk0000061f))
+ (portRef CE (instanceRef blk00000620))
+ (portRef CE (instanceRef blk00000621))
+ (portRef CE (instanceRef blk00000622))
+ (portRef CE (instanceRef blk00000623))
+ (portRef CE (instanceRef blk00000624))
+ (portRef CE (instanceRef blk00000625))
+ (portRef CE (instanceRef blk00000626))
+ (portRef CE (instanceRef blk00000627))
+ (portRef CE (instanceRef blk00000628))
+ (portRef CE (instanceRef blk00000629))
+ (portRef CE (instanceRef blk0000062a))
+ (portRef CE (instanceRef blk0000062b))
+ (portRef CE (instanceRef blk0000062c))
+ (portRef CE (instanceRef blk0000062d))
+ (portRef CE (instanceRef blk0000062e))
+ (portRef CE (instanceRef blk0000062f))
+ (portRef CE (instanceRef blk00000630))
+ (portRef CE (instanceRef blk00000631))
+ (portRef CE (instanceRef blk00000632))
+ (portRef CE (instanceRef blk00000633))
+ (portRef CE (instanceRef blk00000634))
+ (portRef CE (instanceRef blk00000635))
+ (portRef CE (instanceRef blk00000636))
+ (portRef CE (instanceRef blk00000637))
+ (portRef CE (instanceRef blk00000638))
+ (portRef CE (instanceRef blk00000639))
+ (portRef CE (instanceRef blk0000063a))
+ (portRef CE (instanceRef blk0000063b))
+ (portRef CE (instanceRef blk0000063c))
+ (portRef CE (instanceRef blk0000063d))
+ (portRef CE (instanceRef blk0000063e))
+ (portRef CE (instanceRef blk0000063f))
+ (portRef CE (instanceRef blk00000640))
+ (portRef CE (instanceRef blk00000641))
+ (portRef CE (instanceRef blk00000642))
+ (portRef CE (instanceRef blk00000643))
+ (portRef CE (instanceRef blk00000644))
+ (portRef CE (instanceRef blk00000645))
+ (portRef CE (instanceRef blk00000646))
+ (portRef CE (instanceRef blk00000647))
+ (portRef CE (instanceRef blk00000648))
+ (portRef CE (instanceRef blk00000649))
+ (portRef CE (instanceRef blk0000064a))
+ (portRef CE (instanceRef blk0000064b))
+ (portRef CE (instanceRef blk0000064c))
+ (portRef CE (instanceRef blk0000064d))
+ (portRef CE (instanceRef blk0000064e))
+ (portRef CE (instanceRef blk0000064f))
+ (portRef CE (instanceRef blk00000650))
+ (portRef CE (instanceRef blk00000651))
+ (portRef CE (instanceRef blk00000652))
+ (portRef CE (instanceRef blk00000653))
+ (portRef CE (instanceRef blk00000654))
+ (portRef CE (instanceRef blk00000655))
+ (portRef CE (instanceRef blk00000656))
+ (portRef CE (instanceRef blk00000657))
+ (portRef CE (instanceRef blk00000658))
+ (portRef CE (instanceRef blk00000659))
+ (portRef CE (instanceRef blk0000065a))
+ (portRef CE (instanceRef blk0000065b))
+ )
+ )
+ (net sig00000046
+ (joined
+ (portRef nd)
+ (portRef WEA (instanceRef blk0000002a))
+ (portRef I1 (instanceRef blk00000414))
+ (portRef I1 (instanceRef blk0000041c))
+ (portRef I0 (instanceRef blk00000420))
+ (portRef I0 (instanceRef blk0000043a))
+ (portRef I0 (instanceRef blk0000043b))
+ (portRef I1 (instanceRef blk0000043c))
+ (portRef I0 (instanceRef blk0000043d))
+ (portRef I3 (instanceRef blk000004ee))
+ )
+ )
+ (net sig00000047
+ (joined
+ (portRef coef_ld)
+ (portRef R (instanceRef blk0000009d))
+ (portRef R (instanceRef blk0000009e))
+ (portRef R (instanceRef blk0000009f))
+ (portRef R (instanceRef blk000000a0))
+ (portRef D (instanceRef blk000000af))
+ (portRef I3 (instanceRef blk00000421))
+ (portRef I4 (instanceRef blk00000422))
+ (portRef I0 (instanceRef blk00000424))
+ (portRef I2 (instanceRef blk00000426))
+ (portRef I0 (instanceRef blk00000428))
+ (portRef R (instanceRef blk000004b1))
+ (portRef R (instanceRef blk000004b2))
+ (portRef R (instanceRef blk000004b3))
+ (portRef R (instanceRef blk000004b7))
+ (portRef I4 (instanceRef blk000004f4))
+ (portRef I0 (instanceRef blk000004f5))
+ (portRef I3 (instanceRef blk000004f6))
+ (portRef I0 (instanceRef blk000004f7))
+ )
+ )
+ (net sig00000048
+ (joined
+ (portRef coef_we)
+ (portRef S (instanceRef blk00000095))
+ (portRef CI (instanceRef blk00000097))
+ (portRef D (instanceRef blk000000ae))
+ (portRef I2 (instanceRef blk00000421))
+ (portRef I1 (instanceRef blk00000422))
+ (portRef I0 (instanceRef blk00000423))
+ (portRef I1 (instanceRef blk00000426))
+ (portRef I0 (instanceRef blk00000427))
+ (portRef I1 (instanceRef blk00000429))
+ (portRef I3 (instanceRef blk0000042b))
+ (portRef I0 (instanceRef blk0000042c))
+ (portRef I2 (instanceRef blk000004f4))
+ (portRef I2 (instanceRef blk000004f5))
+ )
+ )
+ (net sig00000049
+ (joined
+ (portRef (member chan_in 0))
+ (portRef (member dout_i_1 0))
+ (portRef (member dout_i_1 1))
+ (portRef (member dout_i_1 2))
+ (portRef (member dout_i_1 3))
+ (portRef (member dout_i_1 4))
+ (portRef (member dout_i_1 5))
+ (portRef (member dout_i_1 6))
+ (portRef (member dout_i_1 7))
+ (portRef (member dout_i_1 8))
+ (portRef (member dout_i_1 9))
+ (portRef (member dout_i_1 10))
+ (portRef (member dout_i_1 11))
+ (portRef (member dout_i_1 12))
+ (portRef (member dout_i_1 13))
+ (portRef (member dout_i_1 14))
+ (portRef (member dout_i_1 15))
+ (portRef (member dout_i_1 16))
+ (portRef (member dout_i_1 17))
+ (portRef (member dout_i_1 18))
+ (portRef (member dout_i_1 19))
+ (portRef (member dout_i_1 20))
+ (portRef (member dout_i_1 21))
+ (portRef (member dout_i_1 22))
+ (portRef (member dout_i_1 23))
+ (portRef (member dout_q_1 0))
+ (portRef (member dout_q_1 1))
+ (portRef (member dout_q_1 2))
+ (portRef (member dout_q_1 3))
+ (portRef (member dout_q_1 4))
+ (portRef (member dout_q_1 5))
+ (portRef (member dout_q_1 6))
+ (portRef (member dout_q_1 7))
+ (portRef (member dout_q_1 8))
+ (portRef (member dout_q_1 9))
+ (portRef (member dout_q_1 10))
+ (portRef (member dout_q_1 11))
+ (portRef (member dout_q_1 12))
+ (portRef (member dout_q_1 13))
+ (portRef (member dout_q_1 14))
+ (portRef (member dout_q_1 15))
+ (portRef (member dout_q_1 16))
+ (portRef (member dout_q_1 17))
+ (portRef (member dout_q_1 18))
+ (portRef (member dout_q_1 19))
+ (portRef (member dout_q_1 20))
+ (portRef (member dout_q_1 21))
+ (portRef (member dout_q_1 22))
+ (portRef (member dout_q_1 23))
+ (portRef (member dout_q_1 24))
+ (portRef (member dout_q_1 25))
+ (portRef (member dout_q_1 26))
+ (portRef (member dout_q_1 27))
+ (portRef (member dout_q_1 28))
+ (portRef (member dout_q_1 29))
+ (portRef (member dout_q_1 30))
+ (portRef (member dout_q_1 31))
+ (portRef (member dout_q_1 32))
+ (portRef (member dout_q_1 33))
+ (portRef (member dout_q_1 34))
+ (portRef (member dout_q_1 35))
+ (portRef (member dout_q_1 36))
+ (portRef (member dout_q_1 37))
+ (portRef (member dout_q_1 38))
+ (portRef (member dout_q_1 39))
+ (portRef (member dout_q_1 40))
+ (portRef (member dout_q_1 41))
+ (portRef (member dout_q_1 42))
+ (portRef (member dout_q_1 43))
+ (portRef (member dout_q_1 44))
+ (portRef (member dout_q_1 45))
+ (portRef (member dout_q_1 46))
+ (portRef (member dout_q_1 47))
+ (portRef (member dout_i_2 0))
+ (portRef (member dout_i_2 1))
+ (portRef (member dout_i_2 2))
+ (portRef (member dout_i_2 3))
+ (portRef (member dout_i_2 4))
+ (portRef (member dout_i_2 5))
+ (portRef (member dout_i_2 6))
+ (portRef (member dout_i_2 7))
+ (portRef (member dout_i_2 8))
+ (portRef (member dout_i_2 9))
+ (portRef (member dout_i_2 10))
+ (portRef (member dout_i_2 11))
+ (portRef (member dout_i_2 12))
+ (portRef (member dout_i_2 13))
+ (portRef (member dout_i_2 14))
+ (portRef (member dout_i_2 15))
+ (portRef (member dout_i_2 16))
+ (portRef (member dout_i_2 17))
+ (portRef (member dout_i_2 18))
+ (portRef (member dout_i_2 19))
+ (portRef (member dout_i_2 20))
+ (portRef (member dout_i_2 21))
+ (portRef (member dout_i_2 22))
+ (portRef (member dout_i_2 23))
+ (portRef (member dout_q_2 0))
+ (portRef (member dout_q_2 1))
+ (portRef (member dout_q_2 2))
+ (portRef (member dout_q_2 3))
+ (portRef (member dout_q_2 4))
+ (portRef (member dout_q_2 5))
+ (portRef (member dout_q_2 6))
+ (portRef (member dout_q_2 7))
+ (portRef (member dout_q_2 8))
+ (portRef (member dout_q_2 9))
+ (portRef (member dout_q_2 10))
+ (portRef (member dout_q_2 11))
+ (portRef (member dout_q_2 12))
+ (portRef (member dout_q_2 13))
+ (portRef (member dout_q_2 14))
+ (portRef (member dout_q_2 15))
+ (portRef (member dout_q_2 16))
+ (portRef (member dout_q_2 17))
+ (portRef (member dout_q_2 18))
+ (portRef (member dout_q_2 19))
+ (portRef (member dout_q_2 20))
+ (portRef (member dout_q_2 21))
+ (portRef (member dout_q_2 22))
+ (portRef (member dout_q_2 23))
+ (portRef (member dout_q_2 24))
+ (portRef (member dout_q_2 25))
+ (portRef (member dout_q_2 26))
+ (portRef (member dout_q_2 27))
+ (portRef (member dout_q_2 28))
+ (portRef (member dout_q_2 29))
+ (portRef (member dout_q_2 30))
+ (portRef (member dout_q_2 31))
+ (portRef (member dout_q_2 32))
+ (portRef (member dout_q_2 33))
+ (portRef (member dout_q_2 34))
+ (portRef (member dout_q_2 35))
+ (portRef (member dout_q_2 36))
+ (portRef (member dout_q_2 37))
+ (portRef (member dout_q_2 38))
+ (portRef (member dout_q_2 39))
+ (portRef (member dout_q_2 40))
+ (portRef (member dout_q_2 41))
+ (portRef (member dout_q_2 42))
+ (portRef (member dout_q_2 43))
+ (portRef (member dout_q_2 44))
+ (portRef (member dout_q_2 45))
+ (portRef (member dout_q_2 46))
+ (portRef (member dout_q_2 47))
+ (portRef G (instanceRef blk00000004))
+ (portRef DI (instanceRef blk0000000d))
+ (portRef RSTC (instanceRef blk0000000e))
+ (portRef CEAD (instanceRef blk0000000e))
+ (portRef RSTM (instanceRef blk0000000e))
+ (portRef MULTSIGNIN (instanceRef blk0000000e))
+ (portRef RSTCTRL (instanceRef blk0000000e))
+ (portRef RSTA (instanceRef blk0000000e))
+ (portRef RSTALUMODE (instanceRef blk0000000e))
+ (portRef RSTALLCARRYIN (instanceRef blk0000000e))
+ (portRef CED (instanceRef blk0000000e))
+ (portRef RSTD (instanceRef blk0000000e))
+ (portRef RSTB (instanceRef blk0000000e))
+ (portRef CARRYIN (instanceRef blk0000000e))
+ (portRef CARRYCASCIN (instanceRef blk0000000e))
+ (portRef RSTINMODE (instanceRef blk0000000e))
+ (portRef RSTP (instanceRef blk0000000e))
+ (portRef OPMODE_6_ (instanceRef blk0000000e))
+ (portRef OPMODE_5_ (instanceRef blk0000000e))
+ (portRef OPMODE_3_ (instanceRef blk0000000e))
+ (portRef ALUMODE_3_ (instanceRef blk0000000e))
+ (portRef ALUMODE_2_ (instanceRef blk0000000e))
+ (portRef ALUMODE_1_ (instanceRef blk0000000e))
+ (portRef ALUMODE_0_ (instanceRef blk0000000e))
+ (portRef C_47_ (instanceRef blk0000000e))
+ (portRef C_46_ (instanceRef blk0000000e))
+ (portRef C_45_ (instanceRef blk0000000e))
+ (portRef C_44_ (instanceRef blk0000000e))
+ (portRef C_43_ (instanceRef blk0000000e))
+ (portRef C_42_ (instanceRef blk0000000e))
+ (portRef C_41_ (instanceRef blk0000000e))
+ (portRef C_40_ (instanceRef blk0000000e))
+ (portRef C_39_ (instanceRef blk0000000e))
+ (portRef C_38_ (instanceRef blk0000000e))
+ (portRef C_37_ (instanceRef blk0000000e))
+ (portRef C_36_ (instanceRef blk0000000e))
+ (portRef C_35_ (instanceRef blk0000000e))
+ (portRef C_34_ (instanceRef blk0000000e))
+ (portRef C_33_ (instanceRef blk0000000e))
+ (portRef C_32_ (instanceRef blk0000000e))
+ (portRef C_31_ (instanceRef blk0000000e))
+ (portRef C_30_ (instanceRef blk0000000e))
+ (portRef C_29_ (instanceRef blk0000000e))
+ (portRef C_28_ (instanceRef blk0000000e))
+ (portRef C_27_ (instanceRef blk0000000e))
+ (portRef C_26_ (instanceRef blk0000000e))
+ (portRef C_25_ (instanceRef blk0000000e))
+ (portRef C_24_ (instanceRef blk0000000e))
+ (portRef C_23_ (instanceRef blk0000000e))
+ (portRef C_22_ (instanceRef blk0000000e))
+ (portRef C_21_ (instanceRef blk0000000e))
+ (portRef C_20_ (instanceRef blk0000000e))
+ (portRef C_19_ (instanceRef blk0000000e))
+ (portRef C_18_ (instanceRef blk0000000e))
+ (portRef C_17_ (instanceRef blk0000000e))
+ (portRef C_16_ (instanceRef blk0000000e))
+ (portRef C_15_ (instanceRef blk0000000e))
+ (portRef C_14_ (instanceRef blk0000000e))
+ (portRef C_13_ (instanceRef blk0000000e))
+ (portRef C_12_ (instanceRef blk0000000e))
+ (portRef C_11_ (instanceRef blk0000000e))
+ (portRef C_10_ (instanceRef blk0000000e))
+ (portRef C_9_ (instanceRef blk0000000e))
+ (portRef C_8_ (instanceRef blk0000000e))
+ (portRef C_7_ (instanceRef blk0000000e))
+ (portRef C_6_ (instanceRef blk0000000e))
+ (portRef C_5_ (instanceRef blk0000000e))
+ (portRef C_4_ (instanceRef blk0000000e))
+ (portRef C_3_ (instanceRef blk0000000e))
+ (portRef C_2_ (instanceRef blk0000000e))
+ (portRef C_1_ (instanceRef blk0000000e))
+ (portRef C_0_ (instanceRef blk0000000e))
+ (portRef INMODE_4_ (instanceRef blk0000000e))
+ (portRef INMODE_3_ (instanceRef blk0000000e))
+ (portRef INMODE_1_ (instanceRef blk0000000e))
+ (portRef INMODE_0_ (instanceRef blk0000000e))
+ (portRef BCIN_17_ (instanceRef blk0000000e))
+ (portRef BCIN_16_ (instanceRef blk0000000e))
+ (portRef BCIN_15_ (instanceRef blk0000000e))
+ (portRef BCIN_14_ (instanceRef blk0000000e))
+ (portRef BCIN_13_ (instanceRef blk0000000e))
+ (portRef BCIN_12_ (instanceRef blk0000000e))
+ (portRef BCIN_11_ (instanceRef blk0000000e))
+ (portRef BCIN_10_ (instanceRef blk0000000e))
+ (portRef BCIN_9_ (instanceRef blk0000000e))
+ (portRef BCIN_8_ (instanceRef blk0000000e))
+ (portRef BCIN_7_ (instanceRef blk0000000e))
+ (portRef BCIN_6_ (instanceRef blk0000000e))
+ (portRef BCIN_5_ (instanceRef blk0000000e))
+ (portRef BCIN_4_ (instanceRef blk0000000e))
+ (portRef BCIN_3_ (instanceRef blk0000000e))
+ (portRef BCIN_2_ (instanceRef blk0000000e))
+ (portRef BCIN_1_ (instanceRef blk0000000e))
+ (portRef BCIN_0_ (instanceRef blk0000000e))
+ (portRef D_24_ (instanceRef blk0000000e))
+ (portRef D_23_ (instanceRef blk0000000e))
+ (portRef D_22_ (instanceRef blk0000000e))
+ (portRef D_21_ (instanceRef blk0000000e))
+ (portRef D_20_ (instanceRef blk0000000e))
+ (portRef D_19_ (instanceRef blk0000000e))
+ (portRef D_18_ (instanceRef blk0000000e))
+ (portRef D_17_ (instanceRef blk0000000e))
+ (portRef D_16_ (instanceRef blk0000000e))
+ (portRef D_15_ (instanceRef blk0000000e))
+ (portRef D_14_ (instanceRef blk0000000e))
+ (portRef D_13_ (instanceRef blk0000000e))
+ (portRef D_12_ (instanceRef blk0000000e))
+ (portRef D_11_ (instanceRef blk0000000e))
+ (portRef D_10_ (instanceRef blk0000000e))
+ (portRef D_9_ (instanceRef blk0000000e))
+ (portRef D_8_ (instanceRef blk0000000e))
+ (portRef D_7_ (instanceRef blk0000000e))
+ (portRef D_6_ (instanceRef blk0000000e))
+ (portRef D_5_ (instanceRef blk0000000e))
+ (portRef D_4_ (instanceRef blk0000000e))
+ (portRef D_3_ (instanceRef blk0000000e))
+ (portRef D_2_ (instanceRef blk0000000e))
+ (portRef D_1_ (instanceRef blk0000000e))
+ (portRef D_0_ (instanceRef blk0000000e))
+ (portRef ACIN_29_ (instanceRef blk0000000e))
+ (portRef ACIN_28_ (instanceRef blk0000000e))
+ (portRef ACIN_27_ (instanceRef blk0000000e))
+ (portRef ACIN_26_ (instanceRef blk0000000e))
+ (portRef ACIN_25_ (instanceRef blk0000000e))
+ (portRef ACIN_24_ (instanceRef blk0000000e))
+ (portRef ACIN_23_ (instanceRef blk0000000e))
+ (portRef ACIN_22_ (instanceRef blk0000000e))
+ (portRef ACIN_21_ (instanceRef blk0000000e))
+ (portRef ACIN_20_ (instanceRef blk0000000e))
+ (portRef ACIN_19_ (instanceRef blk0000000e))
+ (portRef ACIN_18_ (instanceRef blk0000000e))
+ (portRef ACIN_17_ (instanceRef blk0000000e))
+ (portRef ACIN_16_ (instanceRef blk0000000e))
+ (portRef ACIN_15_ (instanceRef blk0000000e))
+ (portRef ACIN_14_ (instanceRef blk0000000e))
+ (portRef ACIN_13_ (instanceRef blk0000000e))
+ (portRef ACIN_12_ (instanceRef blk0000000e))
+ (portRef ACIN_11_ (instanceRef blk0000000e))
+ (portRef ACIN_10_ (instanceRef blk0000000e))
+ (portRef ACIN_9_ (instanceRef blk0000000e))
+ (portRef ACIN_8_ (instanceRef blk0000000e))
+ (portRef ACIN_7_ (instanceRef blk0000000e))
+ (portRef ACIN_6_ (instanceRef blk0000000e))
+ (portRef ACIN_5_ (instanceRef blk0000000e))
+ (portRef ACIN_4_ (instanceRef blk0000000e))
+ (portRef ACIN_3_ (instanceRef blk0000000e))
+ (portRef ACIN_2_ (instanceRef blk0000000e))
+ (portRef ACIN_1_ (instanceRef blk0000000e))
+ (portRef ACIN_0_ (instanceRef blk0000000e))
+ (portRef CARRYINSEL_2_ (instanceRef blk0000000e))
+ (portRef CARRYINSEL_1_ (instanceRef blk0000000e))
+ (portRef CARRYINSEL_0_ (instanceRef blk0000000e))
+ (portRef RSTC (instanceRef blk0000000f))
+ (portRef CEAD (instanceRef blk0000000f))
+ (portRef RSTM (instanceRef blk0000000f))
+ (portRef MULTSIGNIN (instanceRef blk0000000f))
+ (portRef RSTCTRL (instanceRef blk0000000f))
+ (portRef RSTA (instanceRef blk0000000f))
+ (portRef RSTALUMODE (instanceRef blk0000000f))
+ (portRef RSTALLCARRYIN (instanceRef blk0000000f))
+ (portRef CED (instanceRef blk0000000f))
+ (portRef RSTD (instanceRef blk0000000f))
+ (portRef RSTB (instanceRef blk0000000f))
+ (portRef CARRYIN (instanceRef blk0000000f))
+ (portRef CARRYCASCIN (instanceRef blk0000000f))
+ (portRef RSTINMODE (instanceRef blk0000000f))
+ (portRef RSTP (instanceRef blk0000000f))
+ (portRef OPMODE_6_ (instanceRef blk0000000f))
+ (portRef OPMODE_5_ (instanceRef blk0000000f))
+ (portRef OPMODE_3_ (instanceRef blk0000000f))
+ (portRef ALUMODE_3_ (instanceRef blk0000000f))
+ (portRef ALUMODE_2_ (instanceRef blk0000000f))
+ (portRef ALUMODE_1_ (instanceRef blk0000000f))
+ (portRef ALUMODE_0_ (instanceRef blk0000000f))
+ (portRef C_47_ (instanceRef blk0000000f))
+ (portRef C_46_ (instanceRef blk0000000f))
+ (portRef C_45_ (instanceRef blk0000000f))
+ (portRef C_44_ (instanceRef blk0000000f))
+ (portRef C_43_ (instanceRef blk0000000f))
+ (portRef C_42_ (instanceRef blk0000000f))
+ (portRef C_41_ (instanceRef blk0000000f))
+ (portRef C_40_ (instanceRef blk0000000f))
+ (portRef C_39_ (instanceRef blk0000000f))
+ (portRef C_38_ (instanceRef blk0000000f))
+ (portRef C_37_ (instanceRef blk0000000f))
+ (portRef C_36_ (instanceRef blk0000000f))
+ (portRef C_35_ (instanceRef blk0000000f))
+ (portRef C_34_ (instanceRef blk0000000f))
+ (portRef C_33_ (instanceRef blk0000000f))
+ (portRef C_32_ (instanceRef blk0000000f))
+ (portRef C_31_ (instanceRef blk0000000f))
+ (portRef C_30_ (instanceRef blk0000000f))
+ (portRef C_29_ (instanceRef blk0000000f))
+ (portRef C_28_ (instanceRef blk0000000f))
+ (portRef C_27_ (instanceRef blk0000000f))
+ (portRef C_26_ (instanceRef blk0000000f))
+ (portRef C_25_ (instanceRef blk0000000f))
+ (portRef C_24_ (instanceRef blk0000000f))
+ (portRef C_23_ (instanceRef blk0000000f))
+ (portRef C_22_ (instanceRef blk0000000f))
+ (portRef C_21_ (instanceRef blk0000000f))
+ (portRef C_20_ (instanceRef blk0000000f))
+ (portRef C_19_ (instanceRef blk0000000f))
+ (portRef C_18_ (instanceRef blk0000000f))
+ (portRef C_17_ (instanceRef blk0000000f))
+ (portRef C_16_ (instanceRef blk0000000f))
+ (portRef C_15_ (instanceRef blk0000000f))
+ (portRef C_14_ (instanceRef blk0000000f))
+ (portRef C_13_ (instanceRef blk0000000f))
+ (portRef C_12_ (instanceRef blk0000000f))
+ (portRef C_11_ (instanceRef blk0000000f))
+ (portRef C_10_ (instanceRef blk0000000f))
+ (portRef C_9_ (instanceRef blk0000000f))
+ (portRef C_8_ (instanceRef blk0000000f))
+ (portRef C_7_ (instanceRef blk0000000f))
+ (portRef C_6_ (instanceRef blk0000000f))
+ (portRef C_5_ (instanceRef blk0000000f))
+ (portRef C_4_ (instanceRef blk0000000f))
+ (portRef C_3_ (instanceRef blk0000000f))
+ (portRef C_2_ (instanceRef blk0000000f))
+ (portRef C_1_ (instanceRef blk0000000f))
+ (portRef C_0_ (instanceRef blk0000000f))
+ (portRef INMODE_4_ (instanceRef blk0000000f))
+ (portRef INMODE_3_ (instanceRef blk0000000f))
+ (portRef INMODE_1_ (instanceRef blk0000000f))
+ (portRef INMODE_0_ (instanceRef blk0000000f))
+ (portRef BCIN_17_ (instanceRef blk0000000f))
+ (portRef BCIN_16_ (instanceRef blk0000000f))
+ (portRef BCIN_15_ (instanceRef blk0000000f))
+ (portRef BCIN_14_ (instanceRef blk0000000f))
+ (portRef BCIN_13_ (instanceRef blk0000000f))
+ (portRef BCIN_12_ (instanceRef blk0000000f))
+ (portRef BCIN_11_ (instanceRef blk0000000f))
+ (portRef BCIN_10_ (instanceRef blk0000000f))
+ (portRef BCIN_9_ (instanceRef blk0000000f))
+ (portRef BCIN_8_ (instanceRef blk0000000f))
+ (portRef BCIN_7_ (instanceRef blk0000000f))
+ (portRef BCIN_6_ (instanceRef blk0000000f))
+ (portRef BCIN_5_ (instanceRef blk0000000f))
+ (portRef BCIN_4_ (instanceRef blk0000000f))
+ (portRef BCIN_3_ (instanceRef blk0000000f))
+ (portRef BCIN_2_ (instanceRef blk0000000f))
+ (portRef BCIN_1_ (instanceRef blk0000000f))
+ (portRef BCIN_0_ (instanceRef blk0000000f))
+ (portRef D_24_ (instanceRef blk0000000f))
+ (portRef D_23_ (instanceRef blk0000000f))
+ (portRef D_22_ (instanceRef blk0000000f))
+ (portRef D_21_ (instanceRef blk0000000f))
+ (portRef D_20_ (instanceRef blk0000000f))
+ (portRef D_19_ (instanceRef blk0000000f))
+ (portRef D_18_ (instanceRef blk0000000f))
+ (portRef D_17_ (instanceRef blk0000000f))
+ (portRef D_16_ (instanceRef blk0000000f))
+ (portRef D_15_ (instanceRef blk0000000f))
+ (portRef D_14_ (instanceRef blk0000000f))
+ (portRef D_13_ (instanceRef blk0000000f))
+ (portRef D_12_ (instanceRef blk0000000f))
+ (portRef D_11_ (instanceRef blk0000000f))
+ (portRef D_10_ (instanceRef blk0000000f))
+ (portRef D_9_ (instanceRef blk0000000f))
+ (portRef D_8_ (instanceRef blk0000000f))
+ (portRef D_7_ (instanceRef blk0000000f))
+ (portRef D_6_ (instanceRef blk0000000f))
+ (portRef D_5_ (instanceRef blk0000000f))
+ (portRef D_4_ (instanceRef blk0000000f))
+ (portRef D_3_ (instanceRef blk0000000f))
+ (portRef D_2_ (instanceRef blk0000000f))
+ (portRef D_1_ (instanceRef blk0000000f))
+ (portRef D_0_ (instanceRef blk0000000f))
+ (portRef ACIN_29_ (instanceRef blk0000000f))
+ (portRef ACIN_28_ (instanceRef blk0000000f))
+ (portRef ACIN_27_ (instanceRef blk0000000f))
+ (portRef ACIN_26_ (instanceRef blk0000000f))
+ (portRef ACIN_25_ (instanceRef blk0000000f))
+ (portRef ACIN_24_ (instanceRef blk0000000f))
+ (portRef ACIN_23_ (instanceRef blk0000000f))
+ (portRef ACIN_22_ (instanceRef blk0000000f))
+ (portRef ACIN_21_ (instanceRef blk0000000f))
+ (portRef ACIN_20_ (instanceRef blk0000000f))
+ (portRef ACIN_19_ (instanceRef blk0000000f))
+ (portRef ACIN_18_ (instanceRef blk0000000f))
+ (portRef ACIN_17_ (instanceRef blk0000000f))
+ (portRef ACIN_16_ (instanceRef blk0000000f))
+ (portRef ACIN_15_ (instanceRef blk0000000f))
+ (portRef ACIN_14_ (instanceRef blk0000000f))
+ (portRef ACIN_13_ (instanceRef blk0000000f))
+ (portRef ACIN_12_ (instanceRef blk0000000f))
+ (portRef ACIN_11_ (instanceRef blk0000000f))
+ (portRef ACIN_10_ (instanceRef blk0000000f))
+ (portRef ACIN_9_ (instanceRef blk0000000f))
+ (portRef ACIN_8_ (instanceRef blk0000000f))
+ (portRef ACIN_7_ (instanceRef blk0000000f))
+ (portRef ACIN_6_ (instanceRef blk0000000f))
+ (portRef ACIN_5_ (instanceRef blk0000000f))
+ (portRef ACIN_4_ (instanceRef blk0000000f))
+ (portRef ACIN_3_ (instanceRef blk0000000f))
+ (portRef ACIN_2_ (instanceRef blk0000000f))
+ (portRef ACIN_1_ (instanceRef blk0000000f))
+ (portRef ACIN_0_ (instanceRef blk0000000f))
+ (portRef CARRYINSEL_2_ (instanceRef blk0000000f))
+ (portRef CARRYINSEL_1_ (instanceRef blk0000000f))
+ (portRef CARRYINSEL_0_ (instanceRef blk0000000f))
+ (portRef DI (instanceRef blk00000010))
+ (portRef DI (instanceRef blk00000011))
+ (portRef S (instanceRef blk0000008d))
+ (portRef R (instanceRef blk0000008e))
+ (portRef DI (instanceRef blk00000093))
+ (portRef DI (instanceRef blk00000094))
+ (portRef DI (instanceRef blk00000096))
+ (portRef DI (instanceRef blk00000097))
+ (portRef R (instanceRef blk00000098))
+ (portRef R (instanceRef blk00000099))
+ (portRef R (instanceRef blk0000009a))
+ (portRef R (instanceRef blk0000009b))
+ (portRef R (instanceRef blk0000009c))
+ (portRef CI (instanceRef blk000000b4))
+ (portRef CI (instanceRef blk000000b5))
+ (portRef CI (instanceRef blk000000ba))
+ (portRef CI (instanceRef blk000000bb))
+ (portRef CI (instanceRef blk000000be))
+ (portRef R (instanceRef blk000000c5))
+ (portRef R (instanceRef blk000000c6))
+ (portRef R (instanceRef blk000000d0))
+ (portRef S (instanceRef blk000000d1))
+ (portRef R (instanceRef blk000000d9))
+ (portRef S (instanceRef blk000000da))
+ (portRef CI (instanceRef blk000000db))
+ (portRef CI (instanceRef blk000000de))
+ (portRef DI (instanceRef blk000000e1))
+ (portRef DI (instanceRef blk000000e2))
+ (portRef CI (instanceRef blk000000e6))
+ (portRef CI (instanceRef blk000000e9))
+ (portRef CI (instanceRef blk000000ec))
+ (portRef CI (instanceRef blk000000f1))
+ (portRef CI (instanceRef blk000000f5))
+ (portRef CI (instanceRef blk000000fa))
+ (portRef R (instanceRef blk00000103))
+ (portRef RSTC (instanceRef blk00000104))
+ (portRef CEB1 (instanceRef blk00000104))
+ (portRef RSTM (instanceRef blk00000104))
+ (portRef MULTSIGNIN (instanceRef blk00000104))
+ (portRef RSTCTRL (instanceRef blk00000104))
+ (portRef RSTA (instanceRef blk00000104))
+ (portRef RSTALUMODE (instanceRef blk00000104))
+ (portRef RSTALLCARRYIN (instanceRef blk00000104))
+ (portRef RSTD (instanceRef blk00000104))
+ (portRef CEA1 (instanceRef blk00000104))
+ (portRef RSTB (instanceRef blk00000104))
+ (portRef CARRYIN (instanceRef blk00000104))
+ (portRef CARRYCASCIN (instanceRef blk00000104))
+ (portRef RSTINMODE (instanceRef blk00000104))
+ (portRef RSTP (instanceRef blk00000104))
+ (portRef OPMODE_6_ (instanceRef blk00000104))
+ (portRef OPMODE_3_ (instanceRef blk00000104))
+ (portRef OPMODE_1_ (instanceRef blk00000104))
+ (portRef PCIN_47_ (instanceRef blk00000104))
+ (portRef PCIN_46_ (instanceRef blk00000104))
+ (portRef PCIN_45_ (instanceRef blk00000104))
+ (portRef PCIN_44_ (instanceRef blk00000104))
+ (portRef PCIN_43_ (instanceRef blk00000104))
+ (portRef PCIN_42_ (instanceRef blk00000104))
+ (portRef PCIN_41_ (instanceRef blk00000104))
+ (portRef PCIN_40_ (instanceRef blk00000104))
+ (portRef PCIN_39_ (instanceRef blk00000104))
+ (portRef PCIN_38_ (instanceRef blk00000104))
+ (portRef PCIN_37_ (instanceRef blk00000104))
+ (portRef PCIN_36_ (instanceRef blk00000104))
+ (portRef PCIN_35_ (instanceRef blk00000104))
+ (portRef PCIN_34_ (instanceRef blk00000104))
+ (portRef PCIN_33_ (instanceRef blk00000104))
+ (portRef PCIN_32_ (instanceRef blk00000104))
+ (portRef PCIN_31_ (instanceRef blk00000104))
+ (portRef PCIN_30_ (instanceRef blk00000104))
+ (portRef PCIN_29_ (instanceRef blk00000104))
+ (portRef PCIN_28_ (instanceRef blk00000104))
+ (portRef PCIN_27_ (instanceRef blk00000104))
+ (portRef PCIN_26_ (instanceRef blk00000104))
+ (portRef PCIN_25_ (instanceRef blk00000104))
+ (portRef PCIN_24_ (instanceRef blk00000104))
+ (portRef PCIN_23_ (instanceRef blk00000104))
+ (portRef PCIN_22_ (instanceRef blk00000104))
+ (portRef PCIN_21_ (instanceRef blk00000104))
+ (portRef PCIN_20_ (instanceRef blk00000104))
+ (portRef PCIN_19_ (instanceRef blk00000104))
+ (portRef PCIN_18_ (instanceRef blk00000104))
+ (portRef PCIN_17_ (instanceRef blk00000104))
+ (portRef PCIN_16_ (instanceRef blk00000104))
+ (portRef PCIN_15_ (instanceRef blk00000104))
+ (portRef PCIN_14_ (instanceRef blk00000104))
+ (portRef PCIN_13_ (instanceRef blk00000104))
+ (portRef PCIN_12_ (instanceRef blk00000104))
+ (portRef PCIN_11_ (instanceRef blk00000104))
+ (portRef PCIN_10_ (instanceRef blk00000104))
+ (portRef PCIN_9_ (instanceRef blk00000104))
+ (portRef PCIN_8_ (instanceRef blk00000104))
+ (portRef PCIN_7_ (instanceRef blk00000104))
+ (portRef PCIN_6_ (instanceRef blk00000104))
+ (portRef PCIN_5_ (instanceRef blk00000104))
+ (portRef PCIN_4_ (instanceRef blk00000104))
+ (portRef PCIN_3_ (instanceRef blk00000104))
+ (portRef PCIN_2_ (instanceRef blk00000104))
+ (portRef PCIN_1_ (instanceRef blk00000104))
+ (portRef PCIN_0_ (instanceRef blk00000104))
+ (portRef ALUMODE_3_ (instanceRef blk00000104))
+ (portRef ALUMODE_2_ (instanceRef blk00000104))
+ (portRef ALUMODE_1_ (instanceRef blk00000104))
+ (portRef ALUMODE_0_ (instanceRef blk00000104))
+ (portRef C_47_ (instanceRef blk00000104))
+ (portRef C_46_ (instanceRef blk00000104))
+ (portRef C_45_ (instanceRef blk00000104))
+ (portRef C_44_ (instanceRef blk00000104))
+ (portRef C_43_ (instanceRef blk00000104))
+ (portRef C_42_ (instanceRef blk00000104))
+ (portRef C_41_ (instanceRef blk00000104))
+ (portRef C_40_ (instanceRef blk00000104))
+ (portRef C_39_ (instanceRef blk00000104))
+ (portRef C_38_ (instanceRef blk00000104))
+ (portRef C_37_ (instanceRef blk00000104))
+ (portRef C_36_ (instanceRef blk00000104))
+ (portRef C_35_ (instanceRef blk00000104))
+ (portRef C_34_ (instanceRef blk00000104))
+ (portRef C_33_ (instanceRef blk00000104))
+ (portRef C_32_ (instanceRef blk00000104))
+ (portRef C_31_ (instanceRef blk00000104))
+ (portRef C_30_ (instanceRef blk00000104))
+ (portRef C_29_ (instanceRef blk00000104))
+ (portRef C_28_ (instanceRef blk00000104))
+ (portRef C_27_ (instanceRef blk00000104))
+ (portRef C_26_ (instanceRef blk00000104))
+ (portRef C_25_ (instanceRef blk00000104))
+ (portRef C_24_ (instanceRef blk00000104))
+ (portRef C_23_ (instanceRef blk00000104))
+ (portRef C_22_ (instanceRef blk00000104))
+ (portRef C_21_ (instanceRef blk00000104))
+ (portRef C_20_ (instanceRef blk00000104))
+ (portRef C_19_ (instanceRef blk00000104))
+ (portRef C_18_ (instanceRef blk00000104))
+ (portRef C_17_ (instanceRef blk00000104))
+ (portRef C_16_ (instanceRef blk00000104))
+ (portRef C_15_ (instanceRef blk00000104))
+ (portRef C_14_ (instanceRef blk00000104))
+ (portRef C_13_ (instanceRef blk00000104))
+ (portRef C_12_ (instanceRef blk00000104))
+ (portRef C_11_ (instanceRef blk00000104))
+ (portRef C_10_ (instanceRef blk00000104))
+ (portRef C_9_ (instanceRef blk00000104))
+ (portRef C_8_ (instanceRef blk00000104))
+ (portRef C_7_ (instanceRef blk00000104))
+ (portRef C_6_ (instanceRef blk00000104))
+ (portRef C_5_ (instanceRef blk00000104))
+ (portRef C_4_ (instanceRef blk00000104))
+ (portRef C_3_ (instanceRef blk00000104))
+ (portRef C_2_ (instanceRef blk00000104))
+ (portRef C_1_ (instanceRef blk00000104))
+ (portRef C_0_ (instanceRef blk00000104))
+ (portRef INMODE_4_ (instanceRef blk00000104))
+ (portRef INMODE_3_ (instanceRef blk00000104))
+ (portRef INMODE_1_ (instanceRef blk00000104))
+ (portRef INMODE_0_ (instanceRef blk00000104))
+ (portRef BCIN_17_ (instanceRef blk00000104))
+ (portRef BCIN_16_ (instanceRef blk00000104))
+ (portRef BCIN_15_ (instanceRef blk00000104))
+ (portRef BCIN_14_ (instanceRef blk00000104))
+ (portRef BCIN_13_ (instanceRef blk00000104))
+ (portRef BCIN_12_ (instanceRef blk00000104))
+ (portRef BCIN_11_ (instanceRef blk00000104))
+ (portRef BCIN_10_ (instanceRef blk00000104))
+ (portRef BCIN_9_ (instanceRef blk00000104))
+ (portRef BCIN_8_ (instanceRef blk00000104))
+ (portRef BCIN_7_ (instanceRef blk00000104))
+ (portRef BCIN_6_ (instanceRef blk00000104))
+ (portRef BCIN_5_ (instanceRef blk00000104))
+ (portRef BCIN_4_ (instanceRef blk00000104))
+ (portRef BCIN_3_ (instanceRef blk00000104))
+ (portRef BCIN_2_ (instanceRef blk00000104))
+ (portRef BCIN_1_ (instanceRef blk00000104))
+ (portRef BCIN_0_ (instanceRef blk00000104))
+ (portRef ACIN_29_ (instanceRef blk00000104))
+ (portRef ACIN_28_ (instanceRef blk00000104))
+ (portRef ACIN_27_ (instanceRef blk00000104))
+ (portRef ACIN_26_ (instanceRef blk00000104))
+ (portRef ACIN_25_ (instanceRef blk00000104))
+ (portRef ACIN_24_ (instanceRef blk00000104))
+ (portRef ACIN_23_ (instanceRef blk00000104))
+ (portRef ACIN_22_ (instanceRef blk00000104))
+ (portRef ACIN_21_ (instanceRef blk00000104))
+ (portRef ACIN_20_ (instanceRef blk00000104))
+ (portRef ACIN_19_ (instanceRef blk00000104))
+ (portRef ACIN_18_ (instanceRef blk00000104))
+ (portRef ACIN_17_ (instanceRef blk00000104))
+ (portRef ACIN_16_ (instanceRef blk00000104))
+ (portRef ACIN_15_ (instanceRef blk00000104))
+ (portRef ACIN_14_ (instanceRef blk00000104))
+ (portRef ACIN_13_ (instanceRef blk00000104))
+ (portRef ACIN_12_ (instanceRef blk00000104))
+ (portRef ACIN_11_ (instanceRef blk00000104))
+ (portRef ACIN_10_ (instanceRef blk00000104))
+ (portRef ACIN_9_ (instanceRef blk00000104))
+ (portRef ACIN_8_ (instanceRef blk00000104))
+ (portRef ACIN_7_ (instanceRef blk00000104))
+ (portRef ACIN_6_ (instanceRef blk00000104))
+ (portRef ACIN_5_ (instanceRef blk00000104))
+ (portRef ACIN_4_ (instanceRef blk00000104))
+ (portRef ACIN_3_ (instanceRef blk00000104))
+ (portRef ACIN_2_ (instanceRef blk00000104))
+ (portRef ACIN_1_ (instanceRef blk00000104))
+ (portRef ACIN_0_ (instanceRef blk00000104))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000104))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000104))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000104))
+ (portRef RSTC (instanceRef blk00000105))
+ (portRef CEB1 (instanceRef blk00000105))
+ (portRef RSTM (instanceRef blk00000105))
+ (portRef MULTSIGNIN (instanceRef blk00000105))
+ (portRef RSTCTRL (instanceRef blk00000105))
+ (portRef RSTA (instanceRef blk00000105))
+ (portRef RSTALUMODE (instanceRef blk00000105))
+ (portRef RSTALLCARRYIN (instanceRef blk00000105))
+ (portRef RSTD (instanceRef blk00000105))
+ (portRef CEA1 (instanceRef blk00000105))
+ (portRef RSTB (instanceRef blk00000105))
+ (portRef CARRYIN (instanceRef blk00000105))
+ (portRef CARRYCASCIN (instanceRef blk00000105))
+ (portRef RSTINMODE (instanceRef blk00000105))
+ (portRef RSTP (instanceRef blk00000105))
+ (portRef OPMODE_6_ (instanceRef blk00000105))
+ (portRef OPMODE_3_ (instanceRef blk00000105))
+ (portRef OPMODE_1_ (instanceRef blk00000105))
+ (portRef PCIN_47_ (instanceRef blk00000105))
+ (portRef PCIN_46_ (instanceRef blk00000105))
+ (portRef PCIN_45_ (instanceRef blk00000105))
+ (portRef PCIN_44_ (instanceRef blk00000105))
+ (portRef PCIN_43_ (instanceRef blk00000105))
+ (portRef PCIN_42_ (instanceRef blk00000105))
+ (portRef PCIN_41_ (instanceRef blk00000105))
+ (portRef PCIN_40_ (instanceRef blk00000105))
+ (portRef PCIN_39_ (instanceRef blk00000105))
+ (portRef PCIN_38_ (instanceRef blk00000105))
+ (portRef PCIN_37_ (instanceRef blk00000105))
+ (portRef PCIN_36_ (instanceRef blk00000105))
+ (portRef PCIN_35_ (instanceRef blk00000105))
+ (portRef PCIN_34_ (instanceRef blk00000105))
+ (portRef PCIN_33_ (instanceRef blk00000105))
+ (portRef PCIN_32_ (instanceRef blk00000105))
+ (portRef PCIN_31_ (instanceRef blk00000105))
+ (portRef PCIN_30_ (instanceRef blk00000105))
+ (portRef PCIN_29_ (instanceRef blk00000105))
+ (portRef PCIN_28_ (instanceRef blk00000105))
+ (portRef PCIN_27_ (instanceRef blk00000105))
+ (portRef PCIN_26_ (instanceRef blk00000105))
+ (portRef PCIN_25_ (instanceRef blk00000105))
+ (portRef PCIN_24_ (instanceRef blk00000105))
+ (portRef PCIN_23_ (instanceRef blk00000105))
+ (portRef PCIN_22_ (instanceRef blk00000105))
+ (portRef PCIN_21_ (instanceRef blk00000105))
+ (portRef PCIN_20_ (instanceRef blk00000105))
+ (portRef PCIN_19_ (instanceRef blk00000105))
+ (portRef PCIN_18_ (instanceRef blk00000105))
+ (portRef PCIN_17_ (instanceRef blk00000105))
+ (portRef PCIN_16_ (instanceRef blk00000105))
+ (portRef PCIN_15_ (instanceRef blk00000105))
+ (portRef PCIN_14_ (instanceRef blk00000105))
+ (portRef PCIN_13_ (instanceRef blk00000105))
+ (portRef PCIN_12_ (instanceRef blk00000105))
+ (portRef PCIN_11_ (instanceRef blk00000105))
+ (portRef PCIN_10_ (instanceRef blk00000105))
+ (portRef PCIN_9_ (instanceRef blk00000105))
+ (portRef PCIN_8_ (instanceRef blk00000105))
+ (portRef PCIN_7_ (instanceRef blk00000105))
+ (portRef PCIN_6_ (instanceRef blk00000105))
+ (portRef PCIN_5_ (instanceRef blk00000105))
+ (portRef PCIN_4_ (instanceRef blk00000105))
+ (portRef PCIN_3_ (instanceRef blk00000105))
+ (portRef PCIN_2_ (instanceRef blk00000105))
+ (portRef PCIN_1_ (instanceRef blk00000105))
+ (portRef PCIN_0_ (instanceRef blk00000105))
+ (portRef ALUMODE_3_ (instanceRef blk00000105))
+ (portRef ALUMODE_2_ (instanceRef blk00000105))
+ (portRef ALUMODE_1_ (instanceRef blk00000105))
+ (portRef ALUMODE_0_ (instanceRef blk00000105))
+ (portRef C_47_ (instanceRef blk00000105))
+ (portRef C_46_ (instanceRef blk00000105))
+ (portRef C_45_ (instanceRef blk00000105))
+ (portRef C_44_ (instanceRef blk00000105))
+ (portRef C_43_ (instanceRef blk00000105))
+ (portRef C_42_ (instanceRef blk00000105))
+ (portRef C_41_ (instanceRef blk00000105))
+ (portRef C_40_ (instanceRef blk00000105))
+ (portRef C_39_ (instanceRef blk00000105))
+ (portRef C_38_ (instanceRef blk00000105))
+ (portRef C_37_ (instanceRef blk00000105))
+ (portRef C_36_ (instanceRef blk00000105))
+ (portRef C_35_ (instanceRef blk00000105))
+ (portRef C_34_ (instanceRef blk00000105))
+ (portRef C_33_ (instanceRef blk00000105))
+ (portRef C_32_ (instanceRef blk00000105))
+ (portRef C_31_ (instanceRef blk00000105))
+ (portRef C_30_ (instanceRef blk00000105))
+ (portRef C_29_ (instanceRef blk00000105))
+ (portRef C_28_ (instanceRef blk00000105))
+ (portRef C_27_ (instanceRef blk00000105))
+ (portRef C_26_ (instanceRef blk00000105))
+ (portRef C_25_ (instanceRef blk00000105))
+ (portRef C_24_ (instanceRef blk00000105))
+ (portRef C_23_ (instanceRef blk00000105))
+ (portRef C_22_ (instanceRef blk00000105))
+ (portRef C_21_ (instanceRef blk00000105))
+ (portRef C_20_ (instanceRef blk00000105))
+ (portRef C_19_ (instanceRef blk00000105))
+ (portRef C_18_ (instanceRef blk00000105))
+ (portRef C_17_ (instanceRef blk00000105))
+ (portRef C_16_ (instanceRef blk00000105))
+ (portRef C_15_ (instanceRef blk00000105))
+ (portRef C_14_ (instanceRef blk00000105))
+ (portRef C_13_ (instanceRef blk00000105))
+ (portRef C_12_ (instanceRef blk00000105))
+ (portRef C_11_ (instanceRef blk00000105))
+ (portRef C_10_ (instanceRef blk00000105))
+ (portRef C_9_ (instanceRef blk00000105))
+ (portRef C_8_ (instanceRef blk00000105))
+ (portRef C_7_ (instanceRef blk00000105))
+ (portRef C_6_ (instanceRef blk00000105))
+ (portRef C_5_ (instanceRef blk00000105))
+ (portRef C_4_ (instanceRef blk00000105))
+ (portRef C_3_ (instanceRef blk00000105))
+ (portRef C_2_ (instanceRef blk00000105))
+ (portRef C_1_ (instanceRef blk00000105))
+ (portRef C_0_ (instanceRef blk00000105))
+ (portRef INMODE_4_ (instanceRef blk00000105))
+ (portRef INMODE_3_ (instanceRef blk00000105))
+ (portRef INMODE_1_ (instanceRef blk00000105))
+ (portRef INMODE_0_ (instanceRef blk00000105))
+ (portRef BCIN_17_ (instanceRef blk00000105))
+ (portRef BCIN_16_ (instanceRef blk00000105))
+ (portRef BCIN_15_ (instanceRef blk00000105))
+ (portRef BCIN_14_ (instanceRef blk00000105))
+ (portRef BCIN_13_ (instanceRef blk00000105))
+ (portRef BCIN_12_ (instanceRef blk00000105))
+ (portRef BCIN_11_ (instanceRef blk00000105))
+ (portRef BCIN_10_ (instanceRef blk00000105))
+ (portRef BCIN_9_ (instanceRef blk00000105))
+ (portRef BCIN_8_ (instanceRef blk00000105))
+ (portRef BCIN_7_ (instanceRef blk00000105))
+ (portRef BCIN_6_ (instanceRef blk00000105))
+ (portRef BCIN_5_ (instanceRef blk00000105))
+ (portRef BCIN_4_ (instanceRef blk00000105))
+ (portRef BCIN_3_ (instanceRef blk00000105))
+ (portRef BCIN_2_ (instanceRef blk00000105))
+ (portRef BCIN_1_ (instanceRef blk00000105))
+ (portRef BCIN_0_ (instanceRef blk00000105))
+ (portRef ACIN_29_ (instanceRef blk00000105))
+ (portRef ACIN_28_ (instanceRef blk00000105))
+ (portRef ACIN_27_ (instanceRef blk00000105))
+ (portRef ACIN_26_ (instanceRef blk00000105))
+ (portRef ACIN_25_ (instanceRef blk00000105))
+ (portRef ACIN_24_ (instanceRef blk00000105))
+ (portRef ACIN_23_ (instanceRef blk00000105))
+ (portRef ACIN_22_ (instanceRef blk00000105))
+ (portRef ACIN_21_ (instanceRef blk00000105))
+ (portRef ACIN_20_ (instanceRef blk00000105))
+ (portRef ACIN_19_ (instanceRef blk00000105))
+ (portRef ACIN_18_ (instanceRef blk00000105))
+ (portRef ACIN_17_ (instanceRef blk00000105))
+ (portRef ACIN_16_ (instanceRef blk00000105))
+ (portRef ACIN_15_ (instanceRef blk00000105))
+ (portRef ACIN_14_ (instanceRef blk00000105))
+ (portRef ACIN_13_ (instanceRef blk00000105))
+ (portRef ACIN_12_ (instanceRef blk00000105))
+ (portRef ACIN_11_ (instanceRef blk00000105))
+ (portRef ACIN_10_ (instanceRef blk00000105))
+ (portRef ACIN_9_ (instanceRef blk00000105))
+ (portRef ACIN_8_ (instanceRef blk00000105))
+ (portRef ACIN_7_ (instanceRef blk00000105))
+ (portRef ACIN_6_ (instanceRef blk00000105))
+ (portRef ACIN_5_ (instanceRef blk00000105))
+ (portRef ACIN_4_ (instanceRef blk00000105))
+ (portRef ACIN_3_ (instanceRef blk00000105))
+ (portRef ACIN_2_ (instanceRef blk00000105))
+ (portRef ACIN_1_ (instanceRef blk00000105))
+ (portRef ACIN_0_ (instanceRef blk00000105))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000105))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000105))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000105))
+ (portRef RSTC (instanceRef blk00000106))
+ (portRef CEB1 (instanceRef blk00000106))
+ (portRef RSTM (instanceRef blk00000106))
+ (portRef MULTSIGNIN (instanceRef blk00000106))
+ (portRef RSTCTRL (instanceRef blk00000106))
+ (portRef RSTA (instanceRef blk00000106))
+ (portRef RSTALUMODE (instanceRef blk00000106))
+ (portRef RSTALLCARRYIN (instanceRef blk00000106))
+ (portRef RSTD (instanceRef blk00000106))
+ (portRef CEA1 (instanceRef blk00000106))
+ (portRef RSTB (instanceRef blk00000106))
+ (portRef CARRYIN (instanceRef blk00000106))
+ (portRef CARRYCASCIN (instanceRef blk00000106))
+ (portRef RSTINMODE (instanceRef blk00000106))
+ (portRef RSTP (instanceRef blk00000106))
+ (portRef OPMODE_6_ (instanceRef blk00000106))
+ (portRef OPMODE_5_ (instanceRef blk00000106))
+ (portRef OPMODE_3_ (instanceRef blk00000106))
+ (portRef OPMODE_1_ (instanceRef blk00000106))
+ (portRef ALUMODE_3_ (instanceRef blk00000106))
+ (portRef ALUMODE_2_ (instanceRef blk00000106))
+ (portRef ALUMODE_1_ (instanceRef blk00000106))
+ (portRef ALUMODE_0_ (instanceRef blk00000106))
+ (portRef C_47_ (instanceRef blk00000106))
+ (portRef C_46_ (instanceRef blk00000106))
+ (portRef C_45_ (instanceRef blk00000106))
+ (portRef C_44_ (instanceRef blk00000106))
+ (portRef C_43_ (instanceRef blk00000106))
+ (portRef C_42_ (instanceRef blk00000106))
+ (portRef C_41_ (instanceRef blk00000106))
+ (portRef C_40_ (instanceRef blk00000106))
+ (portRef C_39_ (instanceRef blk00000106))
+ (portRef C_38_ (instanceRef blk00000106))
+ (portRef C_37_ (instanceRef blk00000106))
+ (portRef C_36_ (instanceRef blk00000106))
+ (portRef C_35_ (instanceRef blk00000106))
+ (portRef C_34_ (instanceRef blk00000106))
+ (portRef C_33_ (instanceRef blk00000106))
+ (portRef C_32_ (instanceRef blk00000106))
+ (portRef C_31_ (instanceRef blk00000106))
+ (portRef C_30_ (instanceRef blk00000106))
+ (portRef C_29_ (instanceRef blk00000106))
+ (portRef C_28_ (instanceRef blk00000106))
+ (portRef C_27_ (instanceRef blk00000106))
+ (portRef C_26_ (instanceRef blk00000106))
+ (portRef C_25_ (instanceRef blk00000106))
+ (portRef C_24_ (instanceRef blk00000106))
+ (portRef C_23_ (instanceRef blk00000106))
+ (portRef C_22_ (instanceRef blk00000106))
+ (portRef C_21_ (instanceRef blk00000106))
+ (portRef C_20_ (instanceRef blk00000106))
+ (portRef C_19_ (instanceRef blk00000106))
+ (portRef C_18_ (instanceRef blk00000106))
+ (portRef C_17_ (instanceRef blk00000106))
+ (portRef C_16_ (instanceRef blk00000106))
+ (portRef C_15_ (instanceRef blk00000106))
+ (portRef C_14_ (instanceRef blk00000106))
+ (portRef C_13_ (instanceRef blk00000106))
+ (portRef C_12_ (instanceRef blk00000106))
+ (portRef C_11_ (instanceRef blk00000106))
+ (portRef C_10_ (instanceRef blk00000106))
+ (portRef C_9_ (instanceRef blk00000106))
+ (portRef C_8_ (instanceRef blk00000106))
+ (portRef C_7_ (instanceRef blk00000106))
+ (portRef C_6_ (instanceRef blk00000106))
+ (portRef C_5_ (instanceRef blk00000106))
+ (portRef C_4_ (instanceRef blk00000106))
+ (portRef C_3_ (instanceRef blk00000106))
+ (portRef C_2_ (instanceRef blk00000106))
+ (portRef C_1_ (instanceRef blk00000106))
+ (portRef C_0_ (instanceRef blk00000106))
+ (portRef INMODE_4_ (instanceRef blk00000106))
+ (portRef INMODE_3_ (instanceRef blk00000106))
+ (portRef INMODE_1_ (instanceRef blk00000106))
+ (portRef INMODE_0_ (instanceRef blk00000106))
+ (portRef BCIN_17_ (instanceRef blk00000106))
+ (portRef BCIN_16_ (instanceRef blk00000106))
+ (portRef BCIN_15_ (instanceRef blk00000106))
+ (portRef BCIN_14_ (instanceRef blk00000106))
+ (portRef BCIN_13_ (instanceRef blk00000106))
+ (portRef BCIN_12_ (instanceRef blk00000106))
+ (portRef BCIN_11_ (instanceRef blk00000106))
+ (portRef BCIN_10_ (instanceRef blk00000106))
+ (portRef BCIN_9_ (instanceRef blk00000106))
+ (portRef BCIN_8_ (instanceRef blk00000106))
+ (portRef BCIN_7_ (instanceRef blk00000106))
+ (portRef BCIN_6_ (instanceRef blk00000106))
+ (portRef BCIN_5_ (instanceRef blk00000106))
+ (portRef BCIN_4_ (instanceRef blk00000106))
+ (portRef BCIN_3_ (instanceRef blk00000106))
+ (portRef BCIN_2_ (instanceRef blk00000106))
+ (portRef BCIN_1_ (instanceRef blk00000106))
+ (portRef BCIN_0_ (instanceRef blk00000106))
+ (portRef ACIN_29_ (instanceRef blk00000106))
+ (portRef ACIN_28_ (instanceRef blk00000106))
+ (portRef ACIN_27_ (instanceRef blk00000106))
+ (portRef ACIN_26_ (instanceRef blk00000106))
+ (portRef ACIN_25_ (instanceRef blk00000106))
+ (portRef ACIN_24_ (instanceRef blk00000106))
+ (portRef ACIN_23_ (instanceRef blk00000106))
+ (portRef ACIN_22_ (instanceRef blk00000106))
+ (portRef ACIN_21_ (instanceRef blk00000106))
+ (portRef ACIN_20_ (instanceRef blk00000106))
+ (portRef ACIN_19_ (instanceRef blk00000106))
+ (portRef ACIN_18_ (instanceRef blk00000106))
+ (portRef ACIN_17_ (instanceRef blk00000106))
+ (portRef ACIN_16_ (instanceRef blk00000106))
+ (portRef ACIN_15_ (instanceRef blk00000106))
+ (portRef ACIN_14_ (instanceRef blk00000106))
+ (portRef ACIN_13_ (instanceRef blk00000106))
+ (portRef ACIN_12_ (instanceRef blk00000106))
+ (portRef ACIN_11_ (instanceRef blk00000106))
+ (portRef ACIN_10_ (instanceRef blk00000106))
+ (portRef ACIN_9_ (instanceRef blk00000106))
+ (portRef ACIN_8_ (instanceRef blk00000106))
+ (portRef ACIN_7_ (instanceRef blk00000106))
+ (portRef ACIN_6_ (instanceRef blk00000106))
+ (portRef ACIN_5_ (instanceRef blk00000106))
+ (portRef ACIN_4_ (instanceRef blk00000106))
+ (portRef ACIN_3_ (instanceRef blk00000106))
+ (portRef ACIN_2_ (instanceRef blk00000106))
+ (portRef ACIN_1_ (instanceRef blk00000106))
+ (portRef ACIN_0_ (instanceRef blk00000106))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000106))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000106))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000106))
+ (portRef RSTC (instanceRef blk00000107))
+ (portRef CEB1 (instanceRef blk00000107))
+ (portRef RSTM (instanceRef blk00000107))
+ (portRef MULTSIGNIN (instanceRef blk00000107))
+ (portRef RSTCTRL (instanceRef blk00000107))
+ (portRef RSTA (instanceRef blk00000107))
+ (portRef RSTALUMODE (instanceRef blk00000107))
+ (portRef RSTALLCARRYIN (instanceRef blk00000107))
+ (portRef RSTD (instanceRef blk00000107))
+ (portRef CEA1 (instanceRef blk00000107))
+ (portRef RSTB (instanceRef blk00000107))
+ (portRef CARRYIN (instanceRef blk00000107))
+ (portRef CARRYCASCIN (instanceRef blk00000107))
+ (portRef RSTINMODE (instanceRef blk00000107))
+ (portRef RSTP (instanceRef blk00000107))
+ (portRef OPMODE_6_ (instanceRef blk00000107))
+ (portRef OPMODE_5_ (instanceRef blk00000107))
+ (portRef OPMODE_3_ (instanceRef blk00000107))
+ (portRef OPMODE_1_ (instanceRef blk00000107))
+ (portRef ALUMODE_3_ (instanceRef blk00000107))
+ (portRef ALUMODE_2_ (instanceRef blk00000107))
+ (portRef ALUMODE_1_ (instanceRef blk00000107))
+ (portRef ALUMODE_0_ (instanceRef blk00000107))
+ (portRef C_47_ (instanceRef blk00000107))
+ (portRef C_46_ (instanceRef blk00000107))
+ (portRef C_45_ (instanceRef blk00000107))
+ (portRef C_44_ (instanceRef blk00000107))
+ (portRef C_43_ (instanceRef blk00000107))
+ (portRef C_42_ (instanceRef blk00000107))
+ (portRef C_41_ (instanceRef blk00000107))
+ (portRef C_40_ (instanceRef blk00000107))
+ (portRef C_39_ (instanceRef blk00000107))
+ (portRef C_38_ (instanceRef blk00000107))
+ (portRef C_37_ (instanceRef blk00000107))
+ (portRef C_36_ (instanceRef blk00000107))
+ (portRef C_35_ (instanceRef blk00000107))
+ (portRef C_34_ (instanceRef blk00000107))
+ (portRef C_33_ (instanceRef blk00000107))
+ (portRef C_32_ (instanceRef blk00000107))
+ (portRef C_31_ (instanceRef blk00000107))
+ (portRef C_30_ (instanceRef blk00000107))
+ (portRef C_29_ (instanceRef blk00000107))
+ (portRef C_28_ (instanceRef blk00000107))
+ (portRef C_27_ (instanceRef blk00000107))
+ (portRef C_26_ (instanceRef blk00000107))
+ (portRef C_25_ (instanceRef blk00000107))
+ (portRef C_24_ (instanceRef blk00000107))
+ (portRef C_23_ (instanceRef blk00000107))
+ (portRef C_22_ (instanceRef blk00000107))
+ (portRef C_21_ (instanceRef blk00000107))
+ (portRef C_20_ (instanceRef blk00000107))
+ (portRef C_19_ (instanceRef blk00000107))
+ (portRef C_18_ (instanceRef blk00000107))
+ (portRef C_17_ (instanceRef blk00000107))
+ (portRef C_16_ (instanceRef blk00000107))
+ (portRef C_15_ (instanceRef blk00000107))
+ (portRef C_14_ (instanceRef blk00000107))
+ (portRef C_13_ (instanceRef blk00000107))
+ (portRef C_12_ (instanceRef blk00000107))
+ (portRef C_11_ (instanceRef blk00000107))
+ (portRef C_10_ (instanceRef blk00000107))
+ (portRef C_9_ (instanceRef blk00000107))
+ (portRef C_8_ (instanceRef blk00000107))
+ (portRef C_7_ (instanceRef blk00000107))
+ (portRef C_6_ (instanceRef blk00000107))
+ (portRef C_5_ (instanceRef blk00000107))
+ (portRef C_4_ (instanceRef blk00000107))
+ (portRef C_3_ (instanceRef blk00000107))
+ (portRef C_2_ (instanceRef blk00000107))
+ (portRef C_1_ (instanceRef blk00000107))
+ (portRef C_0_ (instanceRef blk00000107))
+ (portRef INMODE_4_ (instanceRef blk00000107))
+ (portRef INMODE_3_ (instanceRef blk00000107))
+ (portRef INMODE_1_ (instanceRef blk00000107))
+ (portRef INMODE_0_ (instanceRef blk00000107))
+ (portRef BCIN_17_ (instanceRef blk00000107))
+ (portRef BCIN_16_ (instanceRef blk00000107))
+ (portRef BCIN_15_ (instanceRef blk00000107))
+ (portRef BCIN_14_ (instanceRef blk00000107))
+ (portRef BCIN_13_ (instanceRef blk00000107))
+ (portRef BCIN_12_ (instanceRef blk00000107))
+ (portRef BCIN_11_ (instanceRef blk00000107))
+ (portRef BCIN_10_ (instanceRef blk00000107))
+ (portRef BCIN_9_ (instanceRef blk00000107))
+ (portRef BCIN_8_ (instanceRef blk00000107))
+ (portRef BCIN_7_ (instanceRef blk00000107))
+ (portRef BCIN_6_ (instanceRef blk00000107))
+ (portRef BCIN_5_ (instanceRef blk00000107))
+ (portRef BCIN_4_ (instanceRef blk00000107))
+ (portRef BCIN_3_ (instanceRef blk00000107))
+ (portRef BCIN_2_ (instanceRef blk00000107))
+ (portRef BCIN_1_ (instanceRef blk00000107))
+ (portRef BCIN_0_ (instanceRef blk00000107))
+ (portRef ACIN_29_ (instanceRef blk00000107))
+ (portRef ACIN_28_ (instanceRef blk00000107))
+ (portRef ACIN_27_ (instanceRef blk00000107))
+ (portRef ACIN_26_ (instanceRef blk00000107))
+ (portRef ACIN_25_ (instanceRef blk00000107))
+ (portRef ACIN_24_ (instanceRef blk00000107))
+ (portRef ACIN_23_ (instanceRef blk00000107))
+ (portRef ACIN_22_ (instanceRef blk00000107))
+ (portRef ACIN_21_ (instanceRef blk00000107))
+ (portRef ACIN_20_ (instanceRef blk00000107))
+ (portRef ACIN_19_ (instanceRef blk00000107))
+ (portRef ACIN_18_ (instanceRef blk00000107))
+ (portRef ACIN_17_ (instanceRef blk00000107))
+ (portRef ACIN_16_ (instanceRef blk00000107))
+ (portRef ACIN_15_ (instanceRef blk00000107))
+ (portRef ACIN_14_ (instanceRef blk00000107))
+ (portRef ACIN_13_ (instanceRef blk00000107))
+ (portRef ACIN_12_ (instanceRef blk00000107))
+ (portRef ACIN_11_ (instanceRef blk00000107))
+ (portRef ACIN_10_ (instanceRef blk00000107))
+ (portRef ACIN_9_ (instanceRef blk00000107))
+ (portRef ACIN_8_ (instanceRef blk00000107))
+ (portRef ACIN_7_ (instanceRef blk00000107))
+ (portRef ACIN_6_ (instanceRef blk00000107))
+ (portRef ACIN_5_ (instanceRef blk00000107))
+ (portRef ACIN_4_ (instanceRef blk00000107))
+ (portRef ACIN_3_ (instanceRef blk00000107))
+ (portRef ACIN_2_ (instanceRef blk00000107))
+ (portRef ACIN_1_ (instanceRef blk00000107))
+ (portRef ACIN_0_ (instanceRef blk00000107))
+ (portRef CARRYINSEL_2_ (instanceRef blk00000107))
+ (portRef CARRYINSEL_1_ (instanceRef blk00000107))
+ (portRef CARRYINSEL_0_ (instanceRef blk00000107))
+ (portRef R (instanceRef blk00000108))
+ (portRef R (instanceRef blk00000109))
+ (portRef R (instanceRef blk0000010a))
+ (portRef R (instanceRef blk0000010b))
+ (portRef R (instanceRef blk0000010c))
+ (portRef CI (instanceRef blk00000398))
+ (portRef CI (instanceRef blk000003a1))
+ (portRef CI (instanceRef blk0000040e))
+ (portRef A0 (instanceRef blk00000504))
+ (portRef A1 (instanceRef blk00000504))
+ (portRef A3 (instanceRef blk00000504))
+ (portRef A1 (instanceRef blk00000506))
+ (portRef A2 (instanceRef blk00000506))
+ (portRef A3 (instanceRef blk00000506))
+ (portRef A0 (instanceRef blk00000508))
+ (portRef A1 (instanceRef blk00000508))
+ (portRef A2 (instanceRef blk00000508))
+ (portRef A3 (instanceRef blk00000508))
+ (portRef A0 (instanceRef blk0000050a))
+ (portRef A1 (instanceRef blk0000050a))
+ (portRef A2 (instanceRef blk0000050a))
+ (portRef A3 (instanceRef blk0000050a))
+ (portRef A0 (instanceRef blk0000050c))
+ (portRef A1 (instanceRef blk0000050c))
+ (portRef A2 (instanceRef blk0000050c))
+ (portRef A3 (instanceRef blk0000050c))
+ (portRef A0 (instanceRef blk0000050e))
+ (portRef A1 (instanceRef blk0000050e))
+ (portRef A2 (instanceRef blk0000050e))
+ (portRef A3 (instanceRef blk0000050e))
+ (portRef A0 (instanceRef blk00000510))
+ (portRef A1 (instanceRef blk00000510))
+ (portRef A2 (instanceRef blk00000510))
+ (portRef A3 (instanceRef blk00000510))
+ (portRef A0 (instanceRef blk00000512))
+ (portRef A1 (instanceRef blk00000512))
+ (portRef A2 (instanceRef blk00000512))
+ (portRef A3 (instanceRef blk00000512))
+ (portRef A0 (instanceRef blk00000514))
+ (portRef A1 (instanceRef blk00000514))
+ (portRef A2 (instanceRef blk00000514))
+ (portRef A3 (instanceRef blk00000514))
+ (portRef A0 (instanceRef blk00000516))
+ (portRef A1 (instanceRef blk00000516))
+ (portRef A2 (instanceRef blk00000516))
+ (portRef A3 (instanceRef blk00000516))
+ (portRef A0 (instanceRef blk00000518))
+ (portRef A1 (instanceRef blk00000518))
+ (portRef A2 (instanceRef blk00000518))
+ (portRef A3 (instanceRef blk00000518))
+ (portRef A0 (instanceRef blk0000051a))
+ (portRef A1 (instanceRef blk0000051a))
+ (portRef A2 (instanceRef blk0000051a))
+ (portRef A3 (instanceRef blk0000051a))
+ (portRef A0 (instanceRef blk0000051c))
+ (portRef A1 (instanceRef blk0000051c))
+ (portRef A2 (instanceRef blk0000051c))
+ (portRef A3 (instanceRef blk0000051c))
+ (portRef A0 (instanceRef blk0000051e))
+ (portRef A1 (instanceRef blk0000051e))
+ (portRef A2 (instanceRef blk0000051e))
+ (portRef A3 (instanceRef blk0000051e))
+ (portRef A0 (instanceRef blk00000520))
+ (portRef A1 (instanceRef blk00000520))
+ (portRef A2 (instanceRef blk00000520))
+ (portRef A3 (instanceRef blk00000520))
+ (portRef A0 (instanceRef blk00000522))
+ (portRef A1 (instanceRef blk00000522))
+ (portRef A2 (instanceRef blk00000522))
+ (portRef A3 (instanceRef blk00000522))
+ (portRef A0 (instanceRef blk00000524))
+ (portRef A1 (instanceRef blk00000524))
+ (portRef A2 (instanceRef blk00000524))
+ (portRef A3 (instanceRef blk00000524))
+ (portRef A0 (instanceRef blk00000526))
+ (portRef A1 (instanceRef blk00000526))
+ (portRef A2 (instanceRef blk00000526))
+ (portRef A3 (instanceRef blk00000526))
+ (portRef A0 (instanceRef blk00000528))
+ (portRef A1 (instanceRef blk00000528))
+ (portRef A2 (instanceRef blk00000528))
+ (portRef A3 (instanceRef blk00000528))
+ (portRef A0 (instanceRef blk0000052a))
+ (portRef A1 (instanceRef blk0000052a))
+ (portRef A2 (instanceRef blk0000052a))
+ (portRef A3 (instanceRef blk0000052a))
+ (portRef A0 (instanceRef blk0000052c))
+ (portRef A2 (instanceRef blk0000052c))
+ (portRef A3 (instanceRef blk0000052c))
+ (portRef A1 (instanceRef blk0000052e))
+ (portRef A2 (instanceRef blk0000052e))
+ (portRef A3 (instanceRef blk0000052e))
+ (portRef A0 (instanceRef blk00000530))
+ (portRef A2 (instanceRef blk00000530))
+ (portRef A3 (instanceRef blk00000530))
+ (portRef A2 (instanceRef blk00000532))
+ (portRef A3 (instanceRef blk00000532))
+ (portRef A1 (instanceRef blk00000534))
+ (portRef A2 (instanceRef blk00000534))
+ (portRef A3 (instanceRef blk00000534))
+ (portRef A1 (instanceRef blk00000536))
+ (portRef A2 (instanceRef blk00000536))
+ (portRef A3 (instanceRef blk00000536))
+ (portRef A1 (instanceRef blk00000538))
+ (portRef A2 (instanceRef blk00000538))
+ (portRef A3 (instanceRef blk00000538))
+ (portRef A1 (instanceRef blk0000053a))
+ (portRef A2 (instanceRef blk0000053a))
+ (portRef A3 (instanceRef blk0000053a))
+ (portRef A1 (instanceRef blk0000053c))
+ (portRef A2 (instanceRef blk0000053c))
+ (portRef A3 (instanceRef blk0000053c))
+ (portRef A1 (instanceRef blk0000053e))
+ (portRef A2 (instanceRef blk0000053e))
+ (portRef A3 (instanceRef blk0000053e))
+ (portRef A1 (instanceRef blk00000540))
+ (portRef A2 (instanceRef blk00000540))
+ (portRef A3 (instanceRef blk00000540))
+ (portRef A1 (instanceRef blk00000542))
+ (portRef A2 (instanceRef blk00000542))
+ (portRef A3 (instanceRef blk00000542))
+ (portRef A1 (instanceRef blk00000544))
+ (portRef A2 (instanceRef blk00000544))
+ (portRef A3 (instanceRef blk00000544))
+ (portRef A1 (instanceRef blk00000546))
+ (portRef A2 (instanceRef blk00000546))
+ (portRef A3 (instanceRef blk00000546))
+ (portRef A1 (instanceRef blk00000548))
+ (portRef A2 (instanceRef blk00000548))
+ (portRef A3 (instanceRef blk00000548))
+ (portRef A1 (instanceRef blk0000054a))
+ (portRef A2 (instanceRef blk0000054a))
+ (portRef A3 (instanceRef blk0000054a))
+ (portRef A1 (instanceRef blk0000054c))
+ (portRef A2 (instanceRef blk0000054c))
+ (portRef A3 (instanceRef blk0000054c))
+ (portRef A1 (instanceRef blk0000054e))
+ (portRef A2 (instanceRef blk0000054e))
+ (portRef A3 (instanceRef blk0000054e))
+ (portRef A1 (instanceRef blk00000550))
+ (portRef A2 (instanceRef blk00000550))
+ (portRef A3 (instanceRef blk00000550))
+ (portRef A1 (instanceRef blk00000552))
+ (portRef A2 (instanceRef blk00000552))
+ (portRef A3 (instanceRef blk00000552))
+ (portRef A1 (instanceRef blk00000554))
+ (portRef A2 (instanceRef blk00000554))
+ (portRef A3 (instanceRef blk00000554))
+ (portRef A1 (instanceRef blk00000556))
+ (portRef A2 (instanceRef blk00000556))
+ (portRef A3 (instanceRef blk00000556))
+ (portRef A1 (instanceRef blk00000558))
+ (portRef A2 (instanceRef blk00000558))
+ (portRef A3 (instanceRef blk00000558))
+ (portRef A1 (instanceRef blk0000055a))
+ (portRef A2 (instanceRef blk0000055a))
+ (portRef A3 (instanceRef blk0000055a))
+ (portRef A1 (instanceRef blk0000055c))
+ (portRef A2 (instanceRef blk0000055c))
+ (portRef A3 (instanceRef blk0000055c))
+ (portRef A1 (instanceRef blk0000055e))
+ (portRef A2 (instanceRef blk0000055e))
+ (portRef A3 (instanceRef blk0000055e))
+ (portRef A1 (instanceRef blk00000560))
+ (portRef A2 (instanceRef blk00000560))
+ (portRef A3 (instanceRef blk00000560))
+ (portRef A1 (instanceRef blk00000562))
+ (portRef A2 (instanceRef blk00000562))
+ (portRef A3 (instanceRef blk00000562))
+ (portRef A1 (instanceRef blk00000564))
+ (portRef A2 (instanceRef blk00000564))
+ (portRef A3 (instanceRef blk00000564))
+ (portRef A1 (instanceRef blk00000566))
+ (portRef A2 (instanceRef blk00000566))
+ (portRef A3 (instanceRef blk00000566))
+ (portRef A1 (instanceRef blk00000568))
+ (portRef A2 (instanceRef blk00000568))
+ (portRef A3 (instanceRef blk00000568))
+ (portRef A1 (instanceRef blk0000056a))
+ (portRef A2 (instanceRef blk0000056a))
+ (portRef A3 (instanceRef blk0000056a))
+ (portRef A1 (instanceRef blk0000056c))
+ (portRef A2 (instanceRef blk0000056c))
+ (portRef A3 (instanceRef blk0000056c))
+ (portRef A1 (instanceRef blk0000056e))
+ (portRef A2 (instanceRef blk0000056e))
+ (portRef A3 (instanceRef blk0000056e))
+ (portRef A1 (instanceRef blk00000570))
+ (portRef A2 (instanceRef blk00000570))
+ (portRef A3 (instanceRef blk00000570))
+ (portRef A1 (instanceRef blk00000572))
+ (portRef A2 (instanceRef blk00000572))
+ (portRef A3 (instanceRef blk00000572))
+ (portRef A1 (instanceRef blk00000574))
+ (portRef A2 (instanceRef blk00000574))
+ (portRef A3 (instanceRef blk00000574))
+ (portRef A1 (instanceRef blk00000576))
+ (portRef A2 (instanceRef blk00000576))
+ (portRef A3 (instanceRef blk00000576))
+ (portRef A1 (instanceRef blk00000578))
+ (portRef A2 (instanceRef blk00000578))
+ (portRef A3 (instanceRef blk00000578))
+ (portRef A1 (instanceRef blk0000057a))
+ (portRef A2 (instanceRef blk0000057a))
+ (portRef A3 (instanceRef blk0000057a))
+ (portRef A1 (instanceRef blk0000057c))
+ (portRef A2 (instanceRef blk0000057c))
+ (portRef A3 (instanceRef blk0000057c))
+ (portRef A1 (instanceRef blk0000057e))
+ (portRef A2 (instanceRef blk0000057e))
+ (portRef A3 (instanceRef blk0000057e))
+ (portRef A1 (instanceRef blk00000580))
+ (portRef A2 (instanceRef blk00000580))
+ (portRef A3 (instanceRef blk00000580))
+ (portRef A1 (instanceRef blk00000582))
+ (portRef A2 (instanceRef blk00000582))
+ (portRef A3 (instanceRef blk00000582))
+ (portRef A1 (instanceRef blk00000584))
+ (portRef A2 (instanceRef blk00000584))
+ (portRef A3 (instanceRef blk00000584))
+ (portRef A1 (instanceRef blk00000586))
+ (portRef A2 (instanceRef blk00000586))
+ (portRef A3 (instanceRef blk00000586))
+ (portRef A1 (instanceRef blk00000588))
+ (portRef A2 (instanceRef blk00000588))
+ (portRef A3 (instanceRef blk00000588))
+ (portRef A1 (instanceRef blk0000058a))
+ (portRef A2 (instanceRef blk0000058a))
+ (portRef A3 (instanceRef blk0000058a))
+ (portRef A1 (instanceRef blk0000058c))
+ (portRef A2 (instanceRef blk0000058c))
+ (portRef A3 (instanceRef blk0000058c))
+ (portRef A1 (instanceRef blk0000058e))
+ (portRef A2 (instanceRef blk0000058e))
+ (portRef A3 (instanceRef blk0000058e))
+ (portRef A0 (instanceRef blk00000590))
+ (portRef A1 (instanceRef blk00000592))
+ (portRef A2 (instanceRef blk00000592))
+ (portRef A3 (instanceRef blk00000592))
+ (portRef A0 (instanceRef blk00000594))
+ (portRef A1 (instanceRef blk00000594))
+ (portRef A2 (instanceRef blk00000594))
+ (portRef A3 (instanceRef blk00000594))
+ (portRef A0 (instanceRef blk00000596))
+ (portRef A0 (instanceRef blk00000598))
+ (portRef A0 (instanceRef blk0000059a))
+ (portRef A0 (instanceRef blk0000059c))
+ (portRef A0 (instanceRef blk0000059e))
+ (portRef A0 (instanceRef blk000005a0))
+ (portRef A0 (instanceRef blk000005a2))
+ (portRef A0 (instanceRef blk000005a4))
+ (portRef A0 (instanceRef blk000005a6))
+ (portRef A0 (instanceRef blk000005a8))
+ (portRef A0 (instanceRef blk000005aa))
+ (portRef A0 (instanceRef blk000005ac))
+ (portRef A0 (instanceRef blk000005ae))
+ (portRef A0 (instanceRef blk000005b0))
+ (portRef A0 (instanceRef blk000005b2))
+ (portRef A0 (instanceRef blk000005b4))
+ (portRef A0 (instanceRef blk000005b6))
+ (portRef A0 (instanceRef blk000005b8))
+ (portRef A0 (instanceRef blk000005ba))
+ (portRef A0 (instanceRef blk000005bc))
+ (portRef A0 (instanceRef blk000005be))
+ (portRef A0 (instanceRef blk000005c0))
+ (portRef A0 (instanceRef blk000005c2))
+ (portRef A0 (instanceRef blk000005c4))
+ (portRef A0 (instanceRef blk000005c6))
+ (portRef A0 (instanceRef blk000005c8))
+ (portRef A0 (instanceRef blk000005ca))
+ (portRef A0 (instanceRef blk000005cc))
+ (portRef A0 (instanceRef blk000005ce))
+ (portRef A0 (instanceRef blk000005d0))
+ (portRef A0 (instanceRef blk000005d2))
+ (portRef A0 (instanceRef blk000005d4))
+ (portRef A0 (instanceRef blk000005d6))
+ (portRef A0 (instanceRef blk000005d8))
+ (portRef A0 (instanceRef blk000005da))
+ (portRef A0 (instanceRef blk000005dc))
+ (portRef A0 (instanceRef blk000005de))
+ (portRef A0 (instanceRef blk000005e0))
+ (portRef A0 (instanceRef blk000005e2))
+ (portRef A0 (instanceRef blk000005e4))
+ (portRef A0 (instanceRef blk000005e6))
+ (portRef A0 (instanceRef blk000005e8))
+ (portRef A0 (instanceRef blk000005ea))
+ (portRef A0 (instanceRef blk000005ec))
+ (portRef A0 (instanceRef blk000005ee))
+ (portRef A0 (instanceRef blk000005f0))
+ (portRef A0 (instanceRef blk000005f2))
+ (portRef A0 (instanceRef blk000005f4))
+ (portRef A1 (instanceRef blk000005f4))
+ (portRef A2 (instanceRef blk000005f4))
+ (portRef A0 (instanceRef blk000005f6))
+ (portRef A1 (instanceRef blk000005f6))
+ (portRef A2 (instanceRef blk000005f6))
+ (portRef A3 (instanceRef blk000005f6))
+ (portRef A2 (instanceRef blk000005f8))
+ (portRef A3 (instanceRef blk000005f8))
+ (portRef A2 (instanceRef blk000005fa))
+ (portRef A3 (instanceRef blk000005fa))
+ (portRef A2 (instanceRef blk000005fc))
+ (portRef A3 (instanceRef blk000005fc))
+ (portRef A2 (instanceRef blk000005fe))
+ (portRef A3 (instanceRef blk000005fe))
+ (portRef A2 (instanceRef blk00000600))
+ (portRef A3 (instanceRef blk00000600))
+ (portRef A2 (instanceRef blk00000602))
+ (portRef A3 (instanceRef blk00000602))
+ (portRef A2 (instanceRef blk00000604))
+ (portRef A3 (instanceRef blk00000604))
+ (portRef A2 (instanceRef blk00000606))
+ (portRef A3 (instanceRef blk00000606))
+ (portRef A2 (instanceRef blk00000608))
+ (portRef A3 (instanceRef blk00000608))
+ (portRef A2 (instanceRef blk0000060a))
+ (portRef A3 (instanceRef blk0000060a))
+ (portRef A2 (instanceRef blk0000060c))
+ (portRef A3 (instanceRef blk0000060c))
+ (portRef A2 (instanceRef blk0000060e))
+ (portRef A3 (instanceRef blk0000060e))
+ (portRef A2 (instanceRef blk00000610))
+ (portRef A3 (instanceRef blk00000610))
+ (portRef A2 (instanceRef blk00000612))
+ (portRef A3 (instanceRef blk00000612))
+ (portRef A2 (instanceRef blk00000614))
+ (portRef A3 (instanceRef blk00000614))
+ (portRef A2 (instanceRef blk00000616))
+ (portRef A3 (instanceRef blk00000616))
+ (portRef A2 (instanceRef blk00000618))
+ (portRef A3 (instanceRef blk00000618))
+ (portRef A2 (instanceRef blk0000061a))
+ (portRef A3 (instanceRef blk0000061a))
+ (portRef A2 (instanceRef blk0000061c))
+ (portRef A3 (instanceRef blk0000061c))
+ (portRef A2 (instanceRef blk0000061e))
+ (portRef A3 (instanceRef blk0000061e))
+ (portRef A2 (instanceRef blk00000620))
+ (portRef A3 (instanceRef blk00000620))
+ (portRef A2 (instanceRef blk00000622))
+ (portRef A3 (instanceRef blk00000622))
+ (portRef A2 (instanceRef blk00000624))
+ (portRef A3 (instanceRef blk00000624))
+ (portRef A2 (instanceRef blk00000626))
+ (portRef A3 (instanceRef blk00000626))
+ (portRef A2 (instanceRef blk00000628))
+ (portRef A3 (instanceRef blk00000628))
+ (portRef A2 (instanceRef blk0000062a))
+ (portRef A3 (instanceRef blk0000062a))
+ (portRef A2 (instanceRef blk0000062c))
+ (portRef A3 (instanceRef blk0000062c))
+ (portRef A2 (instanceRef blk0000062e))
+ (portRef A3 (instanceRef blk0000062e))
+ (portRef A2 (instanceRef blk00000630))
+ (portRef A3 (instanceRef blk00000630))
+ (portRef A2 (instanceRef blk00000632))
+ (portRef A3 (instanceRef blk00000632))
+ (portRef A2 (instanceRef blk00000634))
+ (portRef A3 (instanceRef blk00000634))
+ (portRef A2 (instanceRef blk00000636))
+ (portRef A3 (instanceRef blk00000636))
+ (portRef A2 (instanceRef blk00000638))
+ (portRef A3 (instanceRef blk00000638))
+ (portRef A2 (instanceRef blk0000063a))
+ (portRef A3 (instanceRef blk0000063a))
+ (portRef A2 (instanceRef blk0000063c))
+ (portRef A3 (instanceRef blk0000063c))
+ (portRef A2 (instanceRef blk0000063e))
+ (portRef A3 (instanceRef blk0000063e))
+ (portRef A2 (instanceRef blk00000640))
+ (portRef A3 (instanceRef blk00000640))
+ (portRef A2 (instanceRef blk00000642))
+ (portRef A3 (instanceRef blk00000642))
+ (portRef A2 (instanceRef blk00000644))
+ (portRef A3 (instanceRef blk00000644))
+ (portRef A2 (instanceRef blk00000646))
+ (portRef A3 (instanceRef blk00000646))
+ (portRef A2 (instanceRef blk00000648))
+ (portRef A3 (instanceRef blk00000648))
+ (portRef A2 (instanceRef blk0000064a))
+ (portRef A3 (instanceRef blk0000064a))
+ (portRef A2 (instanceRef blk0000064c))
+ (portRef A3 (instanceRef blk0000064c))
+ (portRef A2 (instanceRef blk0000064e))
+ (portRef A3 (instanceRef blk0000064e))
+ (portRef A2 (instanceRef blk00000650))
+ (portRef A3 (instanceRef blk00000650))
+ (portRef A2 (instanceRef blk00000652))
+ (portRef A3 (instanceRef blk00000652))
+ (portRef A2 (instanceRef blk00000654))
+ (portRef A3 (instanceRef blk00000654))
+ (portRef A2 (instanceRef blk00000656))
+ (portRef A3 (instanceRef blk00000656))
+ (portRef A0 (instanceRef blk00000658))
+ (portRef A1 (instanceRef blk00000658))
+ (portRef A3 (instanceRef blk00000658))
+ (portRef A2 (instanceRef blk0000065a))
+ (portRef A3 (instanceRef blk0000065a))
+ )
+ )
+ (net sig0000004a
+ (joined
+ (portRef (member chan_out 0))
+ (portRef Q (instanceRef blk00000403))
+ (portRef I1 (instanceRef blk000004ab))
+ )
+ )
+ (net sig0000004b
+ (joined
+ (portRef (member dout_1 0))
+ (portRef Q (instanceRef blk000003d2))
+ (portRef I3 (instanceRef blk0000047a))
+ )
+ )
+ (net sig0000004c
+ (joined
+ (portRef (member dout_1 1))
+ (portRef Q (instanceRef blk000003d1))
+ (portRef I3 (instanceRef blk00000478))
+ )
+ )
+ (net sig0000004d
+ (joined
+ (portRef (member dout_1 2))
+ (portRef Q (instanceRef blk000003d0))
+ (portRef I3 (instanceRef blk00000479))
+ )
+ )
+ (net sig0000004e
+ (joined
+ (portRef (member dout_1 3))
+ (portRef Q (instanceRef blk000003cf))
+ (portRef I3 (instanceRef blk00000477))
+ )
+ )
+ (net sig0000004f
+ (joined
+ (portRef (member dout_1 4))
+ (portRef Q (instanceRef blk000003ce))
+ (portRef I3 (instanceRef blk00000475))
+ )
+ )
+ (net sig00000050
+ (joined
+ (portRef (member dout_1 5))
+ (portRef Q (instanceRef blk000003cd))
+ (portRef I3 (instanceRef blk00000476))
+ )
+ )
+ (net sig00000051
+ (joined
+ (portRef (member dout_1 6))
+ (portRef Q (instanceRef blk000003cc))
+ (portRef I3 (instanceRef blk00000474))
+ )
+ )
+ (net sig00000052
+ (joined
+ (portRef (member dout_1 7))
+ (portRef Q (instanceRef blk000003cb))
+ (portRef I3 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000053
+ (joined
+ (portRef (member dout_1 8))
+ (portRef Q (instanceRef blk000003ca))
+ (portRef I3 (instanceRef blk00000473))
+ )
+ )
+ (net sig00000054
+ (joined
+ (portRef (member dout_1 9))
+ (portRef Q (instanceRef blk000003c9))
+ (portRef I3 (instanceRef blk00000471))
+ )
+ )
+ (net sig00000055
+ (joined
+ (portRef (member dout_1 10))
+ (portRef Q (instanceRef blk000003c8))
+ (portRef I3 (instanceRef blk0000046f))
+ )
+ )
+ (net sig00000056
+ (joined
+ (portRef (member dout_1 11))
+ (portRef Q (instanceRef blk000003c7))
+ (portRef I3 (instanceRef blk00000470))
+ )
+ )
+ (net sig00000057
+ (joined
+ (portRef (member dout_1 12))
+ (portRef Q (instanceRef blk000003c6))
+ (portRef I3 (instanceRef blk0000046e))
+ )
+ )
+ (net sig00000058
+ (joined
+ (portRef (member dout_1 13))
+ (portRef Q (instanceRef blk000003c5))
+ (portRef I3 (instanceRef blk0000046c))
+ )
+ )
+ (net sig00000059
+ (joined
+ (portRef (member dout_1 14))
+ (portRef Q (instanceRef blk000003c4))
+ (portRef I3 (instanceRef blk0000046d))
+ )
+ )
+ (net sig0000005a
+ (joined
+ (portRef (member dout_1 15))
+ (portRef Q (instanceRef blk000003c3))
+ (portRef I3 (instanceRef blk0000046b))
+ )
+ )
+ (net sig0000005b
+ (joined
+ (portRef (member dout_1 16))
+ (portRef Q (instanceRef blk000003c2))
+ (portRef I3 (instanceRef blk00000469))
+ )
+ )
+ (net sig0000005c
+ (joined
+ (portRef (member dout_1 17))
+ (portRef Q (instanceRef blk000003c1))
+ (portRef I3 (instanceRef blk0000046a))
+ )
+ )
+ (net sig0000005d
+ (joined
+ (portRef (member dout_1 18))
+ (portRef Q (instanceRef blk000003c0))
+ (portRef I3 (instanceRef blk00000468))
+ )
+ )
+ (net sig0000005e
+ (joined
+ (portRef (member dout_1 19))
+ (portRef Q (instanceRef blk000003bf))
+ (portRef I3 (instanceRef blk00000466))
+ )
+ )
+ (net sig0000005f
+ (joined
+ (portRef (member dout_1 20))
+ (portRef Q (instanceRef blk000003be))
+ (portRef I3 (instanceRef blk00000467))
+ )
+ )
+ (net sig00000060
+ (joined
+ (portRef (member dout_1 21))
+ (portRef Q (instanceRef blk000003bd))
+ (portRef I3 (instanceRef blk00000465))
+ )
+ )
+ (net sig00000061
+ (joined
+ (portRef (member dout_1 22))
+ (portRef Q (instanceRef blk000003bc))
+ (portRef I3 (instanceRef blk00000463))
+ )
+ )
+ (net sig00000062
+ (joined
+ (portRef (member dout_1 23))
+ (portRef Q (instanceRef blk000003bb))
+ (portRef I3 (instanceRef blk00000464))
+ )
+ )
+ (net sig00000063
+ (joined
+ (portRef (member dout_1 24))
+ (portRef Q (instanceRef blk000003ba))
+ (portRef I3 (instanceRef blk00000462))
+ )
+ )
+ (net sig00000064
+ (joined
+ (portRef (member dout_1 25))
+ (portRef Q (instanceRef blk000003b9))
+ (portRef I3 (instanceRef blk00000460))
+ )
+ )
+ (net sig00000065
+ (joined
+ (portRef (member dout_1 26))
+ (portRef Q (instanceRef blk000003b8))
+ (portRef I3 (instanceRef blk00000461))
+ )
+ )
+ (net sig00000066
+ (joined
+ (portRef (member dout_1 27))
+ (portRef Q (instanceRef blk000003b7))
+ (portRef I3 (instanceRef blk0000045f))
+ )
+ )
+ (net sig00000067
+ (joined
+ (portRef (member dout_1 28))
+ (portRef Q (instanceRef blk000003b6))
+ (portRef I3 (instanceRef blk0000045d))
+ )
+ )
+ (net sig00000068
+ (joined
+ (portRef (member dout_1 29))
+ (portRef Q (instanceRef blk000003b5))
+ (portRef I3 (instanceRef blk0000045e))
+ )
+ )
+ (net sig00000069
+ (joined
+ (portRef (member dout_1 30))
+ (portRef Q (instanceRef blk000003b4))
+ (portRef I3 (instanceRef blk0000045c))
+ )
+ )
+ (net sig0000006a
+ (joined
+ (portRef (member dout_1 31))
+ (portRef Q (instanceRef blk000003b3))
+ (portRef I3 (instanceRef blk0000045a))
+ )
+ )
+ (net sig0000006b
+ (joined
+ (portRef (member dout_1 32))
+ (portRef Q (instanceRef blk000003b2))
+ (portRef I3 (instanceRef blk0000045b))
+ )
+ )
+ (net sig0000006c
+ (joined
+ (portRef (member dout_1 33))
+ (portRef Q (instanceRef blk000003b1))
+ (portRef I3 (instanceRef blk00000459))
+ )
+ )
+ (net sig0000006d
+ (joined
+ (portRef (member dout_1 34))
+ (portRef Q (instanceRef blk000003b0))
+ (portRef I3 (instanceRef blk00000457))
+ )
+ )
+ (net sig0000006e
+ (joined
+ (portRef (member dout_1 35))
+ (portRef Q (instanceRef blk000003af))
+ (portRef I3 (instanceRef blk00000458))
+ )
+ )
+ (net sig0000006f
+ (joined
+ (portRef (member dout_1 36))
+ (portRef Q (instanceRef blk000003ae))
+ (portRef I3 (instanceRef blk00000456))
+ )
+ )
+ (net sig00000070
+ (joined
+ (portRef (member dout_1 37))
+ (portRef Q (instanceRef blk000003ad))
+ (portRef I3 (instanceRef blk00000454))
+ )
+ )
+ (net sig00000071
+ (joined
+ (portRef (member dout_1 38))
+ (portRef Q (instanceRef blk000003ac))
+ (portRef I3 (instanceRef blk00000455))
+ )
+ )
+ (net sig00000072
+ (joined
+ (portRef (member dout_1 39))
+ (portRef Q (instanceRef blk000003ab))
+ (portRef I3 (instanceRef blk00000453))
+ )
+ )
+ (net sig00000073
+ (joined
+ (portRef (member dout_1 40))
+ (portRef Q (instanceRef blk000003aa))
+ (portRef I3 (instanceRef blk00000451))
+ )
+ )
+ (net sig00000074
+ (joined
+ (portRef (member dout_1 41))
+ (portRef Q (instanceRef blk000003a9))
+ (portRef I3 (instanceRef blk00000452))
+ )
+ )
+ (net sig00000075
+ (joined
+ (portRef (member dout_1 42))
+ (portRef Q (instanceRef blk000003a8))
+ (portRef I3 (instanceRef blk00000450))
+ )
+ )
+ (net sig00000076
+ (joined
+ (portRef (member dout_1 43))
+ (portRef Q (instanceRef blk000003a7))
+ (portRef I3 (instanceRef blk0000044e))
+ )
+ )
+ (net sig00000077
+ (joined
+ (portRef (member dout_1 44))
+ (portRef Q (instanceRef blk000003a6))
+ (portRef I3 (instanceRef blk0000044f))
+ )
+ )
+ (net sig00000078
+ (joined
+ (portRef (member dout_1 45))
+ (portRef Q (instanceRef blk000003a5))
+ (portRef I3 (instanceRef blk0000044d))
+ )
+ )
+ (net sig00000079
+ (joined
+ (portRef (member dout_1 46))
+ (portRef Q (instanceRef blk000003a4))
+ (portRef I3 (instanceRef blk0000044b))
+ )
+ )
+ (net sig0000007a
+ (joined
+ (portRef (member dout_1 47))
+ (portRef Q (instanceRef blk000003a3))
+ (portRef I3 (instanceRef blk0000044c))
+ )
+ )
+ (net sig0000007b
+ (joined
+ (portRef (member dout_2 0))
+ (portRef Q (instanceRef blk00000402))
+ (portRef I3 (instanceRef blk000004aa))
+ )
+ )
+ (net sig0000007c
+ (joined
+ (portRef (member dout_2 1))
+ (portRef Q (instanceRef blk00000401))
+ (portRef I3 (instanceRef blk000004a9))
+ )
+ )
+ (net sig0000007d
+ (joined
+ (portRef (member dout_2 2))
+ (portRef Q (instanceRef blk00000400))
+ (portRef I3 (instanceRef blk000004a7))
+ )
+ )
+ (net sig0000007e
+ (joined
+ (portRef (member dout_2 3))
+ (portRef Q (instanceRef blk000003ff))
+ (portRef I3 (instanceRef blk000004a8))
+ )
+ )
+ (net sig0000007f
+ (joined
+ (portRef (member dout_2 4))
+ (portRef Q (instanceRef blk000003fe))
+ (portRef I3 (instanceRef blk000004a6))
+ )
+ )
+ (net sig00000080
+ (joined
+ (portRef (member dout_2 5))
+ (portRef Q (instanceRef blk000003fd))
+ (portRef I3 (instanceRef blk000004a4))
+ )
+ )
+ (net sig00000081
+ (joined
+ (portRef (member dout_2 6))
+ (portRef Q (instanceRef blk000003fc))
+ (portRef I3 (instanceRef blk000004a5))
+ )
+ )
+ (net sig00000082
+ (joined
+ (portRef (member dout_2 7))
+ (portRef Q (instanceRef blk000003fb))
+ (portRef I3 (instanceRef blk000004a3))
+ )
+ )
+ (net sig00000083
+ (joined
+ (portRef (member dout_2 8))
+ (portRef Q (instanceRef blk000003fa))
+ (portRef I3 (instanceRef blk000004a1))
+ )
+ )
+ (net sig00000084
+ (joined
+ (portRef (member dout_2 9))
+ (portRef Q (instanceRef blk000003f9))
+ (portRef I3 (instanceRef blk000004a2))
+ )
+ )
+ (net sig00000085
+ (joined
+ (portRef (member dout_2 10))
+ (portRef Q (instanceRef blk000003f8))
+ (portRef I3 (instanceRef blk000004a0))
+ )
+ )
+ (net sig00000086
+ (joined
+ (portRef (member dout_2 11))
+ (portRef Q (instanceRef blk000003f7))
+ (portRef I3 (instanceRef blk0000049e))
+ )
+ )
+ (net sig00000087
+ (joined
+ (portRef (member dout_2 12))
+ (portRef Q (instanceRef blk000003f6))
+ (portRef I3 (instanceRef blk0000049f))
+ )
+ )
+ (net sig00000088
+ (joined
+ (portRef (member dout_2 13))
+ (portRef Q (instanceRef blk000003f5))
+ (portRef I3 (instanceRef blk0000049d))
+ )
+ )
+ (net sig00000089
+ (joined
+ (portRef (member dout_2 14))
+ (portRef Q (instanceRef blk000003f4))
+ (portRef I3 (instanceRef blk0000049b))
+ )
+ )
+ (net sig0000008a
+ (joined
+ (portRef (member dout_2 15))
+ (portRef Q (instanceRef blk000003f3))
+ (portRef I3 (instanceRef blk0000049c))
+ )
+ )
+ (net sig0000008b
+ (joined
+ (portRef (member dout_2 16))
+ (portRef Q (instanceRef blk000003f2))
+ (portRef I3 (instanceRef blk0000049a))
+ )
+ )
+ (net sig0000008c
+ (joined
+ (portRef (member dout_2 17))
+ (portRef Q (instanceRef blk000003f1))
+ (portRef I3 (instanceRef blk00000498))
+ )
+ )
+ (net sig0000008d
+ (joined
+ (portRef (member dout_2 18))
+ (portRef Q (instanceRef blk000003f0))
+ (portRef I3 (instanceRef blk00000499))
+ )
+ )
+ (net sig0000008e
+ (joined
+ (portRef (member dout_2 19))
+ (portRef Q (instanceRef blk000003ef))
+ (portRef I3 (instanceRef blk00000497))
+ )
+ )
+ (net sig0000008f
+ (joined
+ (portRef (member dout_2 20))
+ (portRef Q (instanceRef blk000003ee))
+ (portRef I3 (instanceRef blk00000495))
+ )
+ )
+ (net sig00000090
+ (joined
+ (portRef (member dout_2 21))
+ (portRef Q (instanceRef blk000003ed))
+ (portRef I3 (instanceRef blk00000496))
+ )
+ )
+ (net sig00000091
+ (joined
+ (portRef (member dout_2 22))
+ (portRef Q (instanceRef blk000003ec))
+ (portRef I3 (instanceRef blk00000494))
+ )
+ )
+ (net sig00000092
+ (joined
+ (portRef (member dout_2 23))
+ (portRef Q (instanceRef blk000003eb))
+ (portRef I3 (instanceRef blk00000492))
+ )
+ )
+ (net sig00000093
+ (joined
+ (portRef (member dout_2 24))
+ (portRef Q (instanceRef blk000003ea))
+ (portRef I3 (instanceRef blk00000493))
+ )
+ )
+ (net sig00000094
+ (joined
+ (portRef (member dout_2 25))
+ (portRef Q (instanceRef blk000003e9))
+ (portRef I3 (instanceRef blk00000491))
+ )
+ )
+ (net sig00000095
+ (joined
+ (portRef (member dout_2 26))
+ (portRef Q (instanceRef blk000003e8))
+ (portRef I3 (instanceRef blk0000048f))
+ )
+ )
+ (net sig00000096
+ (joined
+ (portRef (member dout_2 27))
+ (portRef Q (instanceRef blk000003e7))
+ (portRef I3 (instanceRef blk00000490))
+ )
+ )
+ (net sig00000097
+ (joined
+ (portRef (member dout_2 28))
+ (portRef Q (instanceRef blk000003e6))
+ (portRef I3 (instanceRef blk0000048e))
+ )
+ )
+ (net sig00000098
+ (joined
+ (portRef (member dout_2 29))
+ (portRef Q (instanceRef blk000003e5))
+ (portRef I3 (instanceRef blk0000048c))
+ )
+ )
+ (net sig00000099
+ (joined
+ (portRef (member dout_2 30))
+ (portRef Q (instanceRef blk000003e4))
+ (portRef I3 (instanceRef blk0000048d))
+ )
+ )
+ (net sig0000009a
+ (joined
+ (portRef (member dout_2 31))
+ (portRef Q (instanceRef blk000003e3))
+ (portRef I3 (instanceRef blk0000048b))
+ )
+ )
+ (net sig0000009b
+ (joined
+ (portRef (member dout_2 32))
+ (portRef Q (instanceRef blk000003e2))
+ (portRef I3 (instanceRef blk00000489))
+ )
+ )
+ (net sig0000009c
+ (joined
+ (portRef (member dout_2 33))
+ (portRef Q (instanceRef blk000003e1))
+ (portRef I3 (instanceRef blk0000048a))
+ )
+ )
+ (net sig0000009d
+ (joined
+ (portRef (member dout_2 34))
+ (portRef Q (instanceRef blk000003e0))
+ (portRef I3 (instanceRef blk00000488))
+ )
+ )
+ (net sig0000009e
+ (joined
+ (portRef (member dout_2 35))
+ (portRef Q (instanceRef blk000003df))
+ (portRef I3 (instanceRef blk00000486))
+ )
+ )
+ (net sig0000009f
+ (joined
+ (portRef (member dout_2 36))
+ (portRef Q (instanceRef blk000003de))
+ (portRef I3 (instanceRef blk00000487))
+ )
+ )
+ (net sig000000a0
+ (joined
+ (portRef (member dout_2 37))
+ (portRef Q (instanceRef blk000003dd))
+ (portRef I3 (instanceRef blk00000485))
+ )
+ )
+ (net sig000000a1
+ (joined
+ (portRef (member dout_2 38))
+ (portRef Q (instanceRef blk000003dc))
+ (portRef I3 (instanceRef blk00000483))
+ )
+ )
+ (net sig000000a2
+ (joined
+ (portRef (member dout_2 39))
+ (portRef Q (instanceRef blk000003db))
+ (portRef I3 (instanceRef blk00000484))
+ )
+ )
+ (net sig000000a3
+ (joined
+ (portRef (member dout_2 40))
+ (portRef Q (instanceRef blk000003da))
+ (portRef I3 (instanceRef blk00000482))
+ )
+ )
+ (net sig000000a4
+ (joined
+ (portRef (member dout_2 41))
+ (portRef Q (instanceRef blk000003d9))
+ (portRef I3 (instanceRef blk00000480))
+ )
+ )
+ (net sig000000a5
+ (joined
+ (portRef (member dout_2 42))
+ (portRef Q (instanceRef blk000003d8))
+ (portRef I3 (instanceRef blk00000481))
+ )
+ )
+ (net sig000000a6
+ (joined
+ (portRef (member dout_2 43))
+ (portRef Q (instanceRef blk000003d7))
+ (portRef I3 (instanceRef blk0000047f))
+ )
+ )
+ (net sig000000a7
+ (joined
+ (portRef (member dout_2 44))
+ (portRef Q (instanceRef blk000003d6))
+ (portRef I3 (instanceRef blk0000047d))
+ )
+ )
+ (net sig000000a8
+ (joined
+ (portRef (member dout_2 45))
+ (portRef Q (instanceRef blk000003d5))
+ (portRef I3 (instanceRef blk0000047e))
+ )
+ )
+ (net sig000000a9
+ (joined
+ (portRef (member dout_2 46))
+ (portRef Q (instanceRef blk000003d4))
+ (portRef I3 (instanceRef blk0000047c))
+ )
+ )
+ (net sig000000aa
+ (joined
+ (portRef (member dout_2 47))
+ (portRef Q (instanceRef blk000003d3))
+ (portRef I3 (instanceRef blk0000047b))
+ )
+ )
+ (net sig000000ab
+ (joined
+ (portRef rfd)
+ (portRef Q (instanceRef blk00000020))
+ (portRef I0 (instanceRef blk00000414))
+ (portRef I0 (instanceRef blk0000041c))
+ (portRef I2 (instanceRef blk00000420))
+ (portRef I1 (instanceRef blk0000043a))
+ (portRef I2 (instanceRef blk0000043b))
+ (portRef I2 (instanceRef blk0000043c))
+ (portRef I2 (instanceRef blk0000043d))
+ (portRef I4 (instanceRef blk000004ee))
+ )
+ )
+ (net sig000000ac
+ (joined
+ (portRef rdy)
+ (portRef Q (instanceRef blk00000023))
+ )
+ )
+ (net sig000000ad
+ (joined
+ (portRef data_valid)
+ (portRef Q (instanceRef blk00000026))
+ )
+ )
+ (net sig000000ae
+ (joined
+ (portRef P (instanceRef blk00000005))
+ (portRef LI (instanceRef blk0000000b))
+ (portRef CI (instanceRef blk0000000d))
+ (portRef INMODE_2_ (instanceRef blk0000000e))
+ (portRef INMODE_2_ (instanceRef blk0000000f))
+ (portRef DI (instanceRef blk00000012))
+ (portRef LI (instanceRef blk00000091))
+ (portRef CI (instanceRef blk00000093))
+ (portRef CI (instanceRef blk00000096))
+ (portRef DI (instanceRef blk000000be))
+ (portRef LI (instanceRef blk000000c8))
+ (portRef LI (instanceRef blk000000d2))
+ (portRef CI (instanceRef blk000000e1))
+ (portRef DI (instanceRef blk000000e6))
+ (portRef DI (instanceRef blk000000f1))
+ (portRef OPMODE_5_ (instanceRef blk00000104))
+ (portRef OPMODE_4_ (instanceRef blk00000104))
+ (portRef OPMODE_2_ (instanceRef blk00000104))
+ (portRef OPMODE_0_ (instanceRef blk00000104))
+ (portRef INMODE_2_ (instanceRef blk00000104))
+ (portRef OPMODE_5_ (instanceRef blk00000105))
+ (portRef OPMODE_4_ (instanceRef blk00000105))
+ (portRef OPMODE_2_ (instanceRef blk00000105))
+ (portRef OPMODE_0_ (instanceRef blk00000105))
+ (portRef INMODE_2_ (instanceRef blk00000105))
+ (portRef OPMODE_4_ (instanceRef blk00000106))
+ (portRef OPMODE_2_ (instanceRef blk00000106))
+ (portRef OPMODE_0_ (instanceRef blk00000106))
+ (portRef INMODE_2_ (instanceRef blk00000106))
+ (portRef OPMODE_4_ (instanceRef blk00000107))
+ (portRef OPMODE_2_ (instanceRef blk00000107))
+ (portRef OPMODE_0_ (instanceRef blk00000107))
+ (portRef INMODE_2_ (instanceRef blk00000107))
+ (portRef DI (instanceRef blk0000040e))
+ (portRef A2 (instanceRef blk00000504))
+ (portRef A0 (instanceRef blk00000506))
+ (portRef A1 (instanceRef blk0000052c))
+ (portRef A0 (instanceRef blk0000052e))
+ (portRef A1 (instanceRef blk00000530))
+ (portRef A0 (instanceRef blk00000532))
+ (portRef A1 (instanceRef blk00000532))
+ (portRef A0 (instanceRef blk00000534))
+ (portRef A0 (instanceRef blk00000536))
+ (portRef A0 (instanceRef blk00000538))
+ (portRef A0 (instanceRef blk0000053a))
+ (portRef A0 (instanceRef blk0000053c))
+ (portRef A0 (instanceRef blk0000053e))
+ (portRef A0 (instanceRef blk00000540))
+ (portRef A0 (instanceRef blk00000542))
+ (portRef A0 (instanceRef blk00000544))
+ (portRef A0 (instanceRef blk00000546))
+ (portRef A0 (instanceRef blk00000548))
+ (portRef A0 (instanceRef blk0000054a))
+ (portRef A0 (instanceRef blk0000054c))
+ (portRef A0 (instanceRef blk0000054e))
+ (portRef A0 (instanceRef blk00000550))
+ (portRef A0 (instanceRef blk00000552))
+ (portRef A0 (instanceRef blk00000554))
+ (portRef A0 (instanceRef blk00000556))
+ (portRef A0 (instanceRef blk00000558))
+ (portRef A0 (instanceRef blk0000055a))
+ (portRef A0 (instanceRef blk0000055c))
+ (portRef A0 (instanceRef blk0000055e))
+ (portRef A0 (instanceRef blk00000560))
+ (portRef A0 (instanceRef blk00000562))
+ (portRef A0 (instanceRef blk00000564))
+ (portRef A0 (instanceRef blk00000566))
+ (portRef A0 (instanceRef blk00000568))
+ (portRef A0 (instanceRef blk0000056a))
+ (portRef A0 (instanceRef blk0000056c))
+ (portRef A0 (instanceRef blk0000056e))
+ (portRef A0 (instanceRef blk00000570))
+ (portRef A0 (instanceRef blk00000572))
+ (portRef A0 (instanceRef blk00000574))
+ (portRef A0 (instanceRef blk00000576))
+ (portRef A0 (instanceRef blk00000578))
+ (portRef A0 (instanceRef blk0000057a))
+ (portRef A0 (instanceRef blk0000057c))
+ (portRef A0 (instanceRef blk0000057e))
+ (portRef A0 (instanceRef blk00000580))
+ (portRef A0 (instanceRef blk00000582))
+ (portRef A0 (instanceRef blk00000584))
+ (portRef A0 (instanceRef blk00000586))
+ (portRef A0 (instanceRef blk00000588))
+ (portRef A0 (instanceRef blk0000058a))
+ (portRef A0 (instanceRef blk0000058c))
+ (portRef A0 (instanceRef blk0000058e))
+ (portRef A1 (instanceRef blk00000590))
+ (portRef A2 (instanceRef blk00000590))
+ (portRef A3 (instanceRef blk00000590))
+ (portRef A0 (instanceRef blk00000592))
+ (portRef A1 (instanceRef blk00000596))
+ (portRef A2 (instanceRef blk00000596))
+ (portRef A3 (instanceRef blk00000596))
+ (portRef A1 (instanceRef blk00000598))
+ (portRef A2 (instanceRef blk00000598))
+ (portRef A3 (instanceRef blk00000598))
+ (portRef A1 (instanceRef blk0000059a))
+ (portRef A2 (instanceRef blk0000059a))
+ (portRef A3 (instanceRef blk0000059a))
+ (portRef A1 (instanceRef blk0000059c))
+ (portRef A2 (instanceRef blk0000059c))
+ (portRef A3 (instanceRef blk0000059c))
+ (portRef A1 (instanceRef blk0000059e))
+ (portRef A2 (instanceRef blk0000059e))
+ (portRef A3 (instanceRef blk0000059e))
+ (portRef A1 (instanceRef blk000005a0))
+ (portRef A2 (instanceRef blk000005a0))
+ (portRef A3 (instanceRef blk000005a0))
+ (portRef A1 (instanceRef blk000005a2))
+ (portRef A2 (instanceRef blk000005a2))
+ (portRef A3 (instanceRef blk000005a2))
+ (portRef A1 (instanceRef blk000005a4))
+ (portRef A2 (instanceRef blk000005a4))
+ (portRef A3 (instanceRef blk000005a4))
+ (portRef A1 (instanceRef blk000005a6))
+ (portRef A2 (instanceRef blk000005a6))
+ (portRef A3 (instanceRef blk000005a6))
+ (portRef A1 (instanceRef blk000005a8))
+ (portRef A2 (instanceRef blk000005a8))
+ (portRef A3 (instanceRef blk000005a8))
+ (portRef A1 (instanceRef blk000005aa))
+ (portRef A2 (instanceRef blk000005aa))
+ (portRef A3 (instanceRef blk000005aa))
+ (portRef A1 (instanceRef blk000005ac))
+ (portRef A2 (instanceRef blk000005ac))
+ (portRef A3 (instanceRef blk000005ac))
+ (portRef A1 (instanceRef blk000005ae))
+ (portRef A2 (instanceRef blk000005ae))
+ (portRef A3 (instanceRef blk000005ae))
+ (portRef A1 (instanceRef blk000005b0))
+ (portRef A2 (instanceRef blk000005b0))
+ (portRef A3 (instanceRef blk000005b0))
+ (portRef A1 (instanceRef blk000005b2))
+ (portRef A2 (instanceRef blk000005b2))
+ (portRef A3 (instanceRef blk000005b2))
+ (portRef A1 (instanceRef blk000005b4))
+ (portRef A2 (instanceRef blk000005b4))
+ (portRef A3 (instanceRef blk000005b4))
+ (portRef A1 (instanceRef blk000005b6))
+ (portRef A2 (instanceRef blk000005b6))
+ (portRef A3 (instanceRef blk000005b6))
+ (portRef A1 (instanceRef blk000005b8))
+ (portRef A2 (instanceRef blk000005b8))
+ (portRef A3 (instanceRef blk000005b8))
+ (portRef A1 (instanceRef blk000005ba))
+ (portRef A2 (instanceRef blk000005ba))
+ (portRef A3 (instanceRef blk000005ba))
+ (portRef A1 (instanceRef blk000005bc))
+ (portRef A2 (instanceRef blk000005bc))
+ (portRef A3 (instanceRef blk000005bc))
+ (portRef A1 (instanceRef blk000005be))
+ (portRef A2 (instanceRef blk000005be))
+ (portRef A3 (instanceRef blk000005be))
+ (portRef A1 (instanceRef blk000005c0))
+ (portRef A2 (instanceRef blk000005c0))
+ (portRef A3 (instanceRef blk000005c0))
+ (portRef A1 (instanceRef blk000005c2))
+ (portRef A2 (instanceRef blk000005c2))
+ (portRef A3 (instanceRef blk000005c2))
+ (portRef A1 (instanceRef blk000005c4))
+ (portRef A2 (instanceRef blk000005c4))
+ (portRef A3 (instanceRef blk000005c4))
+ (portRef A1 (instanceRef blk000005c6))
+ (portRef A2 (instanceRef blk000005c6))
+ (portRef A3 (instanceRef blk000005c6))
+ (portRef A1 (instanceRef blk000005c8))
+ (portRef A2 (instanceRef blk000005c8))
+ (portRef A3 (instanceRef blk000005c8))
+ (portRef A1 (instanceRef blk000005ca))
+ (portRef A2 (instanceRef blk000005ca))
+ (portRef A3 (instanceRef blk000005ca))
+ (portRef A1 (instanceRef blk000005cc))
+ (portRef A2 (instanceRef blk000005cc))
+ (portRef A3 (instanceRef blk000005cc))
+ (portRef A1 (instanceRef blk000005ce))
+ (portRef A2 (instanceRef blk000005ce))
+ (portRef A3 (instanceRef blk000005ce))
+ (portRef A1 (instanceRef blk000005d0))
+ (portRef A2 (instanceRef blk000005d0))
+ (portRef A3 (instanceRef blk000005d0))
+ (portRef A1 (instanceRef blk000005d2))
+ (portRef A2 (instanceRef blk000005d2))
+ (portRef A3 (instanceRef blk000005d2))
+ (portRef A1 (instanceRef blk000005d4))
+ (portRef A2 (instanceRef blk000005d4))
+ (portRef A3 (instanceRef blk000005d4))
+ (portRef A1 (instanceRef blk000005d6))
+ (portRef A2 (instanceRef blk000005d6))
+ (portRef A3 (instanceRef blk000005d6))
+ (portRef A1 (instanceRef blk000005d8))
+ (portRef A2 (instanceRef blk000005d8))
+ (portRef A3 (instanceRef blk000005d8))
+ (portRef A1 (instanceRef blk000005da))
+ (portRef A2 (instanceRef blk000005da))
+ (portRef A3 (instanceRef blk000005da))
+ (portRef A1 (instanceRef blk000005dc))
+ (portRef A2 (instanceRef blk000005dc))
+ (portRef A3 (instanceRef blk000005dc))
+ (portRef A1 (instanceRef blk000005de))
+ (portRef A2 (instanceRef blk000005de))
+ (portRef A3 (instanceRef blk000005de))
+ (portRef A1 (instanceRef blk000005e0))
+ (portRef A2 (instanceRef blk000005e0))
+ (portRef A3 (instanceRef blk000005e0))
+ (portRef A1 (instanceRef blk000005e2))
+ (portRef A2 (instanceRef blk000005e2))
+ (portRef A3 (instanceRef blk000005e2))
+ (portRef A1 (instanceRef blk000005e4))
+ (portRef A2 (instanceRef blk000005e4))
+ (portRef A3 (instanceRef blk000005e4))
+ (portRef A1 (instanceRef blk000005e6))
+ (portRef A2 (instanceRef blk000005e6))
+ (portRef A3 (instanceRef blk000005e6))
+ (portRef A1 (instanceRef blk000005e8))
+ (portRef A2 (instanceRef blk000005e8))
+ (portRef A3 (instanceRef blk000005e8))
+ (portRef A1 (instanceRef blk000005ea))
+ (portRef A2 (instanceRef blk000005ea))
+ (portRef A3 (instanceRef blk000005ea))
+ (portRef A1 (instanceRef blk000005ec))
+ (portRef A2 (instanceRef blk000005ec))
+ (portRef A3 (instanceRef blk000005ec))
+ (portRef A1 (instanceRef blk000005ee))
+ (portRef A2 (instanceRef blk000005ee))
+ (portRef A3 (instanceRef blk000005ee))
+ (portRef A1 (instanceRef blk000005f0))
+ (portRef A2 (instanceRef blk000005f0))
+ (portRef A3 (instanceRef blk000005f0))
+ (portRef A1 (instanceRef blk000005f2))
+ (portRef A2 (instanceRef blk000005f2))
+ (portRef A3 (instanceRef blk000005f2))
+ (portRef A3 (instanceRef blk000005f4))
+ (portRef A0 (instanceRef blk000005f8))
+ (portRef A1 (instanceRef blk000005f8))
+ (portRef A0 (instanceRef blk000005fa))
+ (portRef A1 (instanceRef blk000005fa))
+ (portRef A0 (instanceRef blk000005fc))
+ (portRef A1 (instanceRef blk000005fc))
+ (portRef A0 (instanceRef blk000005fe))
+ (portRef A1 (instanceRef blk000005fe))
+ (portRef A0 (instanceRef blk00000600))
+ (portRef A1 (instanceRef blk00000600))
+ (portRef A0 (instanceRef blk00000602))
+ (portRef A1 (instanceRef blk00000602))
+ (portRef A0 (instanceRef blk00000604))
+ (portRef A1 (instanceRef blk00000604))
+ (portRef A0 (instanceRef blk00000606))
+ (portRef A1 (instanceRef blk00000606))
+ (portRef A0 (instanceRef blk00000608))
+ (portRef A1 (instanceRef blk00000608))
+ (portRef A0 (instanceRef blk0000060a))
+ (portRef A1 (instanceRef blk0000060a))
+ (portRef A0 (instanceRef blk0000060c))
+ (portRef A1 (instanceRef blk0000060c))
+ (portRef A0 (instanceRef blk0000060e))
+ (portRef A1 (instanceRef blk0000060e))
+ (portRef A0 (instanceRef blk00000610))
+ (portRef A1 (instanceRef blk00000610))
+ (portRef A0 (instanceRef blk00000612))
+ (portRef A1 (instanceRef blk00000612))
+ (portRef A0 (instanceRef blk00000614))
+ (portRef A1 (instanceRef blk00000614))
+ (portRef A0 (instanceRef blk00000616))
+ (portRef A1 (instanceRef blk00000616))
+ (portRef A0 (instanceRef blk00000618))
+ (portRef A1 (instanceRef blk00000618))
+ (portRef A0 (instanceRef blk0000061a))
+ (portRef A1 (instanceRef blk0000061a))
+ (portRef A0 (instanceRef blk0000061c))
+ (portRef A1 (instanceRef blk0000061c))
+ (portRef A0 (instanceRef blk0000061e))
+ (portRef A1 (instanceRef blk0000061e))
+ (portRef A0 (instanceRef blk00000620))
+ (portRef A1 (instanceRef blk00000620))
+ (portRef A0 (instanceRef blk00000622))
+ (portRef A1 (instanceRef blk00000622))
+ (portRef A0 (instanceRef blk00000624))
+ (portRef A1 (instanceRef blk00000624))
+ (portRef A0 (instanceRef blk00000626))
+ (portRef A1 (instanceRef blk00000626))
+ (portRef A0 (instanceRef blk00000628))
+ (portRef A1 (instanceRef blk00000628))
+ (portRef A0 (instanceRef blk0000062a))
+ (portRef A1 (instanceRef blk0000062a))
+ (portRef A0 (instanceRef blk0000062c))
+ (portRef A1 (instanceRef blk0000062c))
+ (portRef A0 (instanceRef blk0000062e))
+ (portRef A1 (instanceRef blk0000062e))
+ (portRef A0 (instanceRef blk00000630))
+ (portRef A1 (instanceRef blk00000630))
+ (portRef A0 (instanceRef blk00000632))
+ (portRef A1 (instanceRef blk00000632))
+ (portRef A0 (instanceRef blk00000634))
+ (portRef A1 (instanceRef blk00000634))
+ (portRef A0 (instanceRef blk00000636))
+ (portRef A1 (instanceRef blk00000636))
+ (portRef A0 (instanceRef blk00000638))
+ (portRef A1 (instanceRef blk00000638))
+ (portRef A0 (instanceRef blk0000063a))
+ (portRef A1 (instanceRef blk0000063a))
+ (portRef A0 (instanceRef blk0000063c))
+ (portRef A1 (instanceRef blk0000063c))
+ (portRef A0 (instanceRef blk0000063e))
+ (portRef A1 (instanceRef blk0000063e))
+ (portRef A0 (instanceRef blk00000640))
+ (portRef A1 (instanceRef blk00000640))
+ (portRef A0 (instanceRef blk00000642))
+ (portRef A1 (instanceRef blk00000642))
+ (portRef A0 (instanceRef blk00000644))
+ (portRef A1 (instanceRef blk00000644))
+ (portRef A0 (instanceRef blk00000646))
+ (portRef A1 (instanceRef blk00000646))
+ (portRef A0 (instanceRef blk00000648))
+ (portRef A1 (instanceRef blk00000648))
+ (portRef A0 (instanceRef blk0000064a))
+ (portRef A1 (instanceRef blk0000064a))
+ (portRef A0 (instanceRef blk0000064c))
+ (portRef A1 (instanceRef blk0000064c))
+ (portRef A0 (instanceRef blk0000064e))
+ (portRef A1 (instanceRef blk0000064e))
+ (portRef A0 (instanceRef blk00000650))
+ (portRef A1 (instanceRef blk00000650))
+ (portRef A0 (instanceRef blk00000652))
+ (portRef A1 (instanceRef blk00000652))
+ (portRef A0 (instanceRef blk00000654))
+ (portRef A1 (instanceRef blk00000654))
+ (portRef A0 (instanceRef blk00000656))
+ (portRef A1 (instanceRef blk00000656))
+ (portRef A2 (instanceRef blk00000658))
+ (portRef A0 (instanceRef blk0000065a))
+ (portRef A1 (instanceRef blk0000065a))
+ )
+ )
+ (net sig000000af
+ (joined
+ (portRef D (instanceRef blk00000006))
+ (portRef O (instanceRef blk0000040f))
+ )
+ )
+ (net sig000000b0
+ (joined
+ (portRef Q (instanceRef blk00000006))
+ (portRef OPMODE_4_ (instanceRef blk0000000e))
+ (portRef OPMODE_4_ (instanceRef blk0000000f))
+ (portRef I3 (instanceRef blk0000040f))
+ )
+ )
+ (net sig000000b1
+ (joined
+ (portRef D (instanceRef blk00000007))
+ (portRef O (instanceRef blk00000415))
+ )
+ )
+ (net sig000000b2
+ (joined
+ (portRef Q (instanceRef blk00000007))
+ (portRef OPMODE_1_ (instanceRef blk0000000e))
+ (portRef OPMODE_1_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000415))
+ )
+ )
+ (net sig000000b3
+ (joined
+ (portRef D (instanceRef blk00000008))
+ (portRef O (instanceRef blk00000416))
+ )
+ )
+ (net sig000000b4
+ (joined
+ (portRef Q (instanceRef blk00000008))
+ (portRef OPMODE_2_ (instanceRef blk0000000e))
+ (portRef OPMODE_0_ (instanceRef blk0000000e))
+ (portRef OPMODE_2_ (instanceRef blk0000000f))
+ (portRef OPMODE_0_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000416))
+ )
+ )
+ (net sig000000b5
+ (joined
+ (portRef CI (instanceRef blk00000009))
+ (portRef O (instanceRef blk0000000c))
+ )
+ )
+ (net sig000000b6
+ (joined
+ (portRef DI (instanceRef blk00000009))
+ (portRef D (instanceRef blk00000028))
+ (portRef Q (instanceRef blk00000028))
+ (portRef I (instanceRef blk000004f9))
+ )
+ )
+ (net sig000000b7
+ (joined
+ (portRef S (instanceRef blk00000009))
+ (portRef O (instanceRef blk000004f9))
+ )
+ )
+ (net sig000000b8
+ (joined
+ (portRef O (instanceRef blk00000009))
+ (portRef CI (instanceRef blk0000000a))
+ )
+ )
+ (net sig000000b9
+ (joined
+ (portRef DI (instanceRef blk0000000a))
+ (portRef O (instanceRef blk000004fa))
+ )
+ )
+ (net sig000000ba
+ (joined
+ (portRef S (instanceRef blk0000000a))
+ (portRef O (instanceRef blk000004d6))
+ )
+ )
+ (net sig000000bb
+ (joined
+ (portRef LO (instanceRef blk0000000a))
+ (portRef CI (instanceRef blk0000000b))
+ (portRef D (instanceRef blk00000014))
+ )
+ )
+ (net sig000000bc
+ (joined
+ (portRef O (instanceRef blk0000000b))
+ (portRef D (instanceRef blk00000013))
+ )
+ )
+ (net sig000000bd
+ (joined
+ (portRef CI (instanceRef blk0000000c))
+ (portRef O (instanceRef blk0000000d))
+ )
+ )
+ (net sig000000be
+ (joined
+ (portRef DI (instanceRef blk0000000c))
+ (portRef Q (instanceRef blk00000015))
+ (portRef I0 (instanceRef blk0000041e))
+ (portRef I0 (instanceRef blk0000041f))
+ )
+ )
+ (net sig000000bf
+ (joined
+ (portRef S (instanceRef blk0000000c))
+ (portRef O (instanceRef blk0000041e))
+ )
+ )
+ (net sig000000c0
+ (joined
+ (portRef LO (instanceRef blk0000000c))
+ (portRef D (instanceRef blk00000015))
+ )
+ )
+ (net sig000000c1
+ (joined
+ (portRef S (instanceRef blk0000000d))
+ (portRef O (instanceRef blk00000419))
+ )
+ )
+ (net sig000000c2
+ (joined
+ (portRef PCIN_47_ (instanceRef blk0000000e))
+ (portRef PCOUT_47_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c3
+ (joined
+ (portRef PCIN_46_ (instanceRef blk0000000e))
+ (portRef PCOUT_46_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c4
+ (joined
+ (portRef PCIN_45_ (instanceRef blk0000000e))
+ (portRef PCOUT_45_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c5
+ (joined
+ (portRef PCIN_44_ (instanceRef blk0000000e))
+ (portRef PCOUT_44_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c6
+ (joined
+ (portRef PCIN_43_ (instanceRef blk0000000e))
+ (portRef PCOUT_43_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c7
+ (joined
+ (portRef PCIN_42_ (instanceRef blk0000000e))
+ (portRef PCOUT_42_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c8
+ (joined
+ (portRef PCIN_41_ (instanceRef blk0000000e))
+ (portRef PCOUT_41_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000c9
+ (joined
+ (portRef PCIN_40_ (instanceRef blk0000000e))
+ (portRef PCOUT_40_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ca
+ (joined
+ (portRef PCIN_39_ (instanceRef blk0000000e))
+ (portRef PCOUT_39_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000cb
+ (joined
+ (portRef PCIN_38_ (instanceRef blk0000000e))
+ (portRef PCOUT_38_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000cc
+ (joined
+ (portRef PCIN_37_ (instanceRef blk0000000e))
+ (portRef PCOUT_37_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000cd
+ (joined
+ (portRef PCIN_36_ (instanceRef blk0000000e))
+ (portRef PCOUT_36_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ce
+ (joined
+ (portRef PCIN_35_ (instanceRef blk0000000e))
+ (portRef PCOUT_35_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000cf
+ (joined
+ (portRef PCIN_34_ (instanceRef blk0000000e))
+ (portRef PCOUT_34_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d0
+ (joined
+ (portRef PCIN_33_ (instanceRef blk0000000e))
+ (portRef PCOUT_33_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d1
+ (joined
+ (portRef PCIN_32_ (instanceRef blk0000000e))
+ (portRef PCOUT_32_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d2
+ (joined
+ (portRef PCIN_31_ (instanceRef blk0000000e))
+ (portRef PCOUT_31_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d3
+ (joined
+ (portRef PCIN_30_ (instanceRef blk0000000e))
+ (portRef PCOUT_30_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d4
+ (joined
+ (portRef PCIN_29_ (instanceRef blk0000000e))
+ (portRef PCOUT_29_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d5
+ (joined
+ (portRef PCIN_28_ (instanceRef blk0000000e))
+ (portRef PCOUT_28_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d6
+ (joined
+ (portRef PCIN_27_ (instanceRef blk0000000e))
+ (portRef PCOUT_27_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d7
+ (joined
+ (portRef PCIN_26_ (instanceRef blk0000000e))
+ (portRef PCOUT_26_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d8
+ (joined
+ (portRef PCIN_25_ (instanceRef blk0000000e))
+ (portRef PCOUT_25_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000d9
+ (joined
+ (portRef PCIN_24_ (instanceRef blk0000000e))
+ (portRef PCOUT_24_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000da
+ (joined
+ (portRef PCIN_23_ (instanceRef blk0000000e))
+ (portRef PCOUT_23_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000db
+ (joined
+ (portRef PCIN_22_ (instanceRef blk0000000e))
+ (portRef PCOUT_22_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000dc
+ (joined
+ (portRef PCIN_21_ (instanceRef blk0000000e))
+ (portRef PCOUT_21_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000dd
+ (joined
+ (portRef PCIN_20_ (instanceRef blk0000000e))
+ (portRef PCOUT_20_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000de
+ (joined
+ (portRef PCIN_19_ (instanceRef blk0000000e))
+ (portRef PCOUT_19_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000df
+ (joined
+ (portRef PCIN_18_ (instanceRef blk0000000e))
+ (portRef PCOUT_18_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e0
+ (joined
+ (portRef PCIN_17_ (instanceRef blk0000000e))
+ (portRef PCOUT_17_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e1
+ (joined
+ (portRef PCIN_16_ (instanceRef blk0000000e))
+ (portRef PCOUT_16_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e2
+ (joined
+ (portRef PCIN_15_ (instanceRef blk0000000e))
+ (portRef PCOUT_15_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e3
+ (joined
+ (portRef PCIN_14_ (instanceRef blk0000000e))
+ (portRef PCOUT_14_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e4
+ (joined
+ (portRef PCIN_13_ (instanceRef blk0000000e))
+ (portRef PCOUT_13_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e5
+ (joined
+ (portRef PCIN_12_ (instanceRef blk0000000e))
+ (portRef PCOUT_12_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e6
+ (joined
+ (portRef PCIN_11_ (instanceRef blk0000000e))
+ (portRef PCOUT_11_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e7
+ (joined
+ (portRef PCIN_10_ (instanceRef blk0000000e))
+ (portRef PCOUT_10_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e8
+ (joined
+ (portRef PCIN_9_ (instanceRef blk0000000e))
+ (portRef PCOUT_9_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000e9
+ (joined
+ (portRef PCIN_8_ (instanceRef blk0000000e))
+ (portRef PCOUT_8_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ea
+ (joined
+ (portRef PCIN_7_ (instanceRef blk0000000e))
+ (portRef PCOUT_7_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000eb
+ (joined
+ (portRef PCIN_6_ (instanceRef blk0000000e))
+ (portRef PCOUT_6_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ec
+ (joined
+ (portRef PCIN_5_ (instanceRef blk0000000e))
+ (portRef PCOUT_5_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ed
+ (joined
+ (portRef PCIN_4_ (instanceRef blk0000000e))
+ (portRef PCOUT_4_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ee
+ (joined
+ (portRef PCIN_3_ (instanceRef blk0000000e))
+ (portRef PCOUT_3_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000ef
+ (joined
+ (portRef PCIN_2_ (instanceRef blk0000000e))
+ (portRef PCOUT_2_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000f0
+ (joined
+ (portRef PCIN_1_ (instanceRef blk0000000e))
+ (portRef PCOUT_1_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000f1
+ (joined
+ (portRef PCIN_0_ (instanceRef blk0000000e))
+ (portRef PCOUT_0_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000000f2
+ (joined
+ (portRef B_17_ (instanceRef blk0000000e))
+ (portRef B_17_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 0) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f3
+ (joined
+ (portRef B_16_ (instanceRef blk0000000e))
+ (portRef B_16_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 1) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f4
+ (joined
+ (portRef B_15_ (instanceRef blk0000000e))
+ (portRef B_15_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 2) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f5
+ (joined
+ (portRef B_14_ (instanceRef blk0000000e))
+ (portRef B_14_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 3) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f6
+ (joined
+ (portRef B_13_ (instanceRef blk0000000e))
+ (portRef B_13_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 4) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f7
+ (joined
+ (portRef B_12_ (instanceRef blk0000000e))
+ (portRef B_12_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 5) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f8
+ (joined
+ (portRef B_11_ (instanceRef blk0000000e))
+ (portRef B_11_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 6) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000f9
+ (joined
+ (portRef B_10_ (instanceRef blk0000000e))
+ (portRef B_10_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 7) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000fa
+ (joined
+ (portRef B_9_ (instanceRef blk0000000e))
+ (portRef B_9_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 8) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000fb
+ (joined
+ (portRef B_8_ (instanceRef blk0000000e))
+ (portRef B_8_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 9) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000fc
+ (joined
+ (portRef B_7_ (instanceRef blk0000000e))
+ (portRef B_7_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 10) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000fd
+ (joined
+ (portRef B_6_ (instanceRef blk0000000e))
+ (portRef B_6_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 11) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000fe
+ (joined
+ (portRef B_5_ (instanceRef blk0000000e))
+ (portRef B_5_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 12) (instanceRef blk00000371))
+ )
+ )
+ (net sig000000ff
+ (joined
+ (portRef B_4_ (instanceRef blk0000000e))
+ (portRef B_4_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 13) (instanceRef blk00000371))
+ )
+ )
+ (net sig00000100
+ (joined
+ (portRef B_3_ (instanceRef blk0000000e))
+ (portRef B_3_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 14) (instanceRef blk00000371))
+ )
+ )
+ (net sig00000101
+ (joined
+ (portRef B_2_ (instanceRef blk0000000e))
+ (portRef B_2_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 15) (instanceRef blk00000371))
+ )
+ )
+ (net sig00000102
+ (joined
+ (portRef B_1_ (instanceRef blk0000000e))
+ (portRef B_1_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 16) (instanceRef blk00000371))
+ )
+ )
+ (net sig00000103
+ (joined
+ (portRef B_0_ (instanceRef blk0000000e))
+ (portRef B_0_ (instanceRef blk0000000f))
+ (portRef (member DB_OUT 17) (instanceRef blk00000371))
+ )
+ )
+ (net sig00000104
+ (joined
+ (portRef P_47_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004aa))
+ )
+ )
+ (net sig00000105
+ (joined
+ (portRef P_46_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a9))
+ )
+ )
+ (net sig00000106
+ (joined
+ (portRef P_45_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a7))
+ )
+ )
+ (net sig00000107
+ (joined
+ (portRef P_44_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a8))
+ )
+ )
+ (net sig00000108
+ (joined
+ (portRef P_43_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a6))
+ )
+ )
+ (net sig00000109
+ (joined
+ (portRef P_42_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a4))
+ )
+ )
+ (net sig0000010a
+ (joined
+ (portRef P_41_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a5))
+ )
+ )
+ (net sig0000010b
+ (joined
+ (portRef P_40_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a3))
+ )
+ )
+ (net sig0000010c
+ (joined
+ (portRef P_39_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a1))
+ )
+ )
+ (net sig0000010d
+ (joined
+ (portRef P_38_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a2))
+ )
+ )
+ (net sig0000010e
+ (joined
+ (portRef P_37_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk000004a0))
+ )
+ )
+ (net sig0000010f
+ (joined
+ (portRef P_36_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000049e))
+ )
+ )
+ (net sig00000110
+ (joined
+ (portRef P_35_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000049f))
+ )
+ )
+ (net sig00000111
+ (joined
+ (portRef P_34_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000049d))
+ )
+ )
+ (net sig00000112
+ (joined
+ (portRef P_33_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000049b))
+ )
+ )
+ (net sig00000113
+ (joined
+ (portRef P_32_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000049c))
+ )
+ )
+ (net sig00000114
+ (joined
+ (portRef P_31_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000049a))
+ )
+ )
+ (net sig00000115
+ (joined
+ (portRef P_30_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000498))
+ )
+ )
+ (net sig00000116
+ (joined
+ (portRef P_29_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000499))
+ )
+ )
+ (net sig00000117
+ (joined
+ (portRef P_28_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000497))
+ )
+ )
+ (net sig00000118
+ (joined
+ (portRef P_27_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000495))
+ )
+ )
+ (net sig00000119
+ (joined
+ (portRef P_26_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000496))
+ )
+ )
+ (net sig0000011a
+ (joined
+ (portRef P_25_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000494))
+ )
+ )
+ (net sig0000011b
+ (joined
+ (portRef P_24_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000492))
+ )
+ )
+ (net sig0000011c
+ (joined
+ (portRef P_23_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000493))
+ )
+ )
+ (net sig0000011d
+ (joined
+ (portRef P_22_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000491))
+ )
+ )
+ (net sig0000011e
+ (joined
+ (portRef P_21_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000048f))
+ )
+ )
+ (net sig0000011f
+ (joined
+ (portRef P_20_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000490))
+ )
+ )
+ (net sig00000120
+ (joined
+ (portRef P_19_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000048e))
+ )
+ )
+ (net sig00000121
+ (joined
+ (portRef P_18_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000048c))
+ )
+ )
+ (net sig00000122
+ (joined
+ (portRef P_17_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000048d))
+ )
+ )
+ (net sig00000123
+ (joined
+ (portRef P_16_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000048b))
+ )
+ )
+ (net sig00000124
+ (joined
+ (portRef P_15_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000489))
+ )
+ )
+ (net sig00000125
+ (joined
+ (portRef P_14_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000048a))
+ )
+ )
+ (net sig00000126
+ (joined
+ (portRef P_13_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000488))
+ )
+ )
+ (net sig00000127
+ (joined
+ (portRef P_12_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000486))
+ )
+ )
+ (net sig00000128
+ (joined
+ (portRef P_11_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000487))
+ )
+ )
+ (net sig00000129
+ (joined
+ (portRef P_10_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000485))
+ )
+ )
+ (net sig0000012a
+ (joined
+ (portRef P_9_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000483))
+ )
+ )
+ (net sig0000012b
+ (joined
+ (portRef P_8_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000484))
+ )
+ )
+ (net sig0000012c
+ (joined
+ (portRef P_7_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000482))
+ )
+ )
+ (net sig0000012d
+ (joined
+ (portRef P_6_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000480))
+ )
+ )
+ (net sig0000012e
+ (joined
+ (portRef P_5_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk00000481))
+ )
+ )
+ (net sig0000012f
+ (joined
+ (portRef P_4_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000047f))
+ )
+ )
+ (net sig00000130
+ (joined
+ (portRef P_3_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000047d))
+ )
+ )
+ (net sig00000131
+ (joined
+ (portRef P_2_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000047e))
+ )
+ )
+ (net sig00000132
+ (joined
+ (portRef P_1_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000047c))
+ )
+ )
+ (net sig00000133
+ (joined
+ (portRef P_0_ (instanceRef blk0000000e))
+ (portRef I2 (instanceRef blk0000047b))
+ )
+ )
+ (net sig00000134
+ (joined
+ (portRef A_29_ (instanceRef blk0000000e))
+ (portRef A_28_ (instanceRef blk0000000e))
+ (portRef A_27_ (instanceRef blk0000000e))
+ (portRef A_26_ (instanceRef blk0000000e))
+ (portRef A_25_ (instanceRef blk0000000e))
+ (portRef A_24_ (instanceRef blk0000000e))
+ (portRef A_23_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk000005f9))
+ )
+ )
+ (net sig00000135
+ (joined
+ (portRef A_22_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk000005fb))
+ )
+ )
+ (net sig00000136
+ (joined
+ (portRef A_21_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk000005fd))
+ )
+ )
+ (net sig00000137
+ (joined
+ (portRef A_20_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000601))
+ )
+ )
+ (net sig00000138
+ (joined
+ (portRef A_19_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000603))
+ )
+ )
+ (net sig00000139
+ (joined
+ (portRef A_18_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk000005ff))
+ )
+ )
+ (net sig0000013a
+ (joined
+ (portRef A_17_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000605))
+ )
+ )
+ (net sig0000013b
+ (joined
+ (portRef A_16_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000607))
+ )
+ )
+ (net sig0000013c
+ (joined
+ (portRef A_15_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk0000060b))
+ )
+ )
+ (net sig0000013d
+ (joined
+ (portRef A_14_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk0000060d))
+ )
+ )
+ (net sig0000013e
+ (joined
+ (portRef A_13_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000609))
+ )
+ )
+ (net sig0000013f
+ (joined
+ (portRef A_12_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000611))
+ )
+ )
+ (net sig00000140
+ (joined
+ (portRef A_11_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000613))
+ )
+ )
+ (net sig00000141
+ (joined
+ (portRef A_10_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk0000060f))
+ )
+ )
+ (net sig00000142
+ (joined
+ (portRef A_9_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000617))
+ )
+ )
+ (net sig00000143
+ (joined
+ (portRef A_8_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000619))
+ )
+ )
+ (net sig00000144
+ (joined
+ (portRef A_7_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000615))
+ )
+ )
+ (net sig00000145
+ (joined
+ (portRef A_6_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk0000061b))
+ )
+ )
+ (net sig00000146
+ (joined
+ (portRef A_5_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk0000061d))
+ )
+ )
+ (net sig00000147
+ (joined
+ (portRef A_4_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000621))
+ )
+ )
+ (net sig00000148
+ (joined
+ (portRef A_3_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000623))
+ )
+ )
+ (net sig00000149
+ (joined
+ (portRef A_2_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk0000061f))
+ )
+ )
+ (net sig0000014a
+ (joined
+ (portRef A_1_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000627))
+ )
+ )
+ (net sig0000014b
+ (joined
+ (portRef A_0_ (instanceRef blk0000000e))
+ (portRef Q (instanceRef blk00000629))
+ )
+ )
+ (net sig0000014c
+ (joined
+ (portRef PCIN_47_ (instanceRef blk0000000f))
+ (portRef PCOUT_47_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000014d
+ (joined
+ (portRef PCIN_46_ (instanceRef blk0000000f))
+ (portRef PCOUT_46_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000014e
+ (joined
+ (portRef PCIN_45_ (instanceRef blk0000000f))
+ (portRef PCOUT_45_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000014f
+ (joined
+ (portRef PCIN_44_ (instanceRef blk0000000f))
+ (portRef PCOUT_44_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000150
+ (joined
+ (portRef PCIN_43_ (instanceRef blk0000000f))
+ (portRef PCOUT_43_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000151
+ (joined
+ (portRef PCIN_42_ (instanceRef blk0000000f))
+ (portRef PCOUT_42_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000152
+ (joined
+ (portRef PCIN_41_ (instanceRef blk0000000f))
+ (portRef PCOUT_41_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000153
+ (joined
+ (portRef PCIN_40_ (instanceRef blk0000000f))
+ (portRef PCOUT_40_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000154
+ (joined
+ (portRef PCIN_39_ (instanceRef blk0000000f))
+ (portRef PCOUT_39_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000155
+ (joined
+ (portRef PCIN_38_ (instanceRef blk0000000f))
+ (portRef PCOUT_38_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000156
+ (joined
+ (portRef PCIN_37_ (instanceRef blk0000000f))
+ (portRef PCOUT_37_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000157
+ (joined
+ (portRef PCIN_36_ (instanceRef blk0000000f))
+ (portRef PCOUT_36_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000158
+ (joined
+ (portRef PCIN_35_ (instanceRef blk0000000f))
+ (portRef PCOUT_35_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000159
+ (joined
+ (portRef PCIN_34_ (instanceRef blk0000000f))
+ (portRef PCOUT_34_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000015a
+ (joined
+ (portRef PCIN_33_ (instanceRef blk0000000f))
+ (portRef PCOUT_33_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000015b
+ (joined
+ (portRef PCIN_32_ (instanceRef blk0000000f))
+ (portRef PCOUT_32_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000015c
+ (joined
+ (portRef PCIN_31_ (instanceRef blk0000000f))
+ (portRef PCOUT_31_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000015d
+ (joined
+ (portRef PCIN_30_ (instanceRef blk0000000f))
+ (portRef PCOUT_30_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000015e
+ (joined
+ (portRef PCIN_29_ (instanceRef blk0000000f))
+ (portRef PCOUT_29_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000015f
+ (joined
+ (portRef PCIN_28_ (instanceRef blk0000000f))
+ (portRef PCOUT_28_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000160
+ (joined
+ (portRef PCIN_27_ (instanceRef blk0000000f))
+ (portRef PCOUT_27_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000161
+ (joined
+ (portRef PCIN_26_ (instanceRef blk0000000f))
+ (portRef PCOUT_26_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000162
+ (joined
+ (portRef PCIN_25_ (instanceRef blk0000000f))
+ (portRef PCOUT_25_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000163
+ (joined
+ (portRef PCIN_24_ (instanceRef blk0000000f))
+ (portRef PCOUT_24_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000164
+ (joined
+ (portRef PCIN_23_ (instanceRef blk0000000f))
+ (portRef PCOUT_23_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000165
+ (joined
+ (portRef PCIN_22_ (instanceRef blk0000000f))
+ (portRef PCOUT_22_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000166
+ (joined
+ (portRef PCIN_21_ (instanceRef blk0000000f))
+ (portRef PCOUT_21_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000167
+ (joined
+ (portRef PCIN_20_ (instanceRef blk0000000f))
+ (portRef PCOUT_20_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000168
+ (joined
+ (portRef PCIN_19_ (instanceRef blk0000000f))
+ (portRef PCOUT_19_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000169
+ (joined
+ (portRef PCIN_18_ (instanceRef blk0000000f))
+ (portRef PCOUT_18_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000016a
+ (joined
+ (portRef PCIN_17_ (instanceRef blk0000000f))
+ (portRef PCOUT_17_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000016b
+ (joined
+ (portRef PCIN_16_ (instanceRef blk0000000f))
+ (portRef PCOUT_16_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000016c
+ (joined
+ (portRef PCIN_15_ (instanceRef blk0000000f))
+ (portRef PCOUT_15_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000016d
+ (joined
+ (portRef PCIN_14_ (instanceRef blk0000000f))
+ (portRef PCOUT_14_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000016e
+ (joined
+ (portRef PCIN_13_ (instanceRef blk0000000f))
+ (portRef PCOUT_13_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000016f
+ (joined
+ (portRef PCIN_12_ (instanceRef blk0000000f))
+ (portRef PCOUT_12_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000170
+ (joined
+ (portRef PCIN_11_ (instanceRef blk0000000f))
+ (portRef PCOUT_11_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000171
+ (joined
+ (portRef PCIN_10_ (instanceRef blk0000000f))
+ (portRef PCOUT_10_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000172
+ (joined
+ (portRef PCIN_9_ (instanceRef blk0000000f))
+ (portRef PCOUT_9_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000173
+ (joined
+ (portRef PCIN_8_ (instanceRef blk0000000f))
+ (portRef PCOUT_8_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000174
+ (joined
+ (portRef PCIN_7_ (instanceRef blk0000000f))
+ (portRef PCOUT_7_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000175
+ (joined
+ (portRef PCIN_6_ (instanceRef blk0000000f))
+ (portRef PCOUT_6_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000176
+ (joined
+ (portRef PCIN_5_ (instanceRef blk0000000f))
+ (portRef PCOUT_5_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000177
+ (joined
+ (portRef PCIN_4_ (instanceRef blk0000000f))
+ (portRef PCOUT_4_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000178
+ (joined
+ (portRef PCIN_3_ (instanceRef blk0000000f))
+ (portRef PCOUT_3_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000179
+ (joined
+ (portRef PCIN_2_ (instanceRef blk0000000f))
+ (portRef PCOUT_2_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000017a
+ (joined
+ (portRef PCIN_1_ (instanceRef blk0000000f))
+ (portRef PCOUT_1_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000017b
+ (joined
+ (portRef PCIN_0_ (instanceRef blk0000000f))
+ (portRef PCOUT_0_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000017c
+ (joined
+ (portRef P_47_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000047a))
+ )
+ )
+ (net sig0000017d
+ (joined
+ (portRef P_46_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000478))
+ )
+ )
+ (net sig0000017e
+ (joined
+ (portRef P_45_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000479))
+ )
+ )
+ (net sig0000017f
+ (joined
+ (portRef P_44_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000477))
+ )
+ )
+ (net sig00000180
+ (joined
+ (portRef P_43_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000475))
+ )
+ )
+ (net sig00000181
+ (joined
+ (portRef P_42_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000476))
+ )
+ )
+ (net sig00000182
+ (joined
+ (portRef P_41_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000474))
+ )
+ )
+ (net sig00000183
+ (joined
+ (portRef P_40_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000472))
+ )
+ )
+ (net sig00000184
+ (joined
+ (portRef P_39_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000473))
+ )
+ )
+ (net sig00000185
+ (joined
+ (portRef P_38_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000471))
+ )
+ )
+ (net sig00000186
+ (joined
+ (portRef P_37_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000046f))
+ )
+ )
+ (net sig00000187
+ (joined
+ (portRef P_36_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000470))
+ )
+ )
+ (net sig00000188
+ (joined
+ (portRef P_35_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000046e))
+ )
+ )
+ (net sig00000189
+ (joined
+ (portRef P_34_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000046c))
+ )
+ )
+ (net sig0000018a
+ (joined
+ (portRef P_33_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000046d))
+ )
+ )
+ (net sig0000018b
+ (joined
+ (portRef P_32_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000046b))
+ )
+ )
+ (net sig0000018c
+ (joined
+ (portRef P_31_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000469))
+ )
+ )
+ (net sig0000018d
+ (joined
+ (portRef P_30_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000046a))
+ )
+ )
+ (net sig0000018e
+ (joined
+ (portRef P_29_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000468))
+ )
+ )
+ (net sig0000018f
+ (joined
+ (portRef P_28_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000466))
+ )
+ )
+ (net sig00000190
+ (joined
+ (portRef P_27_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000467))
+ )
+ )
+ (net sig00000191
+ (joined
+ (portRef P_26_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000465))
+ )
+ )
+ (net sig00000192
+ (joined
+ (portRef P_25_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000463))
+ )
+ )
+ (net sig00000193
+ (joined
+ (portRef P_24_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000464))
+ )
+ )
+ (net sig00000194
+ (joined
+ (portRef P_23_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000462))
+ )
+ )
+ (net sig00000195
+ (joined
+ (portRef P_22_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000460))
+ )
+ )
+ (net sig00000196
+ (joined
+ (portRef P_21_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000461))
+ )
+ )
+ (net sig00000197
+ (joined
+ (portRef P_20_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000045f))
+ )
+ )
+ (net sig00000198
+ (joined
+ (portRef P_19_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000045d))
+ )
+ )
+ (net sig00000199
+ (joined
+ (portRef P_18_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000045e))
+ )
+ )
+ (net sig0000019a
+ (joined
+ (portRef P_17_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000045c))
+ )
+ )
+ (net sig0000019b
+ (joined
+ (portRef P_16_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000045a))
+ )
+ )
+ (net sig0000019c
+ (joined
+ (portRef P_15_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000045b))
+ )
+ )
+ (net sig0000019d
+ (joined
+ (portRef P_14_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000459))
+ )
+ )
+ (net sig0000019e
+ (joined
+ (portRef P_13_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000457))
+ )
+ )
+ (net sig0000019f
+ (joined
+ (portRef P_12_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000458))
+ )
+ )
+ (net sig000001a0
+ (joined
+ (portRef P_11_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000456))
+ )
+ )
+ (net sig000001a1
+ (joined
+ (portRef P_10_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000454))
+ )
+ )
+ (net sig000001a2
+ (joined
+ (portRef P_9_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000455))
+ )
+ )
+ (net sig000001a3
+ (joined
+ (portRef P_8_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000453))
+ )
+ )
+ (net sig000001a4
+ (joined
+ (portRef P_7_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000451))
+ )
+ )
+ (net sig000001a5
+ (joined
+ (portRef P_6_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000452))
+ )
+ )
+ (net sig000001a6
+ (joined
+ (portRef P_5_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk00000450))
+ )
+ )
+ (net sig000001a7
+ (joined
+ (portRef P_4_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000044e))
+ )
+ )
+ (net sig000001a8
+ (joined
+ (portRef P_3_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000044f))
+ )
+ )
+ (net sig000001a9
+ (joined
+ (portRef P_2_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000044d))
+ )
+ )
+ (net sig000001aa
+ (joined
+ (portRef P_1_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000044b))
+ )
+ )
+ (net sig000001ab
+ (joined
+ (portRef P_0_ (instanceRef blk0000000f))
+ (portRef I2 (instanceRef blk0000044c))
+ )
+ )
+ (net sig000001ac
+ (joined
+ (portRef A_29_ (instanceRef blk0000000f))
+ (portRef A_28_ (instanceRef blk0000000f))
+ (portRef A_27_ (instanceRef blk0000000f))
+ (portRef A_26_ (instanceRef blk0000000f))
+ (portRef A_25_ (instanceRef blk0000000f))
+ (portRef A_24_ (instanceRef blk0000000f))
+ (portRef A_23_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000625))
+ )
+ )
+ (net sig000001ad
+ (joined
+ (portRef A_22_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000062d))
+ )
+ )
+ (net sig000001ae
+ (joined
+ (portRef A_21_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000062f))
+ )
+ )
+ (net sig000001af
+ (joined
+ (portRef A_20_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000062b))
+ )
+ )
+ (net sig000001b0
+ (joined
+ (portRef A_19_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000631))
+ )
+ )
+ (net sig000001b1
+ (joined
+ (portRef A_18_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000633))
+ )
+ )
+ (net sig000001b2
+ (joined
+ (portRef A_17_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000637))
+ )
+ )
+ (net sig000001b3
+ (joined
+ (portRef A_16_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000639))
+ )
+ )
+ (net sig000001b4
+ (joined
+ (portRef A_15_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000635))
+ )
+ )
+ (net sig000001b5
+ (joined
+ (portRef A_14_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000063d))
+ )
+ )
+ (net sig000001b6
+ (joined
+ (portRef A_13_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000063f))
+ )
+ )
+ (net sig000001b7
+ (joined
+ (portRef A_12_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000063b))
+ )
+ )
+ (net sig000001b8
+ (joined
+ (portRef A_11_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000643))
+ )
+ )
+ (net sig000001b9
+ (joined
+ (portRef A_10_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000645))
+ )
+ )
+ (net sig000001ba
+ (joined
+ (portRef A_9_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000641))
+ )
+ )
+ (net sig000001bb
+ (joined
+ (portRef A_8_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000647))
+ )
+ )
+ (net sig000001bc
+ (joined
+ (portRef A_7_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000649))
+ )
+ )
+ (net sig000001bd
+ (joined
+ (portRef A_6_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000064d))
+ )
+ )
+ (net sig000001be
+ (joined
+ (portRef A_5_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000064f))
+ )
+ )
+ (net sig000001bf
+ (joined
+ (portRef A_4_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk0000064b))
+ )
+ )
+ (net sig000001c0
+ (joined
+ (portRef A_3_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000651))
+ )
+ )
+ (net sig000001c1
+ (joined
+ (portRef A_2_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000653))
+ )
+ )
+ (net sig000001c2
+ (joined
+ (portRef A_1_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000655))
+ )
+ )
+ (net sig000001c3
+ (joined
+ (portRef A_0_ (instanceRef blk0000000f))
+ (portRef Q (instanceRef blk00000657))
+ )
+ )
+ (net sig000001c4
+ (joined
+ (portRef CI (instanceRef blk00000010))
+ (portRef Q (instanceRef blk0000001c))
+ (portRef I0 (instanceRef blk00000413))
+ (portRef I1 (instanceRef blk00000418))
+ (portRef I1 (instanceRef blk0000042a))
+ (portRef D (instanceRef blk000005f4))
+ )
+ )
+ (net sig000001c5
+ (joined
+ (portRef S (instanceRef blk00000010))
+ (portRef O (instanceRef blk0000041a))
+ )
+ )
+ (net sig000001c6
+ (joined
+ (portRef LO (instanceRef blk00000010))
+ (portRef D (instanceRef blk0000001d))
+ )
+ )
+ (net sig000001c7
+ (joined
+ (portRef CI (instanceRef blk00000011))
+ (portRef Q (instanceRef blk0000001e))
+ (portRef I3 (instanceRef blk00000414))
+ (portRef I1 (instanceRef blk00000438))
+ )
+ )
+ (net sig000001c8
+ (joined
+ (portRef S (instanceRef blk00000011))
+ (portRef O (instanceRef blk0000041b))
+ )
+ )
+ (net sig000001c9
+ (joined
+ (portRef O (instanceRef blk00000011))
+ (portRef CI (instanceRef blk00000012))
+ )
+ )
+ (net sig000001ca
+ (joined
+ (portRef LO (instanceRef blk00000011))
+ (portRef D (instanceRef blk0000001f))
+ )
+ )
+ (net sig000001cb
+ (joined
+ (portRef S (instanceRef blk00000012))
+ (portRef O (instanceRef blk0000041c))
+ )
+ )
+ (net sig000001cc
+ (joined
+ (portRef O (instanceRef blk00000012))
+ (portRef D (instanceRef blk00000020))
+ )
+ )
+ (net sig000001cd
+ (joined
+ (portRef Q (instanceRef blk00000013))
+ (portRef I0 (instanceRef blk0000044b))
+ (portRef I0 (instanceRef blk0000044c))
+ (portRef I0 (instanceRef blk0000044d))
+ (portRef I0 (instanceRef blk0000044e))
+ (portRef I0 (instanceRef blk0000044f))
+ (portRef I0 (instanceRef blk00000450))
+ (portRef I0 (instanceRef blk00000451))
+ (portRef I0 (instanceRef blk00000452))
+ (portRef I0 (instanceRef blk00000453))
+ (portRef I0 (instanceRef blk00000454))
+ (portRef I0 (instanceRef blk00000455))
+ (portRef I0 (instanceRef blk00000456))
+ (portRef I0 (instanceRef blk00000457))
+ (portRef I0 (instanceRef blk00000458))
+ (portRef I0 (instanceRef blk00000459))
+ (portRef I0 (instanceRef blk0000045a))
+ (portRef I0 (instanceRef blk0000045b))
+ (portRef I0 (instanceRef blk0000045c))
+ (portRef I0 (instanceRef blk0000045d))
+ (portRef I0 (instanceRef blk0000045e))
+ (portRef I0 (instanceRef blk0000045f))
+ (portRef I0 (instanceRef blk00000460))
+ (portRef I0 (instanceRef blk00000461))
+ (portRef I0 (instanceRef blk00000462))
+ (portRef I0 (instanceRef blk00000463))
+ (portRef I0 (instanceRef blk00000464))
+ (portRef I0 (instanceRef blk00000465))
+ (portRef I0 (instanceRef blk00000466))
+ (portRef I0 (instanceRef blk00000467))
+ (portRef I0 (instanceRef blk00000468))
+ (portRef I0 (instanceRef blk00000469))
+ (portRef I0 (instanceRef blk0000046a))
+ (portRef I0 (instanceRef blk0000046b))
+ (portRef I0 (instanceRef blk0000046c))
+ (portRef I0 (instanceRef blk0000046d))
+ (portRef I0 (instanceRef blk0000046e))
+ (portRef I0 (instanceRef blk0000046f))
+ (portRef I0 (instanceRef blk00000470))
+ (portRef I0 (instanceRef blk00000471))
+ (portRef I0 (instanceRef blk00000472))
+ (portRef I0 (instanceRef blk00000473))
+ (portRef I0 (instanceRef blk00000474))
+ (portRef I0 (instanceRef blk00000475))
+ (portRef I0 (instanceRef blk00000476))
+ (portRef I0 (instanceRef blk00000477))
+ (portRef I0 (instanceRef blk00000478))
+ (portRef I0 (instanceRef blk00000479))
+ (portRef I0 (instanceRef blk0000047a))
+ (portRef I0 (instanceRef blk0000047b))
+ (portRef I0 (instanceRef blk0000047c))
+ (portRef I0 (instanceRef blk0000047d))
+ (portRef I0 (instanceRef blk0000047e))
+ (portRef I0 (instanceRef blk0000047f))
+ (portRef I0 (instanceRef blk00000480))
+ (portRef I0 (instanceRef blk00000481))
+ (portRef I0 (instanceRef blk00000482))
+ (portRef I0 (instanceRef blk00000483))
+ (portRef I0 (instanceRef blk00000484))
+ (portRef I0 (instanceRef blk00000485))
+ (portRef I0 (instanceRef blk00000486))
+ (portRef I0 (instanceRef blk00000487))
+ (portRef I0 (instanceRef blk00000488))
+ (portRef I0 (instanceRef blk00000489))
+ (portRef I0 (instanceRef blk0000048a))
+ (portRef I0 (instanceRef blk0000048b))
+ (portRef I0 (instanceRef blk0000048c))
+ (portRef I0 (instanceRef blk0000048d))
+ (portRef I0 (instanceRef blk0000048e))
+ (portRef I0 (instanceRef blk0000048f))
+ (portRef I0 (instanceRef blk00000490))
+ (portRef I0 (instanceRef blk00000491))
+ (portRef I0 (instanceRef blk00000492))
+ (portRef I0 (instanceRef blk00000493))
+ (portRef I0 (instanceRef blk00000494))
+ (portRef I0 (instanceRef blk00000495))
+ (portRef I0 (instanceRef blk00000496))
+ (portRef I0 (instanceRef blk00000497))
+ (portRef I0 (instanceRef blk00000498))
+ (portRef I0 (instanceRef blk00000499))
+ (portRef I0 (instanceRef blk0000049a))
+ (portRef I0 (instanceRef blk0000049b))
+ (portRef I0 (instanceRef blk0000049c))
+ (portRef I0 (instanceRef blk0000049d))
+ (portRef I0 (instanceRef blk0000049e))
+ (portRef I0 (instanceRef blk0000049f))
+ (portRef I0 (instanceRef blk000004a0))
+ (portRef I0 (instanceRef blk000004a1))
+ (portRef I0 (instanceRef blk000004a2))
+ (portRef I0 (instanceRef blk000004a3))
+ (portRef I0 (instanceRef blk000004a4))
+ (portRef I0 (instanceRef blk000004a5))
+ (portRef I0 (instanceRef blk000004a6))
+ (portRef I0 (instanceRef blk000004a7))
+ (portRef I0 (instanceRef blk000004a8))
+ (portRef I0 (instanceRef blk000004a9))
+ (portRef I0 (instanceRef blk000004aa))
+ (portRef I0 (instanceRef blk000004d6))
+ (portRef I (instanceRef blk000004fa))
+ )
+ )
+ (net sig000001ce
+ (joined
+ (portRef D (instanceRef blk00000016))
+ (portRef DI (instanceRef blk000000cd))
+ (portRef CI (instanceRef blk000000cf))
+ (portRef Q (instanceRef blk000000d0))
+ (portRef D (instanceRef blk00000103))
+ )
+ )
+ (net sig000001cf
+ (joined
+ (portRef Q (instanceRef blk00000016))
+ (portRef D (instanceRef blk00000017))
+ (portRef DI (instanceRef blk000000ce))
+ (portRef I (instanceRef blk00000501))
+ )
+ )
+ (net sig000001d0
+ (joined
+ (portRef Q (instanceRef blk00000017))
+ (portRef (member ADDRB 0) (instanceRef blk00000371))
+ )
+ )
+ (net sig000001d1
+ (joined
+ (portRef D (instanceRef blk00000018))
+ (portRef D (instanceRef blk00000019))
+ (portRef O (instanceRef blk00000412))
+ )
+ )
+ (net sig000001d2
+ (joined
+ (portRef Q (instanceRef blk00000018))
+ (portRef D (instanceRef blk0000052c))
+ )
+ )
+ (net sig000001d3
+ (joined
+ (portRef D (instanceRef blk0000001a))
+ (portRef O (instanceRef blk00000411))
+ )
+ )
+ (net sig000001d4
+ (joined
+ (portRef Q (instanceRef blk0000001a))
+ (portRef I3 (instanceRef blk00000411))
+ (portRef I4 (instanceRef blk00000413))
+ )
+ )
+ (net sig000001d5
+ (joined
+ (portRef D (instanceRef blk0000001b))
+ (portRef O (instanceRef blk00000413))
+ )
+ )
+ (net sig000001d6
+ (joined
+ (portRef Q (instanceRef blk0000001b))
+ (portRef I1 (instanceRef blk00000411))
+ (portRef I0 (instanceRef blk00000412))
+ (portRef I2 (instanceRef blk00000413))
+ (portRef I2 (instanceRef blk000004f1))
+ (portRef D (instanceRef blk00000532))
+ )
+ )
+ (net sig000001d7
+ (joined
+ (portRef D (instanceRef blk0000001c))
+ (portRef O (instanceRef blk00000418))
+ )
+ )
+ (net sig000001d8
+ (joined
+ (portRef Q (instanceRef blk0000001d))
+ (portRef I2 (instanceRef blk00000411))
+ (portRef I2 (instanceRef blk00000412))
+ (portRef I3 (instanceRef blk00000413))
+ (portRef I0 (instanceRef blk00000418))
+ (portRef I1 (instanceRef blk0000043e))
+ (portRef I3 (instanceRef blk000004f1))
+ (portRef D (instanceRef blk00000504))
+ )
+ )
+ (net sig000001d9
+ (joined
+ (portRef D (instanceRef blk0000001e))
+ (portRef O (instanceRef blk00000414))
+ )
+ )
+ (net sig000001da
+ (joined
+ (portRef Q (instanceRef blk0000001f))
+ (portRef I2 (instanceRef blk00000414))
+ )
+ )
+ (net sig000001db
+ (joined
+ (portRef D (instanceRef blk00000021))
+ (portRef O (instanceRef blk00000410))
+ )
+ )
+ (net sig000001dc
+ (joined
+ (portRef Q (instanceRef blk00000021))
+ (portRef (member ADDRB 0) (instanceRef blk0000002a))
+ )
+ )
+ (net sig000001dd
+ (joined
+ (portRef D (instanceRef blk00000022))
+ (portRef O (instanceRef blk00000417))
+ )
+ )
+ (net sig000001de
+ (joined
+ (portRef Q (instanceRef blk00000022))
+ (portRef (member ADDRB 1) (instanceRef blk0000002a))
+ )
+ )
+ (net sig000001df
+ (joined
+ (portRef D (instanceRef blk00000023))
+ (portRef Q (instanceRef blk000003a2))
+ (portRef I1 (instanceRef blk0000041f))
+ (portRef I1 (instanceRef blk0000044b))
+ (portRef I1 (instanceRef blk0000044c))
+ (portRef I1 (instanceRef blk0000044d))
+ (portRef I1 (instanceRef blk0000044e))
+ (portRef I1 (instanceRef blk0000044f))
+ (portRef I1 (instanceRef blk00000450))
+ (portRef I1 (instanceRef blk00000451))
+ (portRef I1 (instanceRef blk00000452))
+ (portRef I1 (instanceRef blk00000453))
+ (portRef I1 (instanceRef blk00000454))
+ (portRef I1 (instanceRef blk00000455))
+ (portRef I1 (instanceRef blk00000456))
+ (portRef I1 (instanceRef blk00000457))
+ (portRef I1 (instanceRef blk00000458))
+ (portRef I1 (instanceRef blk00000459))
+ (portRef I1 (instanceRef blk0000045a))
+ (portRef I1 (instanceRef blk0000045b))
+ (portRef I1 (instanceRef blk0000045c))
+ (portRef I1 (instanceRef blk0000045d))
+ (portRef I1 (instanceRef blk0000045e))
+ (portRef I1 (instanceRef blk0000045f))
+ (portRef I1 (instanceRef blk00000460))
+ (portRef I1 (instanceRef blk00000461))
+ (portRef I1 (instanceRef blk00000462))
+ (portRef I1 (instanceRef blk00000463))
+ (portRef I1 (instanceRef blk00000464))
+ (portRef I1 (instanceRef blk00000465))
+ (portRef I1 (instanceRef blk00000466))
+ (portRef I1 (instanceRef blk00000467))
+ (portRef I1 (instanceRef blk00000468))
+ (portRef I1 (instanceRef blk00000469))
+ (portRef I1 (instanceRef blk0000046a))
+ (portRef I1 (instanceRef blk0000046b))
+ (portRef I1 (instanceRef blk0000046c))
+ (portRef I1 (instanceRef blk0000046d))
+ (portRef I1 (instanceRef blk0000046e))
+ (portRef I1 (instanceRef blk0000046f))
+ (portRef I1 (instanceRef blk00000470))
+ (portRef I1 (instanceRef blk00000471))
+ (portRef I1 (instanceRef blk00000472))
+ (portRef I1 (instanceRef blk00000473))
+ (portRef I1 (instanceRef blk00000474))
+ (portRef I1 (instanceRef blk00000475))
+ (portRef I1 (instanceRef blk00000476))
+ (portRef I1 (instanceRef blk00000477))
+ (portRef I1 (instanceRef blk00000478))
+ (portRef I1 (instanceRef blk00000479))
+ (portRef I1 (instanceRef blk0000047a))
+ (portRef I1 (instanceRef blk0000047b))
+ (portRef I1 (instanceRef blk0000047c))
+ (portRef I1 (instanceRef blk0000047d))
+ (portRef I1 (instanceRef blk0000047e))
+ (portRef I1 (instanceRef blk0000047f))
+ (portRef I1 (instanceRef blk00000480))
+ (portRef I1 (instanceRef blk00000481))
+ (portRef I1 (instanceRef blk00000482))
+ (portRef I1 (instanceRef blk00000483))
+ (portRef I1 (instanceRef blk00000484))
+ (portRef I1 (instanceRef blk00000485))
+ (portRef I1 (instanceRef blk00000486))
+ (portRef I1 (instanceRef blk00000487))
+ (portRef I1 (instanceRef blk00000488))
+ (portRef I1 (instanceRef blk00000489))
+ (portRef I1 (instanceRef blk0000048a))
+ (portRef I1 (instanceRef blk0000048b))
+ (portRef I1 (instanceRef blk0000048c))
+ (portRef I1 (instanceRef blk0000048d))
+ (portRef I1 (instanceRef blk0000048e))
+ (portRef I1 (instanceRef blk0000048f))
+ (portRef I1 (instanceRef blk00000490))
+ (portRef I1 (instanceRef blk00000491))
+ (portRef I1 (instanceRef blk00000492))
+ (portRef I1 (instanceRef blk00000493))
+ (portRef I1 (instanceRef blk00000494))
+ (portRef I1 (instanceRef blk00000495))
+ (portRef I1 (instanceRef blk00000496))
+ (portRef I1 (instanceRef blk00000497))
+ (portRef I1 (instanceRef blk00000498))
+ (portRef I1 (instanceRef blk00000499))
+ (portRef I1 (instanceRef blk0000049a))
+ (portRef I1 (instanceRef blk0000049b))
+ (portRef I1 (instanceRef blk0000049c))
+ (portRef I1 (instanceRef blk0000049d))
+ (portRef I1 (instanceRef blk0000049e))
+ (portRef I1 (instanceRef blk0000049f))
+ (portRef I1 (instanceRef blk000004a0))
+ (portRef I1 (instanceRef blk000004a1))
+ (portRef I1 (instanceRef blk000004a2))
+ (portRef I1 (instanceRef blk000004a3))
+ (portRef I1 (instanceRef blk000004a4))
+ (portRef I1 (instanceRef blk000004a5))
+ (portRef I1 (instanceRef blk000004a6))
+ (portRef I1 (instanceRef blk000004a7))
+ (portRef I1 (instanceRef blk000004a8))
+ (portRef I1 (instanceRef blk000004a9))
+ (portRef I1 (instanceRef blk000004aa))
+ (portRef I0 (instanceRef blk000004ab))
+ (portRef I2 (instanceRef blk000004ac))
+ )
+ )
+ (net sig000001e0
+ (joined
+ (portRef R (instanceRef blk00000023))
+ (portRef R (instanceRef blk00000026))
+ (portRef O (instanceRef blk0000041d))
+ )
+ )
+ (net sig000001e1
+ (joined
+ (portRef D (instanceRef blk00000024))
+ (portRef I1 (instanceRef blk00000449))
+ (portRef Q (instanceRef blk00000507))
+ (portRef D (instanceRef blk000005f6))
+ )
+ )
+ (net sig000001e2
+ (joined
+ (portRef Q (instanceRef blk00000024))
+ (portRef D (instanceRef blk000000ad))
+ )
+ )
+ (net sig000001e3
+ (joined
+ (portRef D (instanceRef blk00000025))
+ (portRef Q (instanceRef blk00000027))
+ (portRef D (instanceRef blk00000506))
+ )
+ )
+ (net sig000001e4
+ (joined
+ (portRef Q (instanceRef blk00000025))
+ (portRef I2 (instanceRef blk00000410))
+ (portRef I1 (instanceRef blk00000417))
+ )
+ )
+ (net sig000001e5
+ (joined
+ (portRef D (instanceRef blk00000026))
+ (portRef O (instanceRef blk0000041f))
+ )
+ )
+ (net sig000001e6
+ (joined
+ (portRef D (instanceRef blk00000027))
+ (portRef Q (instanceRef blk00000029))
+ (portRef I0 (instanceRef blk00000411))
+ (portRef I3 (instanceRef blk00000412))
+ (portRef I1 (instanceRef blk00000413))
+ (portRef I2 (instanceRef blk00000418))
+ )
+ )
+ (net sig000001e7
+ (joined
+ (portRef D (instanceRef blk00000029))
+ (portRef O (instanceRef blk00000420))
+ )
+ )
+ (net sig000001e8
+ (joined
+ (portRef (member ADDRA 0) (instanceRef blk0000002a))
+ (portRef DI (instanceRef blk000000e7))
+ (portRef I1 (instanceRef blk00000439))
+ (portRef I0 (instanceRef blk0000043c))
+ (portRef Q (instanceRef blk000004be))
+ )
+ )
+ (net sig000001e9
+ (joined
+ (portRef (member ADDRA 1) (instanceRef blk0000002a))
+ (portRef DI (instanceRef blk000000e8))
+ (portRef I2 (instanceRef blk00000439))
+ (portRef I3 (instanceRef blk0000043b))
+ (portRef Q (instanceRef blk000004bf))
+ )
+ )
+ (net sig000001ea
+ (joined
+ (portRef (member DB_OUT 0) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000052e))
+ (portRef D (instanceRef blk00000590))
+ )
+ )
+ (net sig000001eb
+ (joined
+ (portRef (member DB_OUT 1) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000536))
+ (portRef D (instanceRef blk00000596))
+ )
+ )
+ (net sig000001ec
+ (joined
+ (portRef (member DB_OUT 2) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000538))
+ (portRef D (instanceRef blk00000598))
+ )
+ )
+ (net sig000001ed
+ (joined
+ (portRef (member DB_OUT 3) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000534))
+ (portRef D (instanceRef blk0000059c))
+ )
+ )
+ (net sig000001ee
+ (joined
+ (portRef (member DB_OUT 4) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000053c))
+ (portRef D (instanceRef blk0000059e))
+ )
+ )
+ (net sig000001ef
+ (joined
+ (portRef (member DB_OUT 5) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000053e))
+ (portRef D (instanceRef blk0000059a))
+ )
+ )
+ (net sig000001f0
+ (joined
+ (portRef (member DB_OUT 6) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000053a))
+ (portRef D (instanceRef blk000005a0))
+ )
+ )
+ (net sig000001f1
+ (joined
+ (portRef (member DB_OUT 7) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000540))
+ (portRef D (instanceRef blk000005a2))
+ )
+ )
+ (net sig000001f2
+ (joined
+ (portRef (member DB_OUT 8) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000542))
+ (portRef D (instanceRef blk000005a6))
+ )
+ )
+ (net sig000001f3
+ (joined
+ (portRef (member DB_OUT 9) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000546))
+ (portRef D (instanceRef blk000005a8))
+ )
+ )
+ (net sig000001f4
+ (joined
+ (portRef (member DB_OUT 10) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000548))
+ (portRef D (instanceRef blk000005a4))
+ )
+ )
+ (net sig000001f5
+ (joined
+ (portRef (member DB_OUT 11) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000544))
+ (portRef D (instanceRef blk000005aa))
+ )
+ )
+ (net sig000001f6
+ (joined
+ (portRef (member DB_OUT 12) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000054a))
+ (portRef D (instanceRef blk000005ac))
+ )
+ )
+ (net sig000001f7
+ (joined
+ (portRef (member DB_OUT 13) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000054c))
+ (portRef D (instanceRef blk000005b0))
+ )
+ )
+ (net sig000001f8
+ (joined
+ (portRef (member DB_OUT 14) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000550))
+ (portRef D (instanceRef blk000005b2))
+ )
+ )
+ (net sig000001f9
+ (joined
+ (portRef (member DB_OUT 15) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000552))
+ (portRef D (instanceRef blk000005ae))
+ )
+ )
+ (net sig000001fa
+ (joined
+ (portRef (member DB_OUT 16) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000054e))
+ (portRef D (instanceRef blk000005b6))
+ )
+ )
+ (net sig000001fb
+ (joined
+ (portRef (member DB_OUT 17) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000554))
+ (portRef D (instanceRef blk000005b8))
+ )
+ )
+ (net sig000001fc
+ (joined
+ (portRef (member DB_OUT 18) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000556))
+ (portRef D (instanceRef blk000005b4))
+ )
+ )
+ (net sig000001fd
+ (joined
+ (portRef (member DB_OUT 19) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000055a))
+ (portRef D (instanceRef blk000005bc))
+ )
+ )
+ (net sig000001fe
+ (joined
+ (portRef (member DB_OUT 20) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000055c))
+ (portRef D (instanceRef blk000005be))
+ )
+ )
+ (net sig000001ff
+ (joined
+ (portRef (member DB_OUT 21) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000558))
+ (portRef D (instanceRef blk000005ba))
+ )
+ )
+ (net sig00000200
+ (joined
+ (portRef (member DB_OUT 22) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000560))
+ (portRef D (instanceRef blk000005c0))
+ )
+ )
+ (net sig00000201
+ (joined
+ (portRef (member DB_OUT 23) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000562))
+ (portRef D (instanceRef blk000005c2))
+ )
+ )
+ (net sig00000202
+ (joined
+ (portRef (member DB_OUT 24) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000055e))
+ (portRef D (instanceRef blk000005c6))
+ )
+ )
+ (net sig00000203
+ (joined
+ (portRef (member DB_OUT 25) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000566))
+ (portRef D (instanceRef blk000005c8))
+ )
+ )
+ (net sig00000204
+ (joined
+ (portRef (member DB_OUT 26) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000568))
+ (portRef D (instanceRef blk000005c4))
+ )
+ )
+ (net sig00000205
+ (joined
+ (portRef (member DB_OUT 27) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000564))
+ (portRef D (instanceRef blk000005cc))
+ )
+ )
+ (net sig00000206
+ (joined
+ (portRef (member DB_OUT 28) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000056a))
+ (portRef D (instanceRef blk000005ce))
+ )
+ )
+ (net sig00000207
+ (joined
+ (portRef (member DB_OUT 29) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000056c))
+ (portRef D (instanceRef blk000005ca))
+ )
+ )
+ (net sig00000208
+ (joined
+ (portRef (member DB_OUT 30) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000570))
+ (portRef D (instanceRef blk000005d2))
+ )
+ )
+ (net sig00000209
+ (joined
+ (portRef (member DB_OUT 31) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000572))
+ (portRef D (instanceRef blk000005d4))
+ )
+ )
+ (net sig0000020a
+ (joined
+ (portRef (member DB_OUT 32) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000056e))
+ (portRef D (instanceRef blk000005d0))
+ )
+ )
+ (net sig0000020b
+ (joined
+ (portRef (member DB_OUT 33) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000576))
+ (portRef D (instanceRef blk000005d6))
+ )
+ )
+ (net sig0000020c
+ (joined
+ (portRef (member DB_OUT 34) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000578))
+ (portRef D (instanceRef blk000005d8))
+ )
+ )
+ (net sig0000020d
+ (joined
+ (portRef (member DB_OUT 35) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000574))
+ (portRef D (instanceRef blk000005dc))
+ )
+ )
+ (net sig0000020e
+ (joined
+ (portRef (member DB_OUT 36) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000057c))
+ (portRef D (instanceRef blk000005de))
+ )
+ )
+ (net sig0000020f
+ (joined
+ (portRef (member DB_OUT 37) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000057e))
+ (portRef D (instanceRef blk000005da))
+ )
+ )
+ (net sig00000210
+ (joined
+ (portRef (member DB_OUT 38) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000057a))
+ (portRef D (instanceRef blk000005e2))
+ )
+ )
+ (net sig00000211
+ (joined
+ (portRef (member DB_OUT 39) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000580))
+ (portRef D (instanceRef blk000005e4))
+ )
+ )
+ (net sig00000212
+ (joined
+ (portRef (member DB_OUT 40) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000582))
+ (portRef D (instanceRef blk000005e0))
+ )
+ )
+ (net sig00000213
+ (joined
+ (portRef (member DB_OUT 41) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000586))
+ (portRef D (instanceRef blk000005e8))
+ )
+ )
+ (net sig00000214
+ (joined
+ (portRef (member DB_OUT 42) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000588))
+ (portRef D (instanceRef blk000005ea))
+ )
+ )
+ (net sig00000215
+ (joined
+ (portRef (member DB_OUT 43) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000584))
+ (portRef D (instanceRef blk000005e6))
+ )
+ )
+ (net sig00000216
+ (joined
+ (portRef (member DB_OUT 44) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000058c))
+ (portRef D (instanceRef blk000005ec))
+ )
+ )
+ (net sig00000217
+ (joined
+ (portRef (member DB_OUT 45) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000058e))
+ (portRef D (instanceRef blk000005ee))
+ )
+ )
+ (net sig00000218
+ (joined
+ (portRef (member DB_OUT 46) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk0000058a))
+ (portRef D (instanceRef blk000005f0))
+ )
+ )
+ (net sig00000219
+ (joined
+ (portRef (member DB_OUT 47) (instanceRef blk0000002a))
+ (portRef D (instanceRef blk00000592))
+ (portRef D (instanceRef blk000005f2))
+ )
+ )
+ (net sig0000021a
+ (joined
+ (portRef D (instanceRef blk0000008d))
+ (portRef O (instanceRef blk00000091))
+ )
+ )
+ (net sig0000021b
+ (joined
+ (portRef Q (instanceRef blk0000008d))
+ (portRef DI (instanceRef blk000000d3))
+ (portRef I3 (instanceRef blk000004f3))
+ )
+ )
+ (net sig0000021c
+ (joined
+ (portRef D (instanceRef blk0000008e))
+ (portRef LO (instanceRef blk00000090))
+ (portRef CI (instanceRef blk00000091))
+ )
+ )
+ (net sig0000021d
+ (joined
+ (portRef Q (instanceRef blk0000008e))
+ (portRef CI (instanceRef blk0000008f))
+ (portRef D (instanceRef blk000000a2))
+ (portRef I (instanceRef blk000004fc))
+ )
+ )
+ (net sig0000021e
+ (joined
+ (portRef DI (instanceRef blk0000008f))
+ (portRef D (instanceRef blk0000009c))
+ (portRef D (instanceRef blk000000c6))
+ (portRef CI (instanceRef blk000000d8))
+ (portRef Q (instanceRef blk000000d9))
+ )
+ )
+ (net sig0000021f
+ (joined
+ (portRef S (instanceRef blk0000008f))
+ (portRef O (instanceRef blk00000423))
+ )
+ )
+ (net sig00000220
+ (joined
+ (portRef O (instanceRef blk0000008f))
+ (portRef CI (instanceRef blk00000090))
+ )
+ )
+ (net sig00000221
+ (joined
+ (portRef DI (instanceRef blk00000090))
+ (portRef DI (instanceRef blk000000ca))
+ (portRef DI (instanceRef blk000000cb))
+ (portRef Q (instanceRef blk000000da))
+ )
+ )
+ (net sig00000222
+ (joined
+ (portRef S (instanceRef blk00000090))
+ (portRef O (instanceRef blk00000424))
+ )
+ )
+ (net sig00000223
+ (joined
+ (portRef CI (instanceRef blk00000092))
+ (portRef O (instanceRef blk00000093))
+ )
+ )
+ (net sig00000224
+ (joined
+ (portRef DI (instanceRef blk00000092))
+ (portRef Q (instanceRef blk0000009d))
+ (portRef I3 (instanceRef blk00000422))
+ (portRef I1 (instanceRef blk0000042b))
+ (portRef I1 (instanceRef blk0000042c))
+ )
+ )
+ (net sig00000225
+ (joined
+ (portRef S (instanceRef blk00000092))
+ (portRef O (instanceRef blk00000427))
+ )
+ )
+ (net sig00000226
+ (joined
+ (portRef LO (instanceRef blk00000092))
+ (portRef D (instanceRef blk0000009d))
+ )
+ )
+ (net sig00000227
+ (joined
+ (portRef S (instanceRef blk00000093))
+ (portRef O (instanceRef blk000004fb))
+ )
+ )
+ (net sig00000228
+ (joined
+ (portRef CI (instanceRef blk00000094))
+ (portRef O (instanceRef blk00000097))
+ )
+ )
+ (net sig00000229
+ (joined
+ (portRef S (instanceRef blk00000094))
+ (portRef O (instanceRef blk000004d7))
+ )
+ )
+ (net sig0000022a
+ (joined
+ (portRef LO (instanceRef blk00000094))
+ (portRef D (instanceRef blk0000009e))
+ )
+ )
+ (net sig0000022b
+ (joined
+ (portRef CI (instanceRef blk00000095))
+ (portRef O (instanceRef blk00000096))
+ )
+ )
+ (net sig0000022c
+ (joined
+ (portRef DI (instanceRef blk00000095))
+ (portRef Q (instanceRef blk0000009f))
+ (portRef I2 (instanceRef blk00000422))
+ (portRef I1 (instanceRef blk00000427))
+ (portRef I2 (instanceRef blk0000042b))
+ (portRef I2 (instanceRef blk0000042c))
+ )
+ )
+ (net sig0000022d
+ (joined
+ (portRef LO (instanceRef blk00000095))
+ (portRef D (instanceRef blk0000009f))
+ )
+ )
+ (net sig0000022e
+ (joined
+ (portRef S (instanceRef blk00000096))
+ (portRef O (instanceRef blk00000425))
+ )
+ )
+ (net sig0000022f
+ (joined
+ (portRef S (instanceRef blk00000097))
+ (portRef O (instanceRef blk000004d8))
+ )
+ )
+ (net sig00000230
+ (joined
+ (portRef LO (instanceRef blk00000097))
+ (portRef D (instanceRef blk000000a0))
+ )
+ )
+ (net sig00000231
+ (joined
+ (portRef D (instanceRef blk00000098))
+ (portRef Q (instanceRef blk000000aa))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000232
+ (joined
+ (portRef Q (instanceRef blk00000098))
+ (portRef WEA (instanceRef blk00000371))
+ )
+ )
+ (net sig00000233
+ (joined
+ (portRef D (instanceRef blk00000099))
+ (portRef Q (instanceRef blk000000ac))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000234
+ (joined
+ (portRef Q (instanceRef blk00000099))
+ (portRef D (instanceRef blk0000010c))
+ (portRef WEA (instanceRef blk000002b1))
+ )
+ )
+ (net sig00000235
+ (joined
+ (portRef D (instanceRef blk0000009a))
+ (portRef O (instanceRef blk00000421))
+ )
+ )
+ (net sig00000236
+ (joined
+ (portRef Q (instanceRef blk0000009a))
+ (portRef D (instanceRef blk000000a1))
+ (portRef I0 (instanceRef blk00000421))
+ (portRef I2 (instanceRef blk00000423))
+ (portRef I2 (instanceRef blk00000424))
+ (portRef I0 (instanceRef blk000004f4))
+ (portRef I3 (instanceRef blk000004f5))
+ (portRef I4 (instanceRef blk000004f6))
+ (portRef I1 (instanceRef blk000004f7))
+ )
+ )
+ (net sig00000237
+ (joined
+ (portRef D (instanceRef blk0000009b))
+ (portRef O (instanceRef blk00000422))
+ )
+ )
+ (net sig00000238
+ (joined
+ (portRef Q (instanceRef blk0000009b))
+ (portRef I1 (instanceRef blk00000421))
+ (portRef I0 (instanceRef blk00000422))
+ (portRef I1 (instanceRef blk00000423))
+ (portRef I0 (instanceRef blk00000426))
+ (portRef I1 (instanceRef blk000004f4))
+ (portRef I1 (instanceRef blk000004f5))
+ )
+ )
+ (net sig00000239
+ (joined
+ (portRef Q (instanceRef blk0000009c))
+ (portRef (member ADDRA 0) (instanceRef blk00000371))
+ )
+ )
+ (net sig0000023a
+ (joined
+ (portRef Q (instanceRef blk000000a1))
+ (portRef I0 (instanceRef blk00000430))
+ )
+ )
+ (net sig0000023b
+ (joined
+ (portRef Q (instanceRef blk000000a2))
+ (portRef DI (instanceRef blk000000c9))
+ )
+ )
+ (net sig0000023c
+ (joined
+ (portRef D (instanceRef blk000000a3))
+ (portRef Q (instanceRef blk000000a5))
+ (portRef I0 (instanceRef blk00000431))
+ (portRef I1 (instanceRef blk00000436))
+ (portRef I2 (instanceRef blk000004f2))
+ )
+ )
+ (net sig0000023d
+ (joined
+ (portRef Q (instanceRef blk000000a3))
+ (portRef I0 (instanceRef blk00000432))
+ (portRef I0 (instanceRef blk00000437))
+ )
+ )
+ (net sig0000023e
+ (joined
+ (portRef D (instanceRef blk000000a4))
+ (portRef Q (instanceRef blk000000a9))
+ (portRef I0 (instanceRef blk0000042e))
+ (portRef I2 (instanceRef blk000004f3))
+ (portRef I1 (instanceRef blk000004f6))
+ )
+ )
+ (net sig0000023f
+ (joined
+ (portRef Q (instanceRef blk000000a4))
+ (portRef I0 (instanceRef blk0000042f))
+ )
+ )
+ (net sig00000240
+ (joined
+ (portRef D (instanceRef blk000000a5))
+ (portRef Q (instanceRef blk000000ad))
+ (portRef I1 (instanceRef blk0000042e))
+ (portRef I1 (instanceRef blk0000042f))
+ (portRef I2 (instanceRef blk00000430))
+ (portRef I1 (instanceRef blk00000431))
+ (portRef I1 (instanceRef blk00000432))
+ (portRef I1 (instanceRef blk00000433))
+ (portRef I1 (instanceRef blk00000435))
+ (portRef I (instanceRef blk000004fd))
+ (portRef I (instanceRef blk00000503))
+ )
+ )
+ (net sig00000241
+ (joined
+ (portRef D (instanceRef blk000000a6))
+ (portRef O (instanceRef blk000004f6))
+ )
+ )
+ (net sig00000242
+ (joined
+ (portRef Q (instanceRef blk000000a6))
+ (portRef I0 (instanceRef blk00000433))
+ (portRef I0 (instanceRef blk00000434))
+ )
+ )
+ (net sig00000243
+ (joined
+ (portRef D (instanceRef blk000000a7))
+ (portRef O (instanceRef blk00000428))
+ )
+ )
+ (net sig00000244
+ (joined
+ (portRef Q (instanceRef blk000000a7))
+ (portRef I1 (instanceRef blk00000430))
+ (portRef I2 (instanceRef blk00000433))
+ (portRef I2 (instanceRef blk00000434))
+ (portRef I0 (instanceRef blk00000435))
+ (portRef I0 (instanceRef blk00000436))
+ (portRef I1 (instanceRef blk00000437))
+ (portRef I (instanceRef blk000004fe))
+ )
+ )
+ (net sig00000245
+ (joined
+ (portRef D (instanceRef blk000000a8))
+ (portRef O (instanceRef blk000004fc))
+ )
+ )
+ (net sig00000246
+ (joined
+ (portRef Q (instanceRef blk000000a8))
+ (portRef DI (instanceRef blk000000d4))
+ )
+ )
+ (net sig00000247
+ (joined
+ (portRef D (instanceRef blk000000a9))
+ (portRef O (instanceRef blk000004f4))
+ )
+ )
+ (net sig00000248
+ (joined
+ (portRef D (instanceRef blk000000aa))
+ (portRef O (instanceRef blk000004f5))
+ )
+ )
+ (net sig00000249
+ (joined
+ (portRef D (instanceRef blk000000ab))
+ (portRef O (instanceRef blk000004f7))
+ )
+ )
+ (net sig0000024a
+ (joined
+ (portRef Q (instanceRef blk000000ab))
+ (portRef I2 (instanceRef blk0000042e))
+ (portRef I1 (instanceRef blk00000434))
+ (portRef I0 (instanceRef blk000004f6))
+ )
+ )
+ (net sig0000024b
+ (joined
+ (portRef D (instanceRef blk000000ac))
+ (portRef O (instanceRef blk00000426))
+ )
+ )
+ (net sig0000024c
+ (joined
+ (portRef Q (instanceRef blk000000ae))
+ (portRef D (instanceRef blk000000c5))
+ )
+ )
+ (net sig0000024d
+ (joined
+ (portRef Q (instanceRef blk000000af))
+ (portRef I1 (instanceRef blk00000424))
+ (portRef I1 (instanceRef blk00000428))
+ (portRef I0 (instanceRef blk0000042d))
+ (portRef I1 (instanceRef blk000004ef))
+ (portRef I3 (instanceRef blk000004f4))
+ (portRef I2 (instanceRef blk000004f6))
+ (portRef I2 (instanceRef blk000004f7))
+ )
+ )
+ (net sig0000024e
+ (joined
+ (portRef CI (instanceRef blk000000b0))
+ (portRef CI (instanceRef blk000000b2))
+ (portRef LO (instanceRef blk000000b3))
+ )
+ )
+ (net sig0000024f
+ (joined
+ (portRef LI (instanceRef blk000000b0))
+ (portRef S (instanceRef blk000000b2))
+ (portRef O (instanceRef blk000004d9))
+ )
+ )
+ (net sig00000250
+ (joined
+ (portRef O (instanceRef blk000000b0))
+ (portRef D (instanceRef blk000004b1))
+ )
+ )
+ (net sig00000251
+ (joined
+ (portRef CI (instanceRef blk000000b1))
+ (portRef CI (instanceRef blk000000b3))
+ (portRef LO (instanceRef blk000000b5))
+ )
+ )
+ (net sig00000252
+ (joined
+ (portRef LI (instanceRef blk000000b1))
+ (portRef S (instanceRef blk000000b3))
+ (portRef O (instanceRef blk000004da))
+ )
+ )
+ (net sig00000253
+ (joined
+ (portRef O (instanceRef blk000000b1))
+ (portRef D (instanceRef blk000004b2))
+ )
+ )
+ (net sig00000254
+ (joined
+ (portRef DI (instanceRef blk000000b2))
+ (portRef I0 (instanceRef blk00000425))
+ (portRef Q (instanceRef blk000004b1))
+ (portRef I0 (instanceRef blk000004d8))
+ (portRef I0 (instanceRef blk000004d9))
+ )
+ )
+ (net sig00000255
+ (joined
+ (portRef DI (instanceRef blk000000b3))
+ (portRef I1 (instanceRef blk00000425))
+ (portRef Q (instanceRef blk000004b2))
+ (portRef I1 (instanceRef blk000004d8))
+ (portRef I0 (instanceRef blk000004da))
+ )
+ )
+ (net sig00000256
+ (joined
+ (portRef LI (instanceRef blk000000b4))
+ (portRef S (instanceRef blk000000b5))
+ (portRef O (instanceRef blk00000429))
+ )
+ )
+ (net sig00000257
+ (joined
+ (portRef O (instanceRef blk000000b4))
+ (portRef D (instanceRef blk000004b3))
+ )
+ )
+ (net sig00000258
+ (joined
+ (portRef DI (instanceRef blk000000b5))
+ (portRef I2 (instanceRef blk00000425))
+ (portRef I0 (instanceRef blk00000429))
+ (portRef Q (instanceRef blk000004b3))
+ (portRef I2 (instanceRef blk000004d8))
+ )
+ )
+ (net sig00000259
+ (joined
+ (portRef CI (instanceRef blk000000b6))
+ (portRef CI (instanceRef blk000000b8))
+ (portRef LO (instanceRef blk000000b9))
+ )
+ )
+ (net sig0000025a
+ (joined
+ (portRef LI (instanceRef blk000000b6))
+ (portRef S (instanceRef blk000000b8))
+ (portRef O (instanceRef blk000004db))
+ )
+ )
+ (net sig0000025b
+ (joined
+ (portRef O (instanceRef blk000000b6))
+ (portRef D (instanceRef blk000004b4))
+ )
+ )
+ (net sig0000025c
+ (joined
+ (portRef CI (instanceRef blk000000b7))
+ (portRef CI (instanceRef blk000000b9))
+ (portRef LO (instanceRef blk000000bb))
+ )
+ )
+ (net sig0000025d
+ (joined
+ (portRef LI (instanceRef blk000000b7))
+ (portRef S (instanceRef blk000000b9))
+ (portRef O (instanceRef blk000004dc))
+ )
+ )
+ (net sig0000025e
+ (joined
+ (portRef O (instanceRef blk000000b7))
+ (portRef D (instanceRef blk000004b5))
+ )
+ )
+ (net sig0000025f
+ (joined
+ (portRef DI (instanceRef blk000000b8))
+ (portRef I0 (instanceRef blk0000041a))
+ (portRef Q (instanceRef blk000004b4))
+ (portRef I0 (instanceRef blk000004db))
+ )
+ )
+ (net sig00000260
+ (joined
+ (portRef DI (instanceRef blk000000b9))
+ (portRef I1 (instanceRef blk0000041a))
+ (portRef Q (instanceRef blk000004b5))
+ (portRef I0 (instanceRef blk000004dc))
+ )
+ )
+ (net sig00000261
+ (joined
+ (portRef LI (instanceRef blk000000ba))
+ (portRef S (instanceRef blk000000bb))
+ (portRef O (instanceRef blk0000042a))
+ )
+ )
+ (net sig00000262
+ (joined
+ (portRef O (instanceRef blk000000ba))
+ (portRef D (instanceRef blk000004b6))
+ )
+ )
+ (net sig00000263
+ (joined
+ (portRef DI (instanceRef blk000000bb))
+ (portRef I2 (instanceRef blk0000041a))
+ (portRef I0 (instanceRef blk0000042a))
+ (portRef Q (instanceRef blk000004b6))
+ )
+ )
+ (net sig00000264
+ (joined
+ (portRef CI (instanceRef blk000000bc))
+ (portRef CI (instanceRef blk000000bd))
+ (portRef O (instanceRef blk000000be))
+ )
+ )
+ (net sig00000265
+ (joined
+ (portRef LI (instanceRef blk000000bc))
+ (portRef S (instanceRef blk000000bd))
+ (portRef O (instanceRef blk0000042b))
+ )
+ )
+ (net sig00000266
+ (joined
+ (portRef O (instanceRef blk000000bc))
+ (portRef D (instanceRef blk000004b7))
+ )
+ )
+ (net sig00000267
+ (joined
+ (portRef DI (instanceRef blk000000bd))
+ (portRef I0 (instanceRef blk0000042b))
+ (portRef Q (instanceRef blk000004b7))
+ (portRef I0 (instanceRef blk000004d7))
+ (portRef I (instanceRef blk000004fb))
+ )
+ )
+ (net sig00000268
+ (joined
+ (portRef S (instanceRef blk000000be))
+ (portRef O (instanceRef blk0000042c))
+ )
+ )
+ (net sig00000269
+ (joined
+ (portRef CI (instanceRef blk000000bf))
+ (portRef CI (instanceRef blk000000c0))
+ (portRef Q (instanceRef blk000000c5))
+ )
+ )
+ (net sig0000026a
+ (joined
+ (portRef DI (instanceRef blk000000bf))
+ (portRef D (instanceRef blk00000108))
+ (portRef (member ADDRA 3) (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000004b8))
+ (portRef I0 (instanceRef blk000004dd))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000026b
+ (joined
+ (portRef S (instanceRef blk000000bf))
+ (portRef LI (instanceRef blk000000c0))
+ (portRef O (instanceRef blk000004dd))
+ )
+ )
+ (net sig0000026c
+ (joined
+ (portRef LO (instanceRef blk000000bf))
+ (portRef CI (instanceRef blk000000c1))
+ (portRef CI (instanceRef blk000000c3))
+ )
+ )
+ (net sig0000026d
+ (joined
+ (portRef O (instanceRef blk000000c0))
+ (portRef D (instanceRef blk000004b8))
+ )
+ )
+ (net sig0000026e
+ (joined
+ (portRef DI (instanceRef blk000000c1))
+ (portRef D (instanceRef blk00000109))
+ (portRef (member ADDRA 2) (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000004b9))
+ (portRef I0 (instanceRef blk000004de))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig0000026f
+ (joined
+ (portRef S (instanceRef blk000000c1))
+ (portRef LI (instanceRef blk000000c3))
+ (portRef O (instanceRef blk000004de))
+ )
+ )
+ (net sig00000270
+ (joined
+ (portRef LO (instanceRef blk000000c1))
+ (portRef CI (instanceRef blk000000c2))
+ (portRef CI (instanceRef blk000000c4))
+ )
+ )
+ (net sig00000271
+ (joined
+ (portRef DI (instanceRef blk000000c2))
+ (portRef D (instanceRef blk0000010a))
+ (portRef (member ADDRA 1) (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000004ba))
+ (portRef I0 (instanceRef blk000004df))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000272
+ (joined
+ (portRef S (instanceRef blk000000c2))
+ (portRef LI (instanceRef blk000000c4))
+ (portRef O (instanceRef blk000004df))
+ )
+ )
+ (net sig00000273
+ (joined
+ (portRef O (instanceRef blk000000c3))
+ (portRef D (instanceRef blk000004b9))
+ )
+ )
+ (net sig00000274
+ (joined
+ (portRef O (instanceRef blk000000c4))
+ (portRef D (instanceRef blk000004ba))
+ )
+ )
+ (net sig00000275
+ (joined
+ (portRef Q (instanceRef blk000000c6))
+ (portRef D (instanceRef blk0000010b))
+ (portRef (member ADDRA 0) (instanceRef blk000002b1))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig00000276
+ (joined
+ (portRef D (instanceRef blk000000c7))
+ (portRef O (instanceRef blk0000042d))
+ )
+ )
+ (net sig00000277
+ (joined
+ (portRef Q (instanceRef blk000000c7))
+ (portRef R (instanceRef blk000004b8))
+ (portRef R (instanceRef blk000004b9))
+ (portRef R (instanceRef blk000004ba))
+ )
+ )
+ (net sig00000278
+ (joined
+ (portRef CI (instanceRef blk000000c8))
+ (portRef LO (instanceRef blk000000ca))
+ (portRef D (instanceRef blk000000d0))
+ )
+ )
+ (net sig00000279
+ (joined
+ (portRef O (instanceRef blk000000c8))
+ (portRef D (instanceRef blk000000d1))
+ )
+ )
+ (net sig0000027a
+ (joined
+ (portRef CI (instanceRef blk000000c9))
+ (portRef O (instanceRef blk000000cb))
+ )
+ )
+ (net sig0000027b
+ (joined
+ (portRef S (instanceRef blk000000c9))
+ (portRef O (instanceRef blk0000042f))
+ )
+ )
+ (net sig0000027c
+ (joined
+ (portRef O (instanceRef blk000000c9))
+ (portRef CI (instanceRef blk000000ca))
+ )
+ )
+ (net sig0000027d
+ (joined
+ (portRef S (instanceRef blk000000ca))
+ (portRef O (instanceRef blk0000042e))
+ )
+ )
+ (net sig0000027e
+ (joined
+ (portRef CI (instanceRef blk000000cb))
+ (portRef O (instanceRef blk000000cc))
+ )
+ )
+ (net sig0000027f
+ (joined
+ (portRef S (instanceRef blk000000cb))
+ (portRef O (instanceRef blk00000430))
+ )
+ )
+ (net sig00000280
+ (joined
+ (portRef CI (instanceRef blk000000cc))
+ (portRef O (instanceRef blk000000cd))
+ )
+ )
+ (net sig00000281
+ (joined
+ (portRef DI (instanceRef blk000000cc))
+ (portRef O (instanceRef blk00000502))
+ )
+ )
+ (net sig00000282
+ (joined
+ (portRef S (instanceRef blk000000cc))
+ (portRef O (instanceRef blk000004fd))
+ )
+ )
+ (net sig00000283
+ (joined
+ (portRef CI (instanceRef blk000000cd))
+ (portRef O (instanceRef blk000000ce))
+ )
+ )
+ (net sig00000284
+ (joined
+ (portRef S (instanceRef blk000000cd))
+ (portRef O (instanceRef blk00000431))
+ )
+ )
+ (net sig00000285
+ (joined
+ (portRef CI (instanceRef blk000000ce))
+ (portRef O (instanceRef blk000000cf))
+ )
+ )
+ (net sig00000286
+ (joined
+ (portRef S (instanceRef blk000000ce))
+ (portRef O (instanceRef blk00000432))
+ )
+ )
+ (net sig00000287
+ (joined
+ (portRef DI (instanceRef blk000000cf))
+ (portRef O (instanceRef blk00000500))
+ )
+ )
+ (net sig00000288
+ (joined
+ (portRef S (instanceRef blk000000cf))
+ (portRef O (instanceRef blk00000503))
+ )
+ )
+ (net sig00000289
+ (joined
+ (portRef Q (instanceRef blk000000d1))
+ (portRef DI (instanceRef blk000000d6))
+ (portRef I3 (instanceRef blk000004f2))
+ )
+ )
+ (net sig0000028a
+ (joined
+ (portRef CI (instanceRef blk000000d2))
+ (portRef LO (instanceRef blk000000d3))
+ (portRef D (instanceRef blk000000d9))
+ )
+ )
+ (net sig0000028b
+ (joined
+ (portRef O (instanceRef blk000000d2))
+ (portRef D (instanceRef blk000000da))
+ )
+ )
+ (net sig0000028c
+ (joined
+ (portRef CI (instanceRef blk000000d3))
+ (portRef O (instanceRef blk000000d4))
+ )
+ )
+ (net sig0000028d
+ (joined
+ (portRef S (instanceRef blk000000d3))
+ (portRef O (instanceRef blk00000434))
+ )
+ )
+ (net sig0000028e
+ (joined
+ (portRef CI (instanceRef blk000000d4))
+ (portRef O (instanceRef blk000000d5))
+ )
+ )
+ (net sig0000028f
+ (joined
+ (portRef S (instanceRef blk000000d4))
+ (portRef O (instanceRef blk00000433))
+ )
+ )
+ (net sig00000290
+ (joined
+ (portRef CI (instanceRef blk000000d5))
+ (portRef O (instanceRef blk000000d6))
+ )
+ )
+ (net sig00000291
+ (joined
+ (portRef DI (instanceRef blk000000d5))
+ (portRef Q (instanceRef blk000004ed))
+ (portRef I0 (instanceRef blk000004f3))
+ (portRef I (instanceRef blk00000502))
+ )
+ )
+ (net sig00000292
+ (joined
+ (portRef S (instanceRef blk000000d5))
+ (portRef O (instanceRef blk00000435))
+ )
+ )
+ (net sig00000293
+ (joined
+ (portRef CI (instanceRef blk000000d6))
+ (portRef O (instanceRef blk000000d7))
+ )
+ )
+ (net sig00000294
+ (joined
+ (portRef S (instanceRef blk000000d6))
+ (portRef O (instanceRef blk00000436))
+ )
+ )
+ (net sig00000295
+ (joined
+ (portRef CI (instanceRef blk000000d7))
+ (portRef O (instanceRef blk000000d8))
+ )
+ )
+ (net sig00000296
+ (joined
+ (portRef DI (instanceRef blk000000d7))
+ (portRef O (instanceRef blk00000501))
+ )
+ )
+ (net sig00000297
+ (joined
+ (portRef S (instanceRef blk000000d7))
+ (portRef O (instanceRef blk00000437))
+ )
+ )
+ (net sig00000298
+ (joined
+ (portRef DI (instanceRef blk000000d8))
+ (portRef Q (instanceRef blk000004ec))
+ (portRef I0 (instanceRef blk000004f2))
+ (portRef I (instanceRef blk00000500))
+ )
+ )
+ (net sig00000299
+ (joined
+ (portRef S (instanceRef blk000000d8))
+ (portRef O (instanceRef blk000004fe))
+ )
+ )
+ (net sig0000029a
+ (joined
+ (portRef LI (instanceRef blk000000db))
+ (portRef S (instanceRef blk000000de))
+ (portRef O (instanceRef blk00000438))
+ )
+ )
+ (net sig0000029b
+ (joined
+ (portRef O (instanceRef blk000000db))
+ (portRef D (instanceRef blk000004bd))
+ )
+ )
+ (net sig0000029c
+ (joined
+ (portRef CI (instanceRef blk000000dc))
+ (portRef CI (instanceRef blk000000dd))
+ (portRef LO (instanceRef blk000000de))
+ )
+ )
+ (net sig0000029d
+ (joined
+ (portRef LI (instanceRef blk000000dc))
+ (portRef S (instanceRef blk000000dd))
+ (portRef O (instanceRef blk000004e0))
+ )
+ )
+ (net sig0000029e
+ (joined
+ (portRef O (instanceRef blk000000dc))
+ (portRef D (instanceRef blk000004bc))
+ )
+ )
+ (net sig0000029f
+ (joined
+ (portRef DI (instanceRef blk000000dd))
+ (portRef I0 (instanceRef blk0000041b))
+ (portRef Q (instanceRef blk000004bc))
+ (portRef I0 (instanceRef blk000004e0))
+ )
+ )
+ (net sig000002a0
+ (joined
+ (portRef DI (instanceRef blk000000de))
+ (portRef I1 (instanceRef blk0000041b))
+ (portRef I0 (instanceRef blk00000438))
+ (portRef Q (instanceRef blk000004bd))
+ )
+ )
+ (net sig000002a1
+ (joined
+ (portRef D (instanceRef blk000000df))
+ (portRef LO (instanceRef blk000000e0))
+ )
+ )
+ (net sig000002a2
+ (joined
+ (portRef Q (instanceRef blk000000df))
+ (portRef DI (instanceRef blk000000e0))
+ (portRef I1 (instanceRef blk00000420))
+ (portRef I1 (instanceRef blk000004ee))
+ )
+ )
+ (net sig000002a3
+ (joined
+ (portRef CI (instanceRef blk000000e0))
+ (portRef O (instanceRef blk000000e1))
+ )
+ )
+ (net sig000002a4
+ (joined
+ (portRef S (instanceRef blk000000e0))
+ (portRef O (instanceRef blk0000043a))
+ )
+ )
+ (net sig000002a5
+ (joined
+ (portRef O (instanceRef blk000000e0))
+ (portRef CI (instanceRef blk000000e2))
+ )
+ )
+ (net sig000002a6
+ (joined
+ (portRef S (instanceRef blk000000e1))
+ (portRef O (instanceRef blk00000439))
+ )
+ )
+ (net sig000002a7
+ (joined
+ (portRef S (instanceRef blk000000e2))
+ (portRef O (instanceRef blk000004e1))
+ )
+ )
+ (net sig000002a8
+ (joined
+ (portRef LO (instanceRef blk000000e2))
+ (portRef D (instanceRef blk000000e3))
+ )
+ )
+ (net sig000002a9
+ (joined
+ (portRef Q (instanceRef blk000000e3))
+ (portRef I1 (instanceRef blk0000043b))
+ (portRef I3 (instanceRef blk0000043c))
+ (portRef I1 (instanceRef blk0000043d))
+ )
+ )
+ (net sig000002aa
+ (joined
+ (portRef CI (instanceRef blk000000e4))
+ (portRef O (instanceRef blk000000e6))
+ (portRef CI (instanceRef blk000000e8))
+ )
+ )
+ (net sig000002ab
+ (joined
+ (portRef LI (instanceRef blk000000e4))
+ (portRef S (instanceRef blk000000e8))
+ (portRef O (instanceRef blk0000043b))
+ )
+ )
+ (net sig000002ac
+ (joined
+ (portRef O (instanceRef blk000000e4))
+ (portRef D (instanceRef blk000004bf))
+ )
+ )
+ (net sig000002ad
+ (joined
+ (portRef CI (instanceRef blk000000e5))
+ (portRef CI (instanceRef blk000000e7))
+ (portRef LO (instanceRef blk000000e8))
+ )
+ )
+ (net sig000002ae
+ (joined
+ (portRef LI (instanceRef blk000000e5))
+ (portRef S (instanceRef blk000000e7))
+ (portRef O (instanceRef blk0000043c))
+ )
+ )
+ (net sig000002af
+ (joined
+ (portRef O (instanceRef blk000000e5))
+ (portRef D (instanceRef blk000004be))
+ )
+ )
+ (net sig000002b0
+ (joined
+ (portRef S (instanceRef blk000000e6))
+ (portRef O (instanceRef blk0000043d))
+ )
+ )
+ (net sig000002b1
+ (joined
+ (portRef LI (instanceRef blk000000e9))
+ (portRef S (instanceRef blk000000ec))
+ (portRef O (instanceRef blk000004e2))
+ )
+ )
+ (net sig000002b2
+ (joined
+ (portRef O (instanceRef blk000000e9))
+ (portRef D (instanceRef blk000004c1))
+ )
+ )
+ (net sig000002b3
+ (joined
+ (portRef CI (instanceRef blk000000ea))
+ (portRef CI (instanceRef blk000000eb))
+ (portRef LO (instanceRef blk000000ec))
+ )
+ )
+ (net sig000002b4
+ (joined
+ (portRef LI (instanceRef blk000000ea))
+ (portRef S (instanceRef blk000000eb))
+ (portRef O (instanceRef blk0000043e))
+ )
+ )
+ (net sig000002b5
+ (joined
+ (portRef O (instanceRef blk000000ea))
+ (portRef D (instanceRef blk000004c0))
+ )
+ )
+ (net sig000002b6
+ (joined
+ (portRef DI (instanceRef blk000000eb))
+ (portRef I0 (instanceRef blk00000410))
+ (portRef I0 (instanceRef blk0000043e))
+ (portRef Q (instanceRef blk000004c0))
+ )
+ )
+ (net sig000002b7
+ (joined
+ (portRef DI (instanceRef blk000000ec))
+ (portRef I1 (instanceRef blk00000410))
+ (portRef I0 (instanceRef blk00000417))
+ (portRef Q (instanceRef blk000004c1))
+ (portRef I0 (instanceRef blk000004e2))
+ )
+ )
+ (net sig000002b8
+ (joined
+ (portRef D (instanceRef blk000000ed))
+ (portRef O (instanceRef blk0000043f))
+ )
+ )
+ (net sig000002b9
+ (joined
+ (portRef Q (instanceRef blk000000ed))
+ (portRef D (instanceRef blk00000530))
+ )
+ )
+ (net sig000002ba
+ (joined
+ (portRef CI (instanceRef blk000000ee))
+ (portRef O (instanceRef blk000000f1))
+ (portRef CI (instanceRef blk000000f4))
+ )
+ )
+ (net sig000002bb
+ (joined
+ (portRef LI (instanceRef blk000000ee))
+ (portRef S (instanceRef blk000000f4))
+ (portRef O (instanceRef blk00000440))
+ )
+ )
+ (net sig000002bc
+ (joined
+ (portRef O (instanceRef blk000000ee))
+ (portRef D (instanceRef blk000004c5))
+ )
+ )
+ (net sig000002bd
+ (joined
+ (portRef CI (instanceRef blk000000ef))
+ (portRef CI (instanceRef blk000000f2))
+ (portRef LO (instanceRef blk000000f3))
+ )
+ )
+ (net sig000002be
+ (joined
+ (portRef LI (instanceRef blk000000ef))
+ (portRef S (instanceRef blk000000f2))
+ (portRef O (instanceRef blk00000442))
+ )
+ )
+ (net sig000002bf
+ (joined
+ (portRef O (instanceRef blk000000ef))
+ (portRef D (instanceRef blk000004c3))
+ )
+ )
+ (net sig000002c0
+ (joined
+ (portRef CI (instanceRef blk000000f0))
+ (portRef CI (instanceRef blk000000f3))
+ (portRef LO (instanceRef blk000000f4))
+ )
+ )
+ (net sig000002c1
+ (joined
+ (portRef LI (instanceRef blk000000f0))
+ (portRef S (instanceRef blk000000f3))
+ (portRef O (instanceRef blk00000441))
+ )
+ )
+ (net sig000002c2
+ (joined
+ (portRef O (instanceRef blk000000f0))
+ (portRef D (instanceRef blk000004c4))
+ )
+ )
+ (net sig000002c3
+ (joined
+ (portRef S (instanceRef blk000000f1))
+ (portRef O (instanceRef blk00000443))
+ )
+ )
+ (net sig000002c4
+ (joined
+ (portRef DI (instanceRef blk000000f2))
+ (portRef D (instanceRef blk0000010d))
+ (portRef (member ADDR 0) (instanceRef blk000001e5))
+ (portRef (member ADDR 0) (instanceRef blk0000024b))
+ (portRef I0 (instanceRef blk00000442))
+ (portRef Q (instanceRef blk000004c3))
+ )
+ )
+ (net sig000002c5
+ (joined
+ (portRef DI (instanceRef blk000000f3))
+ (portRef D (instanceRef blk0000010e))
+ (portRef (member ADDR 1) (instanceRef blk000001e5))
+ (portRef (member ADDR 1) (instanceRef blk0000024b))
+ (portRef I2 (instanceRef blk00000441))
+ (portRef Q (instanceRef blk000004c4))
+ )
+ )
+ (net sig000002c6
+ (joined
+ (portRef DI (instanceRef blk000000f4))
+ (portRef D (instanceRef blk0000010f))
+ (portRef (member ADDR 2) (instanceRef blk000001e5))
+ (portRef (member ADDR 2) (instanceRef blk0000024b))
+ (portRef I2 (instanceRef blk00000440))
+ (portRef Q (instanceRef blk000004c5))
+ )
+ )
+ (net sig000002c7
+ (joined
+ (portRef LI (instanceRef blk000000f5))
+ (portRef S (instanceRef blk000000fa))
+ (portRef O (instanceRef blk00000445))
+ )
+ )
+ (net sig000002c8
+ (joined
+ (portRef O (instanceRef blk000000f5))
+ (portRef D (instanceRef blk000004c8))
+ )
+ )
+ (net sig000002c9
+ (joined
+ (portRef CI (instanceRef blk000000f6))
+ (portRef CI (instanceRef blk000000f8))
+ (portRef LO (instanceRef blk000000f9))
+ )
+ )
+ (net sig000002ca
+ (joined
+ (portRef LI (instanceRef blk000000f6))
+ (portRef S (instanceRef blk000000f8))
+ (portRef O (instanceRef blk00000446))
+ )
+ )
+ (net sig000002cb
+ (joined
+ (portRef O (instanceRef blk000000f6))
+ (portRef D (instanceRef blk000004c6))
+ )
+ )
+ (net sig000002cc
+ (joined
+ (portRef CI (instanceRef blk000000f7))
+ (portRef CI (instanceRef blk000000f9))
+ (portRef LO (instanceRef blk000000fa))
+ )
+ )
+ (net sig000002cd
+ (joined
+ (portRef LI (instanceRef blk000000f7))
+ (portRef S (instanceRef blk000000f9))
+ (portRef O (instanceRef blk00000444))
+ )
+ )
+ (net sig000002ce
+ (joined
+ (portRef O (instanceRef blk000000f7))
+ (portRef D (instanceRef blk000004c7))
+ )
+ )
+ (net sig000002cf
+ (joined
+ (portRef DI (instanceRef blk000000f8))
+ (portRef D (instanceRef blk00000116))
+ (portRef (member ADDR 0) (instanceRef blk00000218))
+ (portRef (member ADDR 0) (instanceRef blk0000027e))
+ (portRef I0 (instanceRef blk00000446))
+ (portRef Q (instanceRef blk000004c6))
+ )
+ )
+ (net sig000002d0
+ (joined
+ (portRef DI (instanceRef blk000000f9))
+ (portRef D (instanceRef blk00000117))
+ (portRef (member ADDR 1) (instanceRef blk00000218))
+ (portRef (member ADDR 1) (instanceRef blk0000027e))
+ (portRef I1 (instanceRef blk00000444))
+ (portRef Q (instanceRef blk000004c7))
+ )
+ )
+ (net sig000002d1
+ (joined
+ (portRef DI (instanceRef blk000000fa))
+ (portRef D (instanceRef blk00000118))
+ (portRef (member ADDR 2) (instanceRef blk00000218))
+ (portRef (member ADDR 2) (instanceRef blk0000027e))
+ (portRef I1 (instanceRef blk00000445))
+ (portRef Q (instanceRef blk000004c8))
+ )
+ )
+ (net sig000002d2
+ (joined
+ (portRef CI (instanceRef blk000000fb))
+ (portRef CI (instanceRef blk000000fc))
+ (portRef Q (instanceRef blk00000101))
+ )
+ )
+ (net sig000002d3
+ (joined
+ (portRef DI (instanceRef blk000000fb))
+ (portRef D (instanceRef blk00000114))
+ (portRef (member ADDRB 3) (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000004c9))
+ (portRef I0 (instanceRef blk000004e3))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002d4
+ (joined
+ (portRef S (instanceRef blk000000fb))
+ (portRef LI (instanceRef blk000000fc))
+ (portRef O (instanceRef blk000004e3))
+ )
+ )
+ (net sig000002d5
+ (joined
+ (portRef LO (instanceRef blk000000fb))
+ (portRef CI (instanceRef blk000000fd))
+ (portRef CI (instanceRef blk000000ff))
+ )
+ )
+ (net sig000002d6
+ (joined
+ (portRef O (instanceRef blk000000fc))
+ (portRef D (instanceRef blk000004c9))
+ )
+ )
+ (net sig000002d7
+ (joined
+ (portRef DI (instanceRef blk000000fd))
+ (portRef D (instanceRef blk00000113))
+ (portRef (member ADDRB 2) (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000004ca))
+ (portRef I0 (instanceRef blk000004e4))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002d8
+ (joined
+ (portRef S (instanceRef blk000000fd))
+ (portRef LI (instanceRef blk000000ff))
+ (portRef O (instanceRef blk000004e4))
+ )
+ )
+ (net sig000002d9
+ (joined
+ (portRef LO (instanceRef blk000000fd))
+ (portRef CI (instanceRef blk000000fe))
+ (portRef CI (instanceRef blk00000100))
+ )
+ )
+ (net sig000002da
+ (joined
+ (portRef DI (instanceRef blk000000fe))
+ (portRef D (instanceRef blk00000112))
+ (portRef (member ADDRB 1) (instanceRef blk000002b1))
+ (portRef Q (instanceRef blk000004cb))
+ (portRef I0 (instanceRef blk000004e5))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002db
+ (joined
+ (portRef S (instanceRef blk000000fe))
+ (portRef LI (instanceRef blk00000100))
+ (portRef O (instanceRef blk000004e5))
+ )
+ )
+ (net sig000002dc
+ (joined
+ (portRef O (instanceRef blk000000ff))
+ (portRef D (instanceRef blk000004ca))
+ )
+ )
+ (net sig000002dd
+ (joined
+ (portRef O (instanceRef blk00000100))
+ (portRef D (instanceRef blk000004cb))
+ )
+ )
+ (net sig000002de
+ (joined
+ (portRef D (instanceRef blk00000101))
+ (portRef I1 (instanceRef blk00000440))
+ (portRef I1 (instanceRef blk00000441))
+ (portRef I1 (instanceRef blk00000442))
+ (portRef I0 (instanceRef blk00000443))
+ (portRef I0 (instanceRef blk00000444))
+ (portRef I0 (instanceRef blk00000445))
+ (portRef I1 (instanceRef blk00000446))
+ (portRef Q (instanceRef blk00000533))
+ )
+ )
+ (net sig000002df
+ (joined
+ (portRef D (instanceRef blk00000102))
+ (portRef R (instanceRef blk000004c3))
+ (portRef R (instanceRef blk000004c4))
+ (portRef R (instanceRef blk000004c5))
+ (portRef S (instanceRef blk000004c6))
+ (portRef S (instanceRef blk000004c7))
+ (portRef S (instanceRef blk000004c8))
+ (portRef Q (instanceRef blk00000531))
+ )
+ )
+ (net sig000002e0
+ (joined
+ (portRef Q (instanceRef blk00000102))
+ (portRef R (instanceRef blk000004c9))
+ (portRef R (instanceRef blk000004ca))
+ (portRef R (instanceRef blk000004cb))
+ )
+ )
+ (net sig000002e1
+ (joined
+ (portRef Q (instanceRef blk00000103))
+ (portRef D (instanceRef blk00000111))
+ (portRef (member ADDRB 0) (instanceRef blk000002b1))
+ )
+ (property NOMERGE (boolean (true)) (owner "Xilinx"))
+ )
+ (net sig000002e2
+ (joined
+ (portRef B_17_ (instanceRef blk00000104))
+ (portRef B_17_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 0) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e3
+ (joined
+ (portRef B_16_ (instanceRef blk00000104))
+ (portRef B_16_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 1) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e4
+ (joined
+ (portRef B_15_ (instanceRef blk00000104))
+ (portRef B_15_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 2) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e5
+ (joined
+ (portRef B_14_ (instanceRef blk00000104))
+ (portRef B_14_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 3) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e6
+ (joined
+ (portRef B_13_ (instanceRef blk00000104))
+ (portRef B_13_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 4) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e7
+ (joined
+ (portRef B_12_ (instanceRef blk00000104))
+ (portRef B_12_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 5) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e8
+ (joined
+ (portRef B_11_ (instanceRef blk00000104))
+ (portRef B_11_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 6) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002e9
+ (joined
+ (portRef B_10_ (instanceRef blk00000104))
+ (portRef B_10_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 7) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002ea
+ (joined
+ (portRef B_9_ (instanceRef blk00000104))
+ (portRef B_9_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 8) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002eb
+ (joined
+ (portRef B_8_ (instanceRef blk00000104))
+ (portRef B_8_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 9) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002ec
+ (joined
+ (portRef B_7_ (instanceRef blk00000104))
+ (portRef B_7_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 10) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002ed
+ (joined
+ (portRef B_6_ (instanceRef blk00000104))
+ (portRef B_6_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 11) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002ee
+ (joined
+ (portRef B_5_ (instanceRef blk00000104))
+ (portRef B_5_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 12) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002ef
+ (joined
+ (portRef B_4_ (instanceRef blk00000104))
+ (portRef B_4_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 13) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002f0
+ (joined
+ (portRef B_3_ (instanceRef blk00000104))
+ (portRef B_3_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 14) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002f1
+ (joined
+ (portRef B_2_ (instanceRef blk00000104))
+ (portRef B_2_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 15) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002f2
+ (joined
+ (portRef B_1_ (instanceRef blk00000104))
+ (portRef B_1_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 16) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002f3
+ (joined
+ (portRef B_0_ (instanceRef blk00000104))
+ (portRef B_0_ (instanceRef blk00000105))
+ (portRef (member DB_OUT 17) (instanceRef blk000002b1))
+ )
+ )
+ (net sig000002f4
+ (joined
+ (portRef D_24_ (instanceRef blk00000104))
+ (portRef D_23_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 0) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 0) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002f5
+ (joined
+ (portRef D_22_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 1) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 1) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002f6
+ (joined
+ (portRef D_21_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 2) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 2) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002f7
+ (joined
+ (portRef D_20_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 3) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 3) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002f8
+ (joined
+ (portRef D_19_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 4) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 4) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002f9
+ (joined
+ (portRef D_18_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 5) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 5) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002fa
+ (joined
+ (portRef D_17_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 6) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 6) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002fb
+ (joined
+ (portRef D_16_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 7) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 7) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002fc
+ (joined
+ (portRef D_15_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 8) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 8) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002fd
+ (joined
+ (portRef D_14_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 9) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 9) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002fe
+ (joined
+ (portRef D_13_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 10) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 10) (instanceRef blk000001e5))
+ )
+ )
+ (net sig000002ff
+ (joined
+ (portRef D_12_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 11) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 11) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000300
+ (joined
+ (portRef D_11_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 12) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 12) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000301
+ (joined
+ (portRef D_10_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 13) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 13) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000302
+ (joined
+ (portRef D_9_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 14) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 14) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000303
+ (joined
+ (portRef D_8_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 15) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 15) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000304
+ (joined
+ (portRef D_7_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 16) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 16) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000305
+ (joined
+ (portRef D_6_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 17) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 17) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000306
+ (joined
+ (portRef D_5_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 18) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 18) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000307
+ (joined
+ (portRef D_4_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 19) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 19) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000308
+ (joined
+ (portRef D_3_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 20) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 20) (instanceRef blk000001e5))
+ )
+ )
+ (net sig00000309
+ (joined
+ (portRef D_2_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 21) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 21) (instanceRef blk000001e5))
+ )
+ )
+ (net sig0000030a
+ (joined
+ (portRef D_1_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 22) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 22) (instanceRef blk000001e5))
+ )
+ )
+ (net sig0000030b
+ (joined
+ (portRef D_0_ (instanceRef blk00000104))
+ (portRef (member DATA_IN 23) (instanceRef blk00000119))
+ (portRef (member DATA_OUT 23) (instanceRef blk000001e5))
+ )
+ )
+ (net sig0000030c
+ (joined
+ (portRef A_29_ (instanceRef blk00000104))
+ (portRef A_28_ (instanceRef blk00000104))
+ (portRef A_27_ (instanceRef blk00000104))
+ (portRef A_26_ (instanceRef blk00000104))
+ (portRef A_25_ (instanceRef blk00000104))
+ (portRef A_24_ (instanceRef blk00000104))
+ (portRef A_23_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000030d
+ (joined
+ (portRef A_22_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000030e
+ (joined
+ (portRef A_21_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000030f
+ (joined
+ (portRef A_20_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000310
+ (joined
+ (portRef A_19_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000311
+ (joined
+ (portRef A_18_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000312
+ (joined
+ (portRef A_17_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000313
+ (joined
+ (portRef A_16_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000314
+ (joined
+ (portRef A_15_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000315
+ (joined
+ (portRef A_14_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000316
+ (joined
+ (portRef A_13_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000317
+ (joined
+ (portRef A_12_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000318
+ (joined
+ (portRef A_11_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000319
+ (joined
+ (portRef A_10_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000031a
+ (joined
+ (portRef A_9_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000031b
+ (joined
+ (portRef A_8_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000031c
+ (joined
+ (portRef A_7_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000031d
+ (joined
+ (portRef A_6_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000031e
+ (joined
+ (portRef A_5_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000218))
+ )
+ )
+ (net sig0000031f
+ (joined
+ (portRef A_4_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000320
+ (joined
+ (portRef A_3_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000321
+ (joined
+ (portRef A_2_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000322
+ (joined
+ (portRef A_1_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000323
+ (joined
+ (portRef A_0_ (instanceRef blk00000104))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000218))
+ )
+ )
+ (net sig00000324
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk00000104))
+ (portRef PCIN_47_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000325
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk00000104))
+ (portRef PCIN_46_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000326
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk00000104))
+ (portRef PCIN_45_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000327
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk00000104))
+ (portRef PCIN_44_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000328
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk00000104))
+ (portRef PCIN_43_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000329
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk00000104))
+ (portRef PCIN_42_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000032a
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk00000104))
+ (portRef PCIN_41_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000032b
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk00000104))
+ (portRef PCIN_40_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000032c
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk00000104))
+ (portRef PCIN_39_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000032d
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk00000104))
+ (portRef PCIN_38_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000032e
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk00000104))
+ (portRef PCIN_37_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000032f
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk00000104))
+ (portRef PCIN_36_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000330
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk00000104))
+ (portRef PCIN_35_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000331
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk00000104))
+ (portRef PCIN_34_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000332
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk00000104))
+ (portRef PCIN_33_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000333
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk00000104))
+ (portRef PCIN_32_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000334
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk00000104))
+ (portRef PCIN_31_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000335
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk00000104))
+ (portRef PCIN_30_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000336
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk00000104))
+ (portRef PCIN_29_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000337
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk00000104))
+ (portRef PCIN_28_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000338
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk00000104))
+ (portRef PCIN_27_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000339
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk00000104))
+ (portRef PCIN_26_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000033a
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk00000104))
+ (portRef PCIN_25_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000033b
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk00000104))
+ (portRef PCIN_24_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000033c
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk00000104))
+ (portRef PCIN_23_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000033d
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk00000104))
+ (portRef PCIN_22_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000033e
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk00000104))
+ (portRef PCIN_21_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000033f
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk00000104))
+ (portRef PCIN_20_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000340
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk00000104))
+ (portRef PCIN_19_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000341
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk00000104))
+ (portRef PCIN_18_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000342
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk00000104))
+ (portRef PCIN_17_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000343
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk00000104))
+ (portRef PCIN_16_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000344
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk00000104))
+ (portRef PCIN_15_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000345
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk00000104))
+ (portRef PCIN_14_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000346
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk00000104))
+ (portRef PCIN_13_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000347
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk00000104))
+ (portRef PCIN_12_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000348
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk00000104))
+ (portRef PCIN_11_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000349
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk00000104))
+ (portRef PCIN_10_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000034a
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk00000104))
+ (portRef PCIN_9_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000034b
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk00000104))
+ (portRef PCIN_8_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000034c
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk00000104))
+ (portRef PCIN_7_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000034d
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk00000104))
+ (portRef PCIN_6_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000034e
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk00000104))
+ (portRef PCIN_5_ (instanceRef blk00000106))
+ )
+ )
+ (net sig0000034f
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk00000104))
+ (portRef PCIN_4_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000350
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk00000104))
+ (portRef PCIN_3_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000351
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk00000104))
+ (portRef PCIN_2_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000352
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk00000104))
+ (portRef PCIN_1_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000353
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk00000104))
+ (portRef PCIN_0_ (instanceRef blk00000106))
+ )
+ )
+ (net sig00000354
+ (joined
+ (portRef D_24_ (instanceRef blk00000105))
+ (portRef D_23_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 0) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000355
+ (joined
+ (portRef D_22_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 1) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000356
+ (joined
+ (portRef D_21_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 2) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000357
+ (joined
+ (portRef D_20_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 3) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000358
+ (joined
+ (portRef D_19_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 4) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000359
+ (joined
+ (portRef D_18_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 5) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000035a
+ (joined
+ (portRef D_17_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 6) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000035b
+ (joined
+ (portRef D_16_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 7) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000035c
+ (joined
+ (portRef D_15_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 8) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000035d
+ (joined
+ (portRef D_14_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 9) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000035e
+ (joined
+ (portRef D_13_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 10) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000035f
+ (joined
+ (portRef D_12_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 11) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000360
+ (joined
+ (portRef D_11_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 12) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000361
+ (joined
+ (portRef D_10_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 13) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000362
+ (joined
+ (portRef D_9_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 14) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000363
+ (joined
+ (portRef D_8_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 15) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000364
+ (joined
+ (portRef D_7_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 16) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000365
+ (joined
+ (portRef D_6_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 17) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000366
+ (joined
+ (portRef D_5_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 18) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000367
+ (joined
+ (portRef D_4_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 19) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000368
+ (joined
+ (portRef D_3_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 20) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000024b))
+ )
+ )
+ (net sig00000369
+ (joined
+ (portRef D_2_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 21) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000036a
+ (joined
+ (portRef D_1_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 22) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000036b
+ (joined
+ (portRef D_0_ (instanceRef blk00000105))
+ (portRef (member DATA_IN 23) (instanceRef blk0000017f))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000024b))
+ )
+ )
+ (net sig0000036c
+ (joined
+ (portRef A_29_ (instanceRef blk00000105))
+ (portRef A_28_ (instanceRef blk00000105))
+ (portRef A_27_ (instanceRef blk00000105))
+ (portRef A_26_ (instanceRef blk00000105))
+ (portRef A_25_ (instanceRef blk00000105))
+ (portRef A_24_ (instanceRef blk00000105))
+ (portRef A_23_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000036d
+ (joined
+ (portRef A_22_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000036e
+ (joined
+ (portRef A_21_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000036f
+ (joined
+ (portRef A_20_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000370
+ (joined
+ (portRef A_19_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000371
+ (joined
+ (portRef A_18_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000372
+ (joined
+ (portRef A_17_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000373
+ (joined
+ (portRef A_16_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000374
+ (joined
+ (portRef A_15_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000375
+ (joined
+ (portRef A_14_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000376
+ (joined
+ (portRef A_13_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000377
+ (joined
+ (portRef A_12_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000378
+ (joined
+ (portRef A_11_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000379
+ (joined
+ (portRef A_10_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000037a
+ (joined
+ (portRef A_9_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000037b
+ (joined
+ (portRef A_8_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000037c
+ (joined
+ (portRef A_7_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000037d
+ (joined
+ (portRef A_6_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000037e
+ (joined
+ (portRef A_5_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000027e))
+ )
+ )
+ (net sig0000037f
+ (joined
+ (portRef A_4_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000380
+ (joined
+ (portRef A_3_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000381
+ (joined
+ (portRef A_2_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000382
+ (joined
+ (portRef A_1_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000383
+ (joined
+ (portRef A_0_ (instanceRef blk00000105))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000027e))
+ )
+ )
+ (net sig00000384
+ (joined
+ (portRef PCOUT_47_ (instanceRef blk00000105))
+ (portRef PCIN_47_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000385
+ (joined
+ (portRef PCOUT_46_ (instanceRef blk00000105))
+ (portRef PCIN_46_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000386
+ (joined
+ (portRef PCOUT_45_ (instanceRef blk00000105))
+ (portRef PCIN_45_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000387
+ (joined
+ (portRef PCOUT_44_ (instanceRef blk00000105))
+ (portRef PCIN_44_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000388
+ (joined
+ (portRef PCOUT_43_ (instanceRef blk00000105))
+ (portRef PCIN_43_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000389
+ (joined
+ (portRef PCOUT_42_ (instanceRef blk00000105))
+ (portRef PCIN_42_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000038a
+ (joined
+ (portRef PCOUT_41_ (instanceRef blk00000105))
+ (portRef PCIN_41_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000038b
+ (joined
+ (portRef PCOUT_40_ (instanceRef blk00000105))
+ (portRef PCIN_40_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000038c
+ (joined
+ (portRef PCOUT_39_ (instanceRef blk00000105))
+ (portRef PCIN_39_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000038d
+ (joined
+ (portRef PCOUT_38_ (instanceRef blk00000105))
+ (portRef PCIN_38_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000038e
+ (joined
+ (portRef PCOUT_37_ (instanceRef blk00000105))
+ (portRef PCIN_37_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000038f
+ (joined
+ (portRef PCOUT_36_ (instanceRef blk00000105))
+ (portRef PCIN_36_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000390
+ (joined
+ (portRef PCOUT_35_ (instanceRef blk00000105))
+ (portRef PCIN_35_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000391
+ (joined
+ (portRef PCOUT_34_ (instanceRef blk00000105))
+ (portRef PCIN_34_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000392
+ (joined
+ (portRef PCOUT_33_ (instanceRef blk00000105))
+ (portRef PCIN_33_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000393
+ (joined
+ (portRef PCOUT_32_ (instanceRef blk00000105))
+ (portRef PCIN_32_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000394
+ (joined
+ (portRef PCOUT_31_ (instanceRef blk00000105))
+ (portRef PCIN_31_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000395
+ (joined
+ (portRef PCOUT_30_ (instanceRef blk00000105))
+ (portRef PCIN_30_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000396
+ (joined
+ (portRef PCOUT_29_ (instanceRef blk00000105))
+ (portRef PCIN_29_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000397
+ (joined
+ (portRef PCOUT_28_ (instanceRef blk00000105))
+ (portRef PCIN_28_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000398
+ (joined
+ (portRef PCOUT_27_ (instanceRef blk00000105))
+ (portRef PCIN_27_ (instanceRef blk00000107))
+ )
+ )
+ (net sig00000399
+ (joined
+ (portRef PCOUT_26_ (instanceRef blk00000105))
+ (portRef PCIN_26_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000039a
+ (joined
+ (portRef PCOUT_25_ (instanceRef blk00000105))
+ (portRef PCIN_25_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000039b
+ (joined
+ (portRef PCOUT_24_ (instanceRef blk00000105))
+ (portRef PCIN_24_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000039c
+ (joined
+ (portRef PCOUT_23_ (instanceRef blk00000105))
+ (portRef PCIN_23_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000039d
+ (joined
+ (portRef PCOUT_22_ (instanceRef blk00000105))
+ (portRef PCIN_22_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000039e
+ (joined
+ (portRef PCOUT_21_ (instanceRef blk00000105))
+ (portRef PCIN_21_ (instanceRef blk00000107))
+ )
+ )
+ (net sig0000039f
+ (joined
+ (portRef PCOUT_20_ (instanceRef blk00000105))
+ (portRef PCIN_20_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a0
+ (joined
+ (portRef PCOUT_19_ (instanceRef blk00000105))
+ (portRef PCIN_19_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a1
+ (joined
+ (portRef PCOUT_18_ (instanceRef blk00000105))
+ (portRef PCIN_18_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a2
+ (joined
+ (portRef PCOUT_17_ (instanceRef blk00000105))
+ (portRef PCIN_17_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a3
+ (joined
+ (portRef PCOUT_16_ (instanceRef blk00000105))
+ (portRef PCIN_16_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a4
+ (joined
+ (portRef PCOUT_15_ (instanceRef blk00000105))
+ (portRef PCIN_15_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a5
+ (joined
+ (portRef PCOUT_14_ (instanceRef blk00000105))
+ (portRef PCIN_14_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a6
+ (joined
+ (portRef PCOUT_13_ (instanceRef blk00000105))
+ (portRef PCIN_13_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a7
+ (joined
+ (portRef PCOUT_12_ (instanceRef blk00000105))
+ (portRef PCIN_12_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a8
+ (joined
+ (portRef PCOUT_11_ (instanceRef blk00000105))
+ (portRef PCIN_11_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003a9
+ (joined
+ (portRef PCOUT_10_ (instanceRef blk00000105))
+ (portRef PCIN_10_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003aa
+ (joined
+ (portRef PCOUT_9_ (instanceRef blk00000105))
+ (portRef PCIN_9_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003ab
+ (joined
+ (portRef PCOUT_8_ (instanceRef blk00000105))
+ (portRef PCIN_8_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003ac
+ (joined
+ (portRef PCOUT_7_ (instanceRef blk00000105))
+ (portRef PCIN_7_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003ad
+ (joined
+ (portRef PCOUT_6_ (instanceRef blk00000105))
+ (portRef PCIN_6_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003ae
+ (joined
+ (portRef PCOUT_5_ (instanceRef blk00000105))
+ (portRef PCIN_5_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003af
+ (joined
+ (portRef PCOUT_4_ (instanceRef blk00000105))
+ (portRef PCIN_4_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003b0
+ (joined
+ (portRef PCOUT_3_ (instanceRef blk00000105))
+ (portRef PCIN_3_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003b1
+ (joined
+ (portRef PCOUT_2_ (instanceRef blk00000105))
+ (portRef PCIN_2_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003b2
+ (joined
+ (portRef PCOUT_1_ (instanceRef blk00000105))
+ (portRef PCIN_1_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003b3
+ (joined
+ (portRef PCOUT_0_ (instanceRef blk00000105))
+ (portRef PCIN_0_ (instanceRef blk00000107))
+ )
+ )
+ (net sig000003b4
+ (joined
+ (portRef B_17_ (instanceRef blk00000106))
+ (portRef B_17_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 0) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003b5
+ (joined
+ (portRef B_16_ (instanceRef blk00000106))
+ (portRef B_16_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 1) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003b6
+ (joined
+ (portRef B_15_ (instanceRef blk00000106))
+ (portRef B_15_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 2) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003b7
+ (joined
+ (portRef B_14_ (instanceRef blk00000106))
+ (portRef B_14_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 3) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003b8
+ (joined
+ (portRef B_13_ (instanceRef blk00000106))
+ (portRef B_13_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 4) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003b9
+ (joined
+ (portRef B_12_ (instanceRef blk00000106))
+ (portRef B_12_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 5) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003ba
+ (joined
+ (portRef B_11_ (instanceRef blk00000106))
+ (portRef B_11_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 6) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003bb
+ (joined
+ (portRef B_10_ (instanceRef blk00000106))
+ (portRef B_10_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 7) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003bc
+ (joined
+ (portRef B_9_ (instanceRef blk00000106))
+ (portRef B_9_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 8) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003bd
+ (joined
+ (portRef B_8_ (instanceRef blk00000106))
+ (portRef B_8_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 9) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003be
+ (joined
+ (portRef B_7_ (instanceRef blk00000106))
+ (portRef B_7_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 10) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003bf
+ (joined
+ (portRef B_6_ (instanceRef blk00000106))
+ (portRef B_6_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 11) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c0
+ (joined
+ (portRef B_5_ (instanceRef blk00000106))
+ (portRef B_5_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 12) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c1
+ (joined
+ (portRef B_4_ (instanceRef blk00000106))
+ (portRef B_4_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 13) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c2
+ (joined
+ (portRef B_3_ (instanceRef blk00000106))
+ (portRef B_3_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 14) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c3
+ (joined
+ (portRef B_2_ (instanceRef blk00000106))
+ (portRef B_2_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 15) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c4
+ (joined
+ (portRef B_1_ (instanceRef blk00000106))
+ (portRef B_1_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 16) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c5
+ (joined
+ (portRef B_0_ (instanceRef blk00000106))
+ (portRef B_0_ (instanceRef blk00000107))
+ (portRef (member DB_OUT 17) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000003c6
+ (joined
+ (portRef D_24_ (instanceRef blk00000106))
+ (portRef D_23_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 0) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000359))
+ )
+ )
+ (net sig000003c7
+ (joined
+ (portRef D_22_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 1) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000035a))
+ )
+ )
+ (net sig000003c8
+ (joined
+ (portRef D_21_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 2) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000035b))
+ )
+ )
+ (net sig000003c9
+ (joined
+ (portRef D_20_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 3) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000035c))
+ )
+ )
+ (net sig000003ca
+ (joined
+ (portRef D_19_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 4) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000035d))
+ )
+ )
+ (net sig000003cb
+ (joined
+ (portRef D_18_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 5) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000035e))
+ )
+ )
+ (net sig000003cc
+ (joined
+ (portRef D_17_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 6) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000035f))
+ )
+ )
+ (net sig000003cd
+ (joined
+ (portRef D_16_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 7) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000360))
+ )
+ )
+ (net sig000003ce
+ (joined
+ (portRef D_15_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 8) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000361))
+ )
+ )
+ (net sig000003cf
+ (joined
+ (portRef D_14_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 9) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000362))
+ )
+ )
+ (net sig000003d0
+ (joined
+ (portRef D_13_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 10) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000363))
+ )
+ )
+ (net sig000003d1
+ (joined
+ (portRef D_12_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 11) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000364))
+ )
+ )
+ (net sig000003d2
+ (joined
+ (portRef D_11_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 12) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000365))
+ )
+ )
+ (net sig000003d3
+ (joined
+ (portRef D_10_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 13) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000366))
+ )
+ )
+ (net sig000003d4
+ (joined
+ (portRef D_9_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 14) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000367))
+ )
+ )
+ (net sig000003d5
+ (joined
+ (portRef D_8_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 15) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000368))
+ )
+ )
+ (net sig000003d6
+ (joined
+ (portRef D_7_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 16) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000369))
+ )
+ )
+ (net sig000003d7
+ (joined
+ (portRef D_6_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 17) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000036a))
+ )
+ )
+ (net sig000003d8
+ (joined
+ (portRef D_5_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 18) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000036b))
+ )
+ )
+ (net sig000003d9
+ (joined
+ (portRef D_4_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 19) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000036c))
+ )
+ )
+ (net sig000003da
+ (joined
+ (portRef D_3_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 20) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000036d))
+ )
+ )
+ (net sig000003db
+ (joined
+ (portRef D_2_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 21) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000036e))
+ )
+ )
+ (net sig000003dc
+ (joined
+ (portRef D_1_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 22) (instanceRef blk00000119))
+ (portRef D (instanceRef blk0000036f))
+ )
+ )
+ (net sig000003dd
+ (joined
+ (portRef D_0_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 23) (instanceRef blk00000119))
+ (portRef D (instanceRef blk00000370))
+ )
+ )
+ (net sig000003de
+ (joined
+ (portRef A_29_ (instanceRef blk00000106))
+ (portRef A_28_ (instanceRef blk00000106))
+ (portRef A_27_ (instanceRef blk00000106))
+ (portRef A_26_ (instanceRef blk00000106))
+ (portRef A_25_ (instanceRef blk00000106))
+ (portRef A_24_ (instanceRef blk00000106))
+ (portRef A_23_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000329))
+ )
+ )
+ (net sig000003df
+ (joined
+ (portRef A_22_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000032a))
+ )
+ )
+ (net sig000003e0
+ (joined
+ (portRef A_21_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000032b))
+ )
+ )
+ (net sig000003e1
+ (joined
+ (portRef A_20_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000032c))
+ )
+ )
+ (net sig000003e2
+ (joined
+ (portRef A_19_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000032d))
+ )
+ )
+ (net sig000003e3
+ (joined
+ (portRef A_18_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000032e))
+ )
+ )
+ (net sig000003e4
+ (joined
+ (portRef A_17_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000032f))
+ )
+ )
+ (net sig000003e5
+ (joined
+ (portRef A_16_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000330))
+ )
+ )
+ (net sig000003e6
+ (joined
+ (portRef A_15_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000331))
+ )
+ )
+ (net sig000003e7
+ (joined
+ (portRef A_14_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000332))
+ )
+ )
+ (net sig000003e8
+ (joined
+ (portRef A_13_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000333))
+ )
+ )
+ (net sig000003e9
+ (joined
+ (portRef A_12_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000334))
+ )
+ )
+ (net sig000003ea
+ (joined
+ (portRef A_11_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000335))
+ )
+ )
+ (net sig000003eb
+ (joined
+ (portRef A_10_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000336))
+ )
+ )
+ (net sig000003ec
+ (joined
+ (portRef A_9_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000337))
+ )
+ )
+ (net sig000003ed
+ (joined
+ (portRef A_8_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000338))
+ )
+ )
+ (net sig000003ee
+ (joined
+ (portRef A_7_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000339))
+ )
+ )
+ (net sig000003ef
+ (joined
+ (portRef A_6_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000033a))
+ )
+ )
+ (net sig000003f0
+ (joined
+ (portRef A_5_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000033b))
+ )
+ )
+ (net sig000003f1
+ (joined
+ (portRef A_4_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000033c))
+ )
+ )
+ (net sig000003f2
+ (joined
+ (portRef A_3_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000033d))
+ )
+ )
+ (net sig000003f3
+ (joined
+ (portRef A_2_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000033e))
+ )
+ )
+ (net sig000003f4
+ (joined
+ (portRef A_1_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk0000033f))
+ )
+ )
+ (net sig000003f5
+ (joined
+ (portRef A_0_ (instanceRef blk00000106))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000014c))
+ (portRef D (instanceRef blk00000340))
+ )
+ )
+ (net sig000003f6
+ (joined
+ (portRef D_24_ (instanceRef blk00000107))
+ (portRef D_23_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 0) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000341))
+ )
+ )
+ (net sig000003f7
+ (joined
+ (portRef D_22_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 1) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000342))
+ )
+ )
+ (net sig000003f8
+ (joined
+ (portRef D_21_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 2) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000343))
+ )
+ )
+ (net sig000003f9
+ (joined
+ (portRef D_20_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 3) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000344))
+ )
+ )
+ (net sig000003fa
+ (joined
+ (portRef D_19_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 4) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000345))
+ )
+ )
+ (net sig000003fb
+ (joined
+ (portRef D_18_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 5) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000346))
+ )
+ )
+ (net sig000003fc
+ (joined
+ (portRef D_17_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 6) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000347))
+ )
+ )
+ (net sig000003fd
+ (joined
+ (portRef D_16_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 7) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000348))
+ )
+ )
+ (net sig000003fe
+ (joined
+ (portRef D_15_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 8) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000349))
+ )
+ )
+ (net sig000003ff
+ (joined
+ (portRef D_14_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 9) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk0000034a))
+ )
+ )
+ (net sig00000400
+ (joined
+ (portRef D_13_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 10) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk0000034b))
+ )
+ )
+ (net sig00000401
+ (joined
+ (portRef D_12_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 11) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk0000034c))
+ )
+ )
+ (net sig00000402
+ (joined
+ (portRef D_11_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 12) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk0000034d))
+ )
+ )
+ (net sig00000403
+ (joined
+ (portRef D_10_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 13) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk0000034e))
+ )
+ )
+ (net sig00000404
+ (joined
+ (portRef D_9_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 14) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000405
+ (joined
+ (portRef D_8_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 15) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000350))
+ )
+ )
+ (net sig00000406
+ (joined
+ (portRef D_7_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 16) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000351))
+ )
+ )
+ (net sig00000407
+ (joined
+ (portRef D_6_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 17) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000352))
+ )
+ )
+ (net sig00000408
+ (joined
+ (portRef D_5_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 18) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000353))
+ )
+ )
+ (net sig00000409
+ (joined
+ (portRef D_4_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 19) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000354))
+ )
+ )
+ (net sig0000040a
+ (joined
+ (portRef D_3_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 20) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000355))
+ )
+ )
+ (net sig0000040b
+ (joined
+ (portRef D_2_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 21) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000356))
+ )
+ )
+ (net sig0000040c
+ (joined
+ (portRef D_1_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 22) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000357))
+ )
+ )
+ (net sig0000040d
+ (joined
+ (portRef D_0_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 23) (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000358))
+ )
+ )
+ (net sig0000040e
+ (joined
+ (portRef A_29_ (instanceRef blk00000107))
+ (portRef A_28_ (instanceRef blk00000107))
+ (portRef A_27_ (instanceRef blk00000107))
+ (portRef A_26_ (instanceRef blk00000107))
+ (portRef A_25_ (instanceRef blk00000107))
+ (portRef A_24_ (instanceRef blk00000107))
+ (portRef A_23_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 0) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000311))
+ )
+ )
+ (net sig0000040f
+ (joined
+ (portRef A_22_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 1) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000312))
+ )
+ )
+ (net sig00000410
+ (joined
+ (portRef A_21_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 2) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000313))
+ )
+ )
+ (net sig00000411
+ (joined
+ (portRef A_20_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 3) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000314))
+ )
+ )
+ (net sig00000412
+ (joined
+ (portRef A_19_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 4) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000315))
+ )
+ )
+ (net sig00000413
+ (joined
+ (portRef A_18_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 5) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000316))
+ )
+ )
+ (net sig00000414
+ (joined
+ (portRef A_17_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 6) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000317))
+ )
+ )
+ (net sig00000415
+ (joined
+ (portRef A_16_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 7) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000318))
+ )
+ )
+ (net sig00000416
+ (joined
+ (portRef A_15_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 8) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000319))
+ )
+ )
+ (net sig00000417
+ (joined
+ (portRef A_14_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 9) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk0000031a))
+ )
+ )
+ (net sig00000418
+ (joined
+ (portRef A_13_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 10) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk0000031b))
+ )
+ )
+ (net sig00000419
+ (joined
+ (portRef A_12_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 11) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk0000031c))
+ )
+ )
+ (net sig0000041a
+ (joined
+ (portRef A_11_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 12) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk0000031d))
+ )
+ )
+ (net sig0000041b
+ (joined
+ (portRef A_10_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 13) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk0000031e))
+ )
+ )
+ (net sig0000041c
+ (joined
+ (portRef A_9_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 14) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk0000031f))
+ )
+ )
+ (net sig0000041d
+ (joined
+ (portRef A_8_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 15) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000320))
+ )
+ )
+ (net sig0000041e
+ (joined
+ (portRef A_7_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 16) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000321))
+ )
+ )
+ (net sig0000041f
+ (joined
+ (portRef A_6_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 17) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000322))
+ )
+ )
+ (net sig00000420
+ (joined
+ (portRef A_5_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 18) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000323))
+ )
+ )
+ (net sig00000421
+ (joined
+ (portRef A_4_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 19) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000324))
+ )
+ )
+ (net sig00000422
+ (joined
+ (portRef A_3_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 20) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000325))
+ )
+ )
+ (net sig00000423
+ (joined
+ (portRef A_2_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 21) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000326))
+ )
+ )
+ (net sig00000424
+ (joined
+ (portRef A_1_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 22) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000327))
+ )
+ )
+ (net sig00000425
+ (joined
+ (portRef A_0_ (instanceRef blk00000107))
+ (portRef (member DATA_OUT 23) (instanceRef blk000001b2))
+ (portRef D (instanceRef blk00000328))
+ )
+ )
+ (net sig00000426
+ (joined
+ (portRef Q (instanceRef blk00000108))
+ (portRef (member ADDRA 3) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000427
+ (joined
+ (portRef Q (instanceRef blk00000109))
+ (portRef (member ADDRA 2) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000428
+ (joined
+ (portRef Q (instanceRef blk0000010a))
+ (portRef (member ADDRA 1) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000429
+ (joined
+ (portRef Q (instanceRef blk0000010b))
+ (portRef (member ADDRA 0) (instanceRef blk000002ea))
+ )
+ )
+ (net sig0000042a
+ (joined
+ (portRef Q (instanceRef blk0000010c))
+ (portRef WEA (instanceRef blk000002ea))
+ )
+ )
+ (net sig0000042b
+ (joined
+ (portRef Q (instanceRef blk0000010d))
+ (portRef (member ADDR 0) (instanceRef blk00000119))
+ (portRef (member ADDR 0) (instanceRef blk0000017f))
+ )
+ )
+ (net sig0000042c
+ (joined
+ (portRef Q (instanceRef blk0000010e))
+ (portRef (member ADDR 1) (instanceRef blk00000119))
+ (portRef (member ADDR 1) (instanceRef blk0000017f))
+ )
+ )
+ (net sig0000042d
+ (joined
+ (portRef Q (instanceRef blk0000010f))
+ (portRef (member ADDR 2) (instanceRef blk00000119))
+ (portRef (member ADDR 2) (instanceRef blk0000017f))
+ )
+ )
+ (net sig0000042e
+ (joined
+ (portRef D (instanceRef blk00000110))
+ (portRef WE (instanceRef blk00000218))
+ (portRef WE (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000505))
+ (portRef D (instanceRef blk00000658))
+ )
+ )
+ (net sig0000042f
+ (joined
+ (portRef Q (instanceRef blk00000110))
+ (portRef WE (instanceRef blk0000014c))
+ (portRef WE (instanceRef blk000001b2))
+ (portRef I1 (instanceRef blk00000448))
+ )
+ )
+ (net sig00000430
+ (joined
+ (portRef Q (instanceRef blk00000111))
+ (portRef (member ADDRB 0) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000431
+ (joined
+ (portRef Q (instanceRef blk00000112))
+ (portRef (member ADDRB 1) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000432
+ (joined
+ (portRef Q (instanceRef blk00000113))
+ (portRef (member ADDRB 2) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000433
+ (joined
+ (portRef Q (instanceRef blk00000114))
+ (portRef (member ADDRB 3) (instanceRef blk000002ea))
+ )
+ )
+ (net sig00000434
+ (joined
+ (portRef D (instanceRef blk00000115))
+ (portRef WE (instanceRef blk000001e5))
+ (portRef WE (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk000005f7))
+ (portRef D (instanceRef blk0000065a))
+ )
+ )
+ (net sig00000435
+ (joined
+ (portRef Q (instanceRef blk00000115))
+ (portRef WE (instanceRef blk00000119))
+ (portRef WE (instanceRef blk0000017f))
+ (portRef D (instanceRef blk00000594))
+ )
+ )
+ (net sig00000436
+ (joined
+ (portRef Q (instanceRef blk00000116))
+ (portRef (member ADDR 0) (instanceRef blk0000014c))
+ (portRef (member ADDR 0) (instanceRef blk000001b2))
+ )
+ )
+ (net sig00000437
+ (joined
+ (portRef Q (instanceRef blk00000117))
+ (portRef (member ADDR 1) (instanceRef blk0000014c))
+ (portRef (member ADDR 1) (instanceRef blk000001b2))
+ )
+ )
+ (net sig00000438
+ (joined
+ (portRef Q (instanceRef blk00000118))
+ (portRef (member ADDR 2) (instanceRef blk0000014c))
+ (portRef (member ADDR 2) (instanceRef blk000001b2))
+ )
+ )
+ (net sig00000439
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000359))
+ )
+ )
+ (net sig0000043a
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000035a))
+ )
+ )
+ (net sig0000043b
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000035b))
+ )
+ )
+ (net sig0000043c
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000035c))
+ )
+ )
+ (net sig0000043d
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000035d))
+ )
+ )
+ (net sig0000043e
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000035e))
+ )
+ )
+ (net sig0000043f
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000035f))
+ )
+ )
+ (net sig00000440
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000360))
+ )
+ )
+ (net sig00000441
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000361))
+ )
+ )
+ (net sig00000442
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000362))
+ )
+ )
+ (net sig00000443
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000363))
+ )
+ )
+ (net sig00000444
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000364))
+ )
+ )
+ (net sig00000445
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000365))
+ )
+ )
+ (net sig00000446
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000366))
+ )
+ )
+ (net sig00000447
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000367))
+ )
+ )
+ (net sig00000448
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000368))
+ )
+ )
+ (net sig00000449
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000369))
+ )
+ )
+ (net sig0000044a
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000036a))
+ )
+ )
+ (net sig0000044b
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000036b))
+ )
+ )
+ (net sig0000044c
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000036c))
+ )
+ )
+ (net sig0000044d
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000036d))
+ )
+ )
+ (net sig0000044e
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000036e))
+ )
+ )
+ (net sig0000044f
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk0000036f))
+ )
+ )
+ (net sig00000450
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000014c))
+ (portRef Q (instanceRef blk00000370))
+ )
+ )
+ (net sig00000451
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000341))
+ )
+ )
+ (net sig00000452
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000342))
+ )
+ )
+ (net sig00000453
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000343))
+ )
+ )
+ (net sig00000454
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000344))
+ )
+ )
+ (net sig00000455
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000345))
+ )
+ )
+ (net sig00000456
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000346))
+ )
+ )
+ (net sig00000457
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000347))
+ )
+ )
+ (net sig00000458
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000348))
+ )
+ )
+ (net sig00000459
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000349))
+ )
+ )
+ (net sig0000045a
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk0000034a))
+ )
+ )
+ (net sig0000045b
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk0000034b))
+ )
+ )
+ (net sig0000045c
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk0000034c))
+ )
+ )
+ (net sig0000045d
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk0000034d))
+ )
+ )
+ (net sig0000045e
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk0000034e))
+ )
+ )
+ (net sig0000045f
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk0000034f))
+ )
+ )
+ (net sig00000460
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000350))
+ )
+ )
+ (net sig00000461
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000351))
+ )
+ )
+ (net sig00000462
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000352))
+ )
+ )
+ (net sig00000463
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000353))
+ )
+ )
+ (net sig00000464
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000354))
+ )
+ )
+ (net sig00000465
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000355))
+ )
+ )
+ (net sig00000466
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000356))
+ )
+ )
+ (net sig00000467
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000357))
+ )
+ )
+ (net sig00000468
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000001b2))
+ (portRef Q (instanceRef blk00000358))
+ )
+ )
+ (net sig00000469
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000055f))
+ )
+ )
+ (net sig0000046a
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000567))
+ )
+ )
+ (net sig0000046b
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000569))
+ )
+ )
+ (net sig0000046c
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000565))
+ )
+ )
+ (net sig0000046d
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000056b))
+ )
+ )
+ (net sig0000046e
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000056d))
+ )
+ )
+ (net sig0000046f
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000571))
+ )
+ )
+ (net sig00000470
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000573))
+ )
+ )
+ (net sig00000471
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000056f))
+ )
+ )
+ (net sig00000472
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000577))
+ )
+ )
+ (net sig00000473
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000579))
+ )
+ )
+ (net sig00000474
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000575))
+ )
+ )
+ (net sig00000475
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000057d))
+ )
+ )
+ (net sig00000476
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000057f))
+ )
+ )
+ (net sig00000477
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000057b))
+ )
+ )
+ (net sig00000478
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000581))
+ )
+ )
+ (net sig00000479
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000583))
+ )
+ )
+ (net sig0000047a
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000587))
+ )
+ )
+ (net sig0000047b
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000589))
+ )
+ )
+ (net sig0000047c
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000585))
+ )
+ )
+ (net sig0000047d
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000058d))
+ )
+ )
+ (net sig0000047e
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000058f))
+ )
+ )
+ (net sig0000047f
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk0000058b))
+ )
+ )
+ (net sig00000480
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk000001e5))
+ (portRef Q (instanceRef blk00000593))
+ )
+ )
+ (net sig00000481
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000329))
+ )
+ )
+ (net sig00000482
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000032a))
+ )
+ )
+ (net sig00000483
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000032b))
+ )
+ )
+ (net sig00000484
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000032c))
+ )
+ )
+ (net sig00000485
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000032d))
+ )
+ )
+ (net sig00000486
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000032e))
+ )
+ )
+ (net sig00000487
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000032f))
+ )
+ )
+ (net sig00000488
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000330))
+ )
+ )
+ (net sig00000489
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000331))
+ )
+ )
+ (net sig0000048a
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000332))
+ )
+ )
+ (net sig0000048b
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000333))
+ )
+ )
+ (net sig0000048c
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000334))
+ )
+ )
+ (net sig0000048d
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000335))
+ )
+ )
+ (net sig0000048e
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000336))
+ )
+ )
+ (net sig0000048f
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000337))
+ )
+ )
+ (net sig00000490
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000338))
+ )
+ )
+ (net sig00000491
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000339))
+ )
+ )
+ (net sig00000492
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000033a))
+ )
+ )
+ (net sig00000493
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000033b))
+ )
+ )
+ (net sig00000494
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000033c))
+ )
+ )
+ (net sig00000495
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000033d))
+ )
+ )
+ (net sig00000496
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000033e))
+ )
+ )
+ (net sig00000497
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk0000033f))
+ )
+ )
+ (net sig00000498
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk00000218))
+ (portRef Q (instanceRef blk00000340))
+ )
+ )
+ (net sig00000499
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000052f))
+ )
+ )
+ (net sig0000049a
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000537))
+ )
+ )
+ (net sig0000049b
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000539))
+ )
+ )
+ (net sig0000049c
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000535))
+ )
+ )
+ (net sig0000049d
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000053d))
+ )
+ )
+ (net sig0000049e
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000053f))
+ )
+ )
+ (net sig0000049f
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000053b))
+ )
+ )
+ (net sig000004a0
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000541))
+ )
+ )
+ (net sig000004a1
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000543))
+ )
+ )
+ (net sig000004a2
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000547))
+ )
+ )
+ (net sig000004a3
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000549))
+ )
+ )
+ (net sig000004a4
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000545))
+ )
+ )
+ (net sig000004a5
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000054b))
+ )
+ )
+ (net sig000004a6
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000054d))
+ )
+ )
+ (net sig000004a7
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000551))
+ )
+ )
+ (net sig000004a8
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000553))
+ )
+ )
+ (net sig000004a9
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000054f))
+ )
+ )
+ (net sig000004aa
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000555))
+ )
+ )
+ (net sig000004ab
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000557))
+ )
+ )
+ (net sig000004ac
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000055b))
+ )
+ )
+ (net sig000004ad
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk0000055d))
+ )
+ )
+ (net sig000004ae
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000559))
+ )
+ )
+ (net sig000004af
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000561))
+ )
+ )
+ (net sig000004b0
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000024b))
+ (portRef Q (instanceRef blk00000563))
+ )
+ )
+ (net sig000004b1
+ (joined
+ (portRef (member DATA_IN 0) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000311))
+ )
+ )
+ (net sig000004b2
+ (joined
+ (portRef (member DATA_IN 1) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000312))
+ )
+ )
+ (net sig000004b3
+ (joined
+ (portRef (member DATA_IN 2) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000313))
+ )
+ )
+ (net sig000004b4
+ (joined
+ (portRef (member DATA_IN 3) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000314))
+ )
+ )
+ (net sig000004b5
+ (joined
+ (portRef (member DATA_IN 4) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000315))
+ )
+ )
+ (net sig000004b6
+ (joined
+ (portRef (member DATA_IN 5) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000316))
+ )
+ )
+ (net sig000004b7
+ (joined
+ (portRef (member DATA_IN 6) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000317))
+ )
+ )
+ (net sig000004b8
+ (joined
+ (portRef (member DATA_IN 7) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000318))
+ )
+ )
+ (net sig000004b9
+ (joined
+ (portRef (member DATA_IN 8) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000319))
+ )
+ )
+ (net sig000004ba
+ (joined
+ (portRef (member DATA_IN 9) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk0000031a))
+ )
+ )
+ (net sig000004bb
+ (joined
+ (portRef (member DATA_IN 10) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk0000031b))
+ )
+ )
+ (net sig000004bc
+ (joined
+ (portRef (member DATA_IN 11) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk0000031c))
+ )
+ )
+ (net sig000004bd
+ (joined
+ (portRef (member DATA_IN 12) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk0000031d))
+ )
+ )
+ (net sig000004be
+ (joined
+ (portRef (member DATA_IN 13) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk0000031e))
+ )
+ )
+ (net sig000004bf
+ (joined
+ (portRef (member DATA_IN 14) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk0000031f))
+ )
+ )
+ (net sig000004c0
+ (joined
+ (portRef (member DATA_IN 15) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000320))
+ )
+ )
+ (net sig000004c1
+ (joined
+ (portRef (member DATA_IN 16) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000321))
+ )
+ )
+ (net sig000004c2
+ (joined
+ (portRef (member DATA_IN 17) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000322))
+ )
+ )
+ (net sig000004c3
+ (joined
+ (portRef (member DATA_IN 18) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000323))
+ )
+ )
+ (net sig000004c4
+ (joined
+ (portRef (member DATA_IN 19) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000324))
+ )
+ )
+ (net sig000004c5
+ (joined
+ (portRef (member DATA_IN 20) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000325))
+ )
+ )
+ (net sig000004c6
+ (joined
+ (portRef (member DATA_IN 21) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000326))
+ )
+ )
+ (net sig000004c7
+ (joined
+ (portRef (member DATA_IN 22) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000327))
+ )
+ )
+ (net sig000004c8
+ (joined
+ (portRef (member DATA_IN 23) (instanceRef blk0000027e))
+ (portRef Q (instanceRef blk00000328))
+ )
+ )
+ (net sig000004c9
+ (joined
+ (portRef (member DA_IN 0) (instanceRef blk000002b1))
+ (portRef (member DA_IN 0) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000050b))
+ )
+ )
+ (net sig000004ca
+ (joined
+ (portRef (member DA_IN 1) (instanceRef blk000002b1))
+ (portRef (member DA_IN 1) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000050d))
+ )
+ )
+ (net sig000004cb
+ (joined
+ (portRef (member DA_IN 2) (instanceRef blk000002b1))
+ (portRef (member DA_IN 2) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000509))
+ )
+ )
+ (net sig000004cc
+ (joined
+ (portRef (member DA_IN 3) (instanceRef blk000002b1))
+ (portRef (member DA_IN 3) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000511))
+ )
+ )
+ (net sig000004cd
+ (joined
+ (portRef (member DA_IN 4) (instanceRef blk000002b1))
+ (portRef (member DA_IN 4) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000513))
+ )
+ )
+ (net sig000004ce
+ (joined
+ (portRef (member DA_IN 5) (instanceRef blk000002b1))
+ (portRef (member DA_IN 5) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000050f))
+ )
+ )
+ (net sig000004cf
+ (joined
+ (portRef (member DA_IN 6) (instanceRef blk000002b1))
+ (portRef (member DA_IN 6) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000515))
+ )
+ )
+ (net sig000004d0
+ (joined
+ (portRef (member DA_IN 7) (instanceRef blk000002b1))
+ (portRef (member DA_IN 7) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000517))
+ )
+ )
+ (net sig000004d1
+ (joined
+ (portRef (member DA_IN 8) (instanceRef blk000002b1))
+ (portRef (member DA_IN 8) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000051b))
+ )
+ )
+ (net sig000004d2
+ (joined
+ (portRef (member DA_IN 9) (instanceRef blk000002b1))
+ (portRef (member DA_IN 9) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000051d))
+ )
+ )
+ (net sig000004d3
+ (joined
+ (portRef (member DA_IN 10) (instanceRef blk000002b1))
+ (portRef (member DA_IN 10) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000519))
+ )
+ )
+ (net sig000004d4
+ (joined
+ (portRef (member DA_IN 11) (instanceRef blk000002b1))
+ (portRef (member DA_IN 11) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000521))
+ )
+ )
+ (net sig000004d5
+ (joined
+ (portRef (member DA_IN 12) (instanceRef blk000002b1))
+ (portRef (member DA_IN 12) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000523))
+ )
+ )
+ (net sig000004d6
+ (joined
+ (portRef (member DA_IN 13) (instanceRef blk000002b1))
+ (portRef (member DA_IN 13) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000051f))
+ )
+ )
+ (net sig000004d7
+ (joined
+ (portRef (member DA_IN 14) (instanceRef blk000002b1))
+ (portRef (member DA_IN 14) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000527))
+ )
+ )
+ (net sig000004d8
+ (joined
+ (portRef (member DA_IN 15) (instanceRef blk000002b1))
+ (portRef (member DA_IN 15) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000529))
+ )
+ )
+ (net sig000004d9
+ (joined
+ (portRef (member DA_IN 16) (instanceRef blk000002b1))
+ (portRef (member DA_IN 16) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk00000525))
+ )
+ )
+ (net sig000004da
+ (joined
+ (portRef (member DA_IN 17) (instanceRef blk000002b1))
+ (portRef (member DA_IN 17) (instanceRef blk00000371))
+ (portRef Q (instanceRef blk0000052b))
+ )
+ )
+ (net sig000004db
+ (joined
+ (portRef (member DA_OUT 0) (instanceRef blk000002b1))
+ (portRef (member DA_IN 0) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004dc
+ (joined
+ (portRef (member DA_OUT 1) (instanceRef blk000002b1))
+ (portRef (member DA_IN 1) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004dd
+ (joined
+ (portRef (member DA_OUT 2) (instanceRef blk000002b1))
+ (portRef (member DA_IN 2) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004de
+ (joined
+ (portRef (member DA_OUT 3) (instanceRef blk000002b1))
+ (portRef (member DA_IN 3) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004df
+ (joined
+ (portRef (member DA_OUT 4) (instanceRef blk000002b1))
+ (portRef (member DA_IN 4) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e0
+ (joined
+ (portRef (member DA_OUT 5) (instanceRef blk000002b1))
+ (portRef (member DA_IN 5) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e1
+ (joined
+ (portRef (member DA_OUT 6) (instanceRef blk000002b1))
+ (portRef (member DA_IN 6) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e2
+ (joined
+ (portRef (member DA_OUT 7) (instanceRef blk000002b1))
+ (portRef (member DA_IN 7) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e3
+ (joined
+ (portRef (member DA_OUT 8) (instanceRef blk000002b1))
+ (portRef (member DA_IN 8) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e4
+ (joined
+ (portRef (member DA_OUT 9) (instanceRef blk000002b1))
+ (portRef (member DA_IN 9) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e5
+ (joined
+ (portRef (member DA_OUT 10) (instanceRef blk000002b1))
+ (portRef (member DA_IN 10) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e6
+ (joined
+ (portRef (member DA_OUT 11) (instanceRef blk000002b1))
+ (portRef (member DA_IN 11) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e7
+ (joined
+ (portRef (member DA_OUT 12) (instanceRef blk000002b1))
+ (portRef (member DA_IN 12) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e8
+ (joined
+ (portRef (member DA_OUT 13) (instanceRef blk000002b1))
+ (portRef (member DA_IN 13) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004e9
+ (joined
+ (portRef (member DA_OUT 14) (instanceRef blk000002b1))
+ (portRef (member DA_IN 14) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004ea
+ (joined
+ (portRef (member DA_OUT 15) (instanceRef blk000002b1))
+ (portRef (member DA_IN 15) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004eb
+ (joined
+ (portRef (member DA_OUT 16) (instanceRef blk000002b1))
+ (portRef (member DA_IN 16) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004ec
+ (joined
+ (portRef (member DA_OUT 17) (instanceRef blk000002b1))
+ (portRef (member DA_IN 17) (instanceRef blk000002ea))
+ )
+ )
+ (net sig000004ed
+ (joined
+ (portRef CE (instanceRef blk00000311))
+ (portRef CE (instanceRef blk00000312))
+ (portRef CE (instanceRef blk00000313))
+ (portRef CE (instanceRef blk00000314))
+ (portRef CE (instanceRef blk00000315))
+ (portRef CE (instanceRef blk00000316))
+ (portRef CE (instanceRef blk00000317))
+ (portRef CE (instanceRef blk00000318))
+ (portRef CE (instanceRef blk00000319))
+ (portRef CE (instanceRef blk0000031a))
+ (portRef CE (instanceRef blk0000031b))
+ (portRef CE (instanceRef blk0000031c))
+ (portRef CE (instanceRef blk0000031d))
+ (portRef CE (instanceRef blk0000031e))
+ (portRef CE (instanceRef blk0000031f))
+ (portRef CE (instanceRef blk00000320))
+ (portRef CE (instanceRef blk00000321))
+ (portRef CE (instanceRef blk00000322))
+ (portRef CE (instanceRef blk00000323))
+ (portRef CE (instanceRef blk00000324))
+ (portRef CE (instanceRef blk00000325))
+ (portRef CE (instanceRef blk00000326))
+ (portRef CE (instanceRef blk00000327))
+ (portRef CE (instanceRef blk00000328))
+ (portRef CE (instanceRef blk00000329))
+ (portRef CE (instanceRef blk0000032a))
+ (portRef CE (instanceRef blk0000032b))
+ (portRef CE (instanceRef blk0000032c))
+ (portRef CE (instanceRef blk0000032d))
+ (portRef CE (instanceRef blk0000032e))
+ (portRef CE (instanceRef blk0000032f))
+ (portRef CE (instanceRef blk00000330))
+ (portRef CE (instanceRef blk00000331))
+ (portRef CE (instanceRef blk00000332))
+ (portRef CE (instanceRef blk00000333))
+ (portRef CE (instanceRef blk00000334))
+ (portRef CE (instanceRef blk00000335))
+ (portRef CE (instanceRef blk00000336))
+ (portRef CE (instanceRef blk00000337))
+ (portRef CE (instanceRef blk00000338))
+ (portRef CE (instanceRef blk00000339))
+ (portRef CE (instanceRef blk0000033a))
+ (portRef CE (instanceRef blk0000033b))
+ (portRef CE (instanceRef blk0000033c))
+ (portRef CE (instanceRef blk0000033d))
+ (portRef CE (instanceRef blk0000033e))
+ (portRef CE (instanceRef blk0000033f))
+ (portRef CE (instanceRef blk00000340))
+ (portRef O (instanceRef blk00000447))
+ )
+ )
+ (net sig000004ee
+ (joined
+ (portRef CE (instanceRef blk00000341))
+ (portRef CE (instanceRef blk00000342))
+ (portRef CE (instanceRef blk00000343))
+ (portRef CE (instanceRef blk00000344))
+ (portRef CE (instanceRef blk00000345))
+ (portRef CE (instanceRef blk00000346))
+ (portRef CE (instanceRef blk00000347))
+ (portRef CE (instanceRef blk00000348))
+ (portRef CE (instanceRef blk00000349))
+ (portRef CE (instanceRef blk0000034a))
+ (portRef CE (instanceRef blk0000034b))
+ (portRef CE (instanceRef blk0000034c))
+ (portRef CE (instanceRef blk0000034d))
+ (portRef CE (instanceRef blk0000034e))
+ (portRef CE (instanceRef blk0000034f))
+ (portRef CE (instanceRef blk00000350))
+ (portRef CE (instanceRef blk00000351))
+ (portRef CE (instanceRef blk00000352))
+ (portRef CE (instanceRef blk00000353))
+ (portRef CE (instanceRef blk00000354))
+ (portRef CE (instanceRef blk00000355))
+ (portRef CE (instanceRef blk00000356))
+ (portRef CE (instanceRef blk00000357))
+ (portRef CE (instanceRef blk00000358))
+ (portRef CE (instanceRef blk00000359))
+ (portRef CE (instanceRef blk0000035a))
+ (portRef CE (instanceRef blk0000035b))
+ (portRef CE (instanceRef blk0000035c))
+ (portRef CE (instanceRef blk0000035d))
+ (portRef CE (instanceRef blk0000035e))
+ (portRef CE (instanceRef blk0000035f))
+ (portRef CE (instanceRef blk00000360))
+ (portRef CE (instanceRef blk00000361))
+ (portRef CE (instanceRef blk00000362))
+ (portRef CE (instanceRef blk00000363))
+ (portRef CE (instanceRef blk00000364))
+ (portRef CE (instanceRef blk00000365))
+ (portRef CE (instanceRef blk00000366))
+ (portRef CE (instanceRef blk00000367))
+ (portRef CE (instanceRef blk00000368))
+ (portRef CE (instanceRef blk00000369))
+ (portRef CE (instanceRef blk0000036a))
+ (portRef CE (instanceRef blk0000036b))
+ (portRef CE (instanceRef blk0000036c))
+ (portRef CE (instanceRef blk0000036d))
+ (portRef CE (instanceRef blk0000036e))
+ (portRef CE (instanceRef blk0000036f))
+ (portRef CE (instanceRef blk00000370))
+ (portRef O (instanceRef blk00000448))
+ )
+ )
+ (net sig000004ef
+ (joined
+ (portRef LI (instanceRef blk00000398))
+ (portRef S (instanceRef blk000003a1))
+ (portRef O (instanceRef blk0000044a))
+ )
+ )
+ (net sig000004f0
+ (joined
+ (portRef O (instanceRef blk00000398))
+ (portRef D (instanceRef blk000004d0))
+ )
+ )
+ (net sig000004f1
+ (joined
+ (portRef CI (instanceRef blk00000399))
+ (portRef CI (instanceRef blk0000039d))
+ (portRef LO (instanceRef blk0000039e))
+ )
+ )
+ (net sig000004f2
+ (joined
+ (portRef LI (instanceRef blk00000399))
+ (portRef S (instanceRef blk0000039d))
+ (portRef O (instanceRef blk000004e6))
+ )
+ )
+ (net sig000004f3
+ (joined
+ (portRef O (instanceRef blk00000399))
+ (portRef D (instanceRef blk000004cc))
+ )
+ )
+ (net sig000004f4
+ (joined
+ (portRef CI (instanceRef blk0000039a))
+ (portRef CI (instanceRef blk0000039e))
+ (portRef LO (instanceRef blk0000039f))
+ )
+ )
+ (net sig000004f5
+ (joined
+ (portRef LI (instanceRef blk0000039a))
+ (portRef S (instanceRef blk0000039e))
+ (portRef O (instanceRef blk000004e7))
+ )
+ )
+ (net sig000004f6
+ (joined
+ (portRef O (instanceRef blk0000039a))
+ (portRef D (instanceRef blk000004cd))
+ )
+ )
+ (net sig000004f7
+ (joined
+ (portRef CI (instanceRef blk0000039b))
+ (portRef CI (instanceRef blk0000039f))
+ (portRef LO (instanceRef blk000003a0))
+ )
+ )
+ (net sig000004f8
+ (joined
+ (portRef LI (instanceRef blk0000039b))
+ (portRef S (instanceRef blk0000039f))
+ (portRef O (instanceRef blk000004e8))
+ )
+ )
+ (net sig000004f9
+ (joined
+ (portRef O (instanceRef blk0000039b))
+ (portRef D (instanceRef blk000004ce))
+ )
+ )
+ (net sig000004fa
+ (joined
+ (portRef CI (instanceRef blk0000039c))
+ (portRef CI (instanceRef blk000003a0))
+ (portRef LO (instanceRef blk000003a1))
+ )
+ )
+ (net sig000004fb
+ (joined
+ (portRef LI (instanceRef blk0000039c))
+ (portRef S (instanceRef blk000003a0))
+ (portRef O (instanceRef blk000004e9))
+ )
+ )
+ (net sig000004fc
+ (joined
+ (portRef O (instanceRef blk0000039c))
+ (portRef D (instanceRef blk000004cf))
+ )
+ )
+ (net sig000004fd
+ (joined
+ (portRef DI (instanceRef blk0000039d))
+ (portRef I2 (instanceRef blk0000041d))
+ (portRef I1 (instanceRef blk0000041e))
+ (portRef I1 (instanceRef blk0000044a))
+ (portRef I2 (instanceRef blk000004ab))
+ (portRef I1 (instanceRef blk000004ac))
+ (portRef I1 (instanceRef blk000004ad))
+ (portRef I1 (instanceRef blk000004ae))
+ (portRef I1 (instanceRef blk000004af))
+ (portRef I1 (instanceRef blk000004b0))
+ (portRef Q (instanceRef blk000004cc))
+ (portRef I0 (instanceRef blk000004e6))
+ (portRef I (instanceRef blk000004ff))
+ )
+ )
+ (net sig000004fe
+ (joined
+ (portRef DI (instanceRef blk0000039e))
+ (portRef Q (instanceRef blk000004cd))
+ (portRef I0 (instanceRef blk000004e7))
+ )
+ )
+ (net sig000004ff
+ (joined
+ (portRef DI (instanceRef blk0000039f))
+ (portRef Q (instanceRef blk000004ce))
+ (portRef I0 (instanceRef blk000004e8))
+ )
+ )
+ (net sig00000500
+ (joined
+ (portRef DI (instanceRef blk000003a0))
+ (portRef Q (instanceRef blk000004cf))
+ (portRef I0 (instanceRef blk000004e9))
+ )
+ )
+ (net sig00000501
+ (joined
+ (portRef DI (instanceRef blk000003a1))
+ (portRef I0 (instanceRef blk0000044a))
+ (portRef Q (instanceRef blk000004d0))
+ )
+ )
+ (net sig00000502
+ (joined
+ (portRef D (instanceRef blk000003a2))
+ (portRef D (instanceRef blk000004f8))
+ (portRef Q (instanceRef blk00000659))
+ )
+ )
+ (net sig00000503
+ (joined
+ (portRef D (instanceRef blk000003a3))
+ (portRef O (instanceRef blk0000044c))
+ )
+ )
+ (net sig00000504
+ (joined
+ (portRef D (instanceRef blk000003a4))
+ (portRef O (instanceRef blk0000044b))
+ )
+ )
+ (net sig00000505
+ (joined
+ (portRef D (instanceRef blk000003a5))
+ (portRef O (instanceRef blk0000044d))
+ )
+ )
+ (net sig00000506
+ (joined
+ (portRef D (instanceRef blk000003a6))
+ (portRef O (instanceRef blk0000044f))
+ )
+ )
+ (net sig00000507
+ (joined
+ (portRef D (instanceRef blk000003a7))
+ (portRef O (instanceRef blk0000044e))
+ )
+ )
+ (net sig00000508
+ (joined
+ (portRef D (instanceRef blk000003a8))
+ (portRef O (instanceRef blk00000450))
+ )
+ )
+ (net sig00000509
+ (joined
+ (portRef D (instanceRef blk000003a9))
+ (portRef O (instanceRef blk00000452))
+ )
+ )
+ (net sig0000050a
+ (joined
+ (portRef D (instanceRef blk000003aa))
+ (portRef O (instanceRef blk00000451))
+ )
+ )
+ (net sig0000050b
+ (joined
+ (portRef D (instanceRef blk000003ab))
+ (portRef O (instanceRef blk00000453))
+ )
+ )
+ (net sig0000050c
+ (joined
+ (portRef D (instanceRef blk000003ac))
+ (portRef O (instanceRef blk00000455))
+ )
+ )
+ (net sig0000050d
+ (joined
+ (portRef D (instanceRef blk000003ad))
+ (portRef O (instanceRef blk00000454))
+ )
+ )
+ (net sig0000050e
+ (joined
+ (portRef D (instanceRef blk000003ae))
+ (portRef O (instanceRef blk00000456))
+ )
+ )
+ (net sig0000050f
+ (joined
+ (portRef D (instanceRef blk000003af))
+ (portRef O (instanceRef blk00000458))
+ )
+ )
+ (net sig00000510
+ (joined
+ (portRef D (instanceRef blk000003b0))
+ (portRef O (instanceRef blk00000457))
+ )
+ )
+ (net sig00000511
+ (joined
+ (portRef D (instanceRef blk000003b1))
+ (portRef O (instanceRef blk00000459))
+ )
+ )
+ (net sig00000512
+ (joined
+ (portRef D (instanceRef blk000003b2))
+ (portRef O (instanceRef blk0000045b))
+ )
+ )
+ (net sig00000513
+ (joined
+ (portRef D (instanceRef blk000003b3))
+ (portRef O (instanceRef blk0000045a))
+ )
+ )
+ (net sig00000514
+ (joined
+ (portRef D (instanceRef blk000003b4))
+ (portRef O (instanceRef blk0000045c))
+ )
+ )
+ (net sig00000515
+ (joined
+ (portRef D (instanceRef blk000003b5))
+ (portRef O (instanceRef blk0000045e))
+ )
+ )
+ (net sig00000516
+ (joined
+ (portRef D (instanceRef blk000003b6))
+ (portRef O (instanceRef blk0000045d))
+ )
+ )
+ (net sig00000517
+ (joined
+ (portRef D (instanceRef blk000003b7))
+ (portRef O (instanceRef blk0000045f))
+ )
+ )
+ (net sig00000518
+ (joined
+ (portRef D (instanceRef blk000003b8))
+ (portRef O (instanceRef blk00000461))
+ )
+ )
+ (net sig00000519
+ (joined
+ (portRef D (instanceRef blk000003b9))
+ (portRef O (instanceRef blk00000460))
+ )
+ )
+ (net sig0000051a
+ (joined
+ (portRef D (instanceRef blk000003ba))
+ (portRef O (instanceRef blk00000462))
+ )
+ )
+ (net sig0000051b
+ (joined
+ (portRef D (instanceRef blk000003bb))
+ (portRef O (instanceRef blk00000464))
+ )
+ )
+ (net sig0000051c
+ (joined
+ (portRef D (instanceRef blk000003bc))
+ (portRef O (instanceRef blk00000463))
+ )
+ )
+ (net sig0000051d
+ (joined
+ (portRef D (instanceRef blk000003bd))
+ (portRef O (instanceRef blk00000465))
+ )
+ )
+ (net sig0000051e
+ (joined
+ (portRef D (instanceRef blk000003be))
+ (portRef O (instanceRef blk00000467))
+ )
+ )
+ (net sig0000051f
+ (joined
+ (portRef D (instanceRef blk000003bf))
+ (portRef O (instanceRef blk00000466))
+ )
+ )
+ (net sig00000520
+ (joined
+ (portRef D (instanceRef blk000003c0))
+ (portRef O (instanceRef blk00000468))
+ )
+ )
+ (net sig00000521
+ (joined
+ (portRef D (instanceRef blk000003c1))
+ (portRef O (instanceRef blk0000046a))
+ )
+ )
+ (net sig00000522
+ (joined
+ (portRef D (instanceRef blk000003c2))
+ (portRef O (instanceRef blk00000469))
+ )
+ )
+ (net sig00000523
+ (joined
+ (portRef D (instanceRef blk000003c3))
+ (portRef O (instanceRef blk0000046b))
+ )
+ )
+ (net sig00000524
+ (joined
+ (portRef D (instanceRef blk000003c4))
+ (portRef O (instanceRef blk0000046d))
+ )
+ )
+ (net sig00000525
+ (joined
+ (portRef D (instanceRef blk000003c5))
+ (portRef O (instanceRef blk0000046c))
+ )
+ )
+ (net sig00000526
+ (joined
+ (portRef D (instanceRef blk000003c6))
+ (portRef O (instanceRef blk0000046e))
+ )
+ )
+ (net sig00000527
+ (joined
+ (portRef D (instanceRef blk000003c7))
+ (portRef O (instanceRef blk00000470))
+ )
+ )
+ (net sig00000528
+ (joined
+ (portRef D (instanceRef blk000003c8))
+ (portRef O (instanceRef blk0000046f))
+ )
+ )
+ (net sig00000529
+ (joined
+ (portRef D (instanceRef blk000003c9))
+ (portRef O (instanceRef blk00000471))
+ )
+ )
+ (net sig0000052a
+ (joined
+ (portRef D (instanceRef blk000003ca))
+ (portRef O (instanceRef blk00000473))
+ )
+ )
+ (net sig0000052b
+ (joined
+ (portRef D (instanceRef blk000003cb))
+ (portRef O (instanceRef blk00000472))
+ )
+ )
+ (net sig0000052c
+ (joined
+ (portRef D (instanceRef blk000003cc))
+ (portRef O (instanceRef blk00000474))
+ )
+ )
+ (net sig0000052d
+ (joined
+ (portRef D (instanceRef blk000003cd))
+ (portRef O (instanceRef blk00000476))
+ )
+ )
+ (net sig0000052e
+ (joined
+ (portRef D (instanceRef blk000003ce))
+ (portRef O (instanceRef blk00000475))
+ )
+ )
+ (net sig0000052f
+ (joined
+ (portRef D (instanceRef blk000003cf))
+ (portRef O (instanceRef blk00000477))
+ )
+ )
+ (net sig00000530
+ (joined
+ (portRef D (instanceRef blk000003d0))
+ (portRef O (instanceRef blk00000479))
+ )
+ )
+ (net sig00000531
+ (joined
+ (portRef D (instanceRef blk000003d1))
+ (portRef O (instanceRef blk00000478))
+ )
+ )
+ (net sig00000532
+ (joined
+ (portRef D (instanceRef blk000003d2))
+ (portRef O (instanceRef blk0000047a))
+ )
+ )
+ (net sig00000533
+ (joined
+ (portRef D (instanceRef blk000003d3))
+ (portRef O (instanceRef blk0000047b))
+ )
+ )
+ (net sig00000534
+ (joined
+ (portRef D (instanceRef blk000003d4))
+ (portRef O (instanceRef blk0000047c))
+ )
+ )
+ (net sig00000535
+ (joined
+ (portRef D (instanceRef blk000003d5))
+ (portRef O (instanceRef blk0000047e))
+ )
+ )
+ (net sig00000536
+ (joined
+ (portRef D (instanceRef blk000003d6))
+ (portRef O (instanceRef blk0000047d))
+ )
+ )
+ (net sig00000537
+ (joined
+ (portRef D (instanceRef blk000003d7))
+ (portRef O (instanceRef blk0000047f))
+ )
+ )
+ (net sig00000538
+ (joined
+ (portRef D (instanceRef blk000003d8))
+ (portRef O (instanceRef blk00000481))
+ )
+ )
+ (net sig00000539
+ (joined
+ (portRef D (instanceRef blk000003d9))
+ (portRef O (instanceRef blk00000480))
+ )
+ )
+ (net sig0000053a
+ (joined
+ (portRef D (instanceRef blk000003da))
+ (portRef O (instanceRef blk00000482))
+ )
+ )
+ (net sig0000053b
+ (joined
+ (portRef D (instanceRef blk000003db))
+ (portRef O (instanceRef blk00000484))
+ )
+ )
+ (net sig0000053c
+ (joined
+ (portRef D (instanceRef blk000003dc))
+ (portRef O (instanceRef blk00000483))
+ )
+ )
+ (net sig0000053d
+ (joined
+ (portRef D (instanceRef blk000003dd))
+ (portRef O (instanceRef blk00000485))
+ )
+ )
+ (net sig0000053e
+ (joined
+ (portRef D (instanceRef blk000003de))
+ (portRef O (instanceRef blk00000487))
+ )
+ )
+ (net sig0000053f
+ (joined
+ (portRef D (instanceRef blk000003df))
+ (portRef O (instanceRef blk00000486))
+ )
+ )
+ (net sig00000540
+ (joined
+ (portRef D (instanceRef blk000003e0))
+ (portRef O (instanceRef blk00000488))
+ )
+ )
+ (net sig00000541
+ (joined
+ (portRef D (instanceRef blk000003e1))
+ (portRef O (instanceRef blk0000048a))
+ )
+ )
+ (net sig00000542
+ (joined
+ (portRef D (instanceRef blk000003e2))
+ (portRef O (instanceRef blk00000489))
+ )
+ )
+ (net sig00000543
+ (joined
+ (portRef D (instanceRef blk000003e3))
+ (portRef O (instanceRef blk0000048b))
+ )
+ )
+ (net sig00000544
+ (joined
+ (portRef D (instanceRef blk000003e4))
+ (portRef O (instanceRef blk0000048d))
+ )
+ )
+ (net sig00000545
+ (joined
+ (portRef D (instanceRef blk000003e5))
+ (portRef O (instanceRef blk0000048c))
+ )
+ )
+ (net sig00000546
+ (joined
+ (portRef D (instanceRef blk000003e6))
+ (portRef O (instanceRef blk0000048e))
+ )
+ )
+ (net sig00000547
+ (joined
+ (portRef D (instanceRef blk000003e7))
+ (portRef O (instanceRef blk00000490))
+ )
+ )
+ (net sig00000548
+ (joined
+ (portRef D (instanceRef blk000003e8))
+ (portRef O (instanceRef blk0000048f))
+ )
+ )
+ (net sig00000549
+ (joined
+ (portRef D (instanceRef blk000003e9))
+ (portRef O (instanceRef blk00000491))
+ )
+ )
+ (net sig0000054a
+ (joined
+ (portRef D (instanceRef blk000003ea))
+ (portRef O (instanceRef blk00000493))
+ )
+ )
+ (net sig0000054b
+ (joined
+ (portRef D (instanceRef blk000003eb))
+ (portRef O (instanceRef blk00000492))
+ )
+ )
+ (net sig0000054c
+ (joined
+ (portRef D (instanceRef blk000003ec))
+ (portRef O (instanceRef blk00000494))
+ )
+ )
+ (net sig0000054d
+ (joined
+ (portRef D (instanceRef blk000003ed))
+ (portRef O (instanceRef blk00000496))
+ )
+ )
+ (net sig0000054e
+ (joined
+ (portRef D (instanceRef blk000003ee))
+ (portRef O (instanceRef blk00000495))
+ )
+ )
+ (net sig0000054f
+ (joined
+ (portRef D (instanceRef blk000003ef))
+ (portRef O (instanceRef blk00000497))
+ )
+ )
+ (net sig00000550
+ (joined
+ (portRef D (instanceRef blk000003f0))
+ (portRef O (instanceRef blk00000499))
+ )
+ )
+ (net sig00000551
+ (joined
+ (portRef D (instanceRef blk000003f1))
+ (portRef O (instanceRef blk00000498))
+ )
+ )
+ (net sig00000552
+ (joined
+ (portRef D (instanceRef blk000003f2))
+ (portRef O (instanceRef blk0000049a))
+ )
+ )
+ (net sig00000553
+ (joined
+ (portRef D (instanceRef blk000003f3))
+ (portRef O (instanceRef blk0000049c))
+ )
+ )
+ (net sig00000554
+ (joined
+ (portRef D (instanceRef blk000003f4))
+ (portRef O (instanceRef blk0000049b))
+ )
+ )
+ (net sig00000555
+ (joined
+ (portRef D (instanceRef blk000003f5))
+ (portRef O (instanceRef blk0000049d))
+ )
+ )
+ (net sig00000556
+ (joined
+ (portRef D (instanceRef blk000003f6))
+ (portRef O (instanceRef blk0000049f))
+ )
+ )
+ (net sig00000557
+ (joined
+ (portRef D (instanceRef blk000003f7))
+ (portRef O (instanceRef blk0000049e))
+ )
+ )
+ (net sig00000558
+ (joined
+ (portRef D (instanceRef blk000003f8))
+ (portRef O (instanceRef blk000004a0))
+ )
+ )
+ (net sig00000559
+ (joined
+ (portRef D (instanceRef blk000003f9))
+ (portRef O (instanceRef blk000004a2))
+ )
+ )
+ (net sig0000055a
+ (joined
+ (portRef D (instanceRef blk000003fa))
+ (portRef O (instanceRef blk000004a1))
+ )
+ )
+ (net sig0000055b
+ (joined
+ (portRef D (instanceRef blk000003fb))
+ (portRef O (instanceRef blk000004a3))
+ )
+ )
+ (net sig0000055c
+ (joined
+ (portRef D (instanceRef blk000003fc))
+ (portRef O (instanceRef blk000004a5))
+ )
+ )
+ (net sig0000055d
+ (joined
+ (portRef D (instanceRef blk000003fd))
+ (portRef O (instanceRef blk000004a4))
+ )
+ )
+ (net sig0000055e
+ (joined
+ (portRef D (instanceRef blk000003fe))
+ (portRef O (instanceRef blk000004a6))
+ )
+ )
+ (net sig0000055f
+ (joined
+ (portRef D (instanceRef blk000003ff))
+ (portRef O (instanceRef blk000004a8))
+ )
+ )
+ (net sig00000560
+ (joined
+ (portRef D (instanceRef blk00000400))
+ (portRef O (instanceRef blk000004a7))
+ )
+ )
+ (net sig00000561
+ (joined
+ (portRef D (instanceRef blk00000401))
+ (portRef O (instanceRef blk000004a9))
+ )
+ )
+ (net sig00000562
+ (joined
+ (portRef D (instanceRef blk00000402))
+ (portRef O (instanceRef blk000004aa))
+ )
+ )
+ (net sig00000563
+ (joined
+ (portRef D (instanceRef blk00000403))
+ (portRef O (instanceRef blk000004ab))
+ )
+ )
+ (net sig00000564
+ (joined
+ (portRef CI (instanceRef blk00000404))
+ (portRef CI (instanceRef blk00000409))
+ (portRef LO (instanceRef blk0000040a))
+ )
+ )
+ (net sig00000565
+ (joined
+ (portRef LI (instanceRef blk00000404))
+ (portRef S (instanceRef blk00000409))
+ (portRef O (instanceRef blk000004b0))
+ )
+ )
+ (net sig00000566
+ (joined
+ (portRef O (instanceRef blk00000404))
+ (portRef D (instanceRef blk000004d1))
+ )
+ )
+ (net sig00000567
+ (joined
+ (portRef CI (instanceRef blk00000405))
+ (portRef CI (instanceRef blk0000040a))
+ (portRef LO (instanceRef blk0000040b))
+ )
+ )
+ (net sig00000568
+ (joined
+ (portRef LI (instanceRef blk00000405))
+ (portRef S (instanceRef blk0000040a))
+ (portRef O (instanceRef blk000004af))
+ )
+ )
+ (net sig00000569
+ (joined
+ (portRef O (instanceRef blk00000405))
+ (portRef D (instanceRef blk000004d2))
+ )
+ )
+ (net sig0000056a
+ (joined
+ (portRef CI (instanceRef blk00000406))
+ (portRef CI (instanceRef blk0000040b))
+ (portRef LO (instanceRef blk0000040c))
+ )
+ )
+ (net sig0000056b
+ (joined
+ (portRef LI (instanceRef blk00000406))
+ (portRef S (instanceRef blk0000040b))
+ (portRef O (instanceRef blk000004ae))
+ )
+ )
+ (net sig0000056c
+ (joined
+ (portRef O (instanceRef blk00000406))
+ (portRef D (instanceRef blk000004d3))
+ )
+ )
+ (net sig0000056d
+ (joined
+ (portRef CI (instanceRef blk00000407))
+ (portRef CI (instanceRef blk0000040c))
+ (portRef LO (instanceRef blk0000040d))
+ )
+ )
+ (net sig0000056e
+ (joined
+ (portRef LI (instanceRef blk00000407))
+ (portRef S (instanceRef blk0000040c))
+ (portRef O (instanceRef blk000004ad))
+ )
+ )
+ (net sig0000056f
+ (joined
+ (portRef O (instanceRef blk00000407))
+ (portRef D (instanceRef blk000004d4))
+ )
+ )
+ (net sig00000570
+ (joined
+ (portRef CI (instanceRef blk00000408))
+ (portRef CI (instanceRef blk0000040d))
+ (portRef O (instanceRef blk0000040e))
+ )
+ )
+ (net sig00000571
+ (joined
+ (portRef LI (instanceRef blk00000408))
+ (portRef S (instanceRef blk0000040d))
+ (portRef O (instanceRef blk000004ac))
+ )
+ )
+ (net sig00000572
+ (joined
+ (portRef O (instanceRef blk00000408))
+ (portRef D (instanceRef blk000004d5))
+ )
+ )
+ (net sig00000573
+ (joined
+ (portRef DI (instanceRef blk00000409))
+ (portRef I0 (instanceRef blk00000419))
+ (portRef I0 (instanceRef blk000004b0))
+ (portRef Q (instanceRef blk000004d1))
+ )
+ )
+ (net sig00000574
+ (joined
+ (portRef DI (instanceRef blk0000040a))
+ (portRef I1 (instanceRef blk00000419))
+ (portRef I0 (instanceRef blk000004af))
+ (portRef Q (instanceRef blk000004d2))
+ )
+ )
+ (net sig00000575
+ (joined
+ (portRef DI (instanceRef blk0000040b))
+ (portRef I2 (instanceRef blk00000419))
+ (portRef I0 (instanceRef blk000004ae))
+ (portRef Q (instanceRef blk000004d3))
+ )
+ )
+ (net sig00000576
+ (joined
+ (portRef DI (instanceRef blk0000040c))
+ (portRef I3 (instanceRef blk00000419))
+ (portRef I0 (instanceRef blk000004ad))
+ (portRef Q (instanceRef blk000004d4))
+ )
+ )
+ (net sig00000577
+ (joined
+ (portRef DI (instanceRef blk0000040d))
+ (portRef I4 (instanceRef blk00000419))
+ (portRef I0 (instanceRef blk000004ac))
+ (portRef Q (instanceRef blk000004d5))
+ )
+ )
+ (net sig00000578
+ (joined
+ (portRef S (instanceRef blk0000040e))
+ (portRef O (instanceRef blk000004ff))
+ )
+ )
+ (net sig00000579
+ (joined
+ (portRef I1 (instanceRef blk0000040f))
+ (portRef I1 (instanceRef blk00000415))
+ (portRef I1 (instanceRef blk00000416))
+ (portRef Q (instanceRef blk0000065b))
+ )
+ )
+ (net sig0000057a
+ (joined
+ (portRef I2 (instanceRef blk0000040f))
+ (portRef Q (instanceRef blk000005f5))
+ )
+ )
+ (net sig0000057b
+ (joined
+ (portRef I1 (instanceRef blk00000412))
+ (portRef Q (instanceRef blk000004ea))
+ (portRef I0 (instanceRef blk000004f1))
+ )
+ )
+ (net sig0000057c
+ (joined
+ (portRef I2 (instanceRef blk0000041e))
+ (portRef Q (instanceRef blk000004f8))
+ )
+ )
+ (net sig0000057d
+ (joined
+ (portRef I1 (instanceRef blk0000042d))
+ (portRef Q (instanceRef blk000004bb))
+ (portRef I2 (instanceRef blk000004ef))
+ )
+ )
+ (net sig0000057e
+ (joined
+ (portRef I0 (instanceRef blk00000439))
+ (portRef I0 (instanceRef blk000004e1))
+ (portRef Q (instanceRef blk000004eb))
+ (portRef I0 (instanceRef blk000004ee))
+ )
+ )
+ (net sig0000057f
+ (joined
+ (portRef I1 (instanceRef blk0000043f))
+ (portRef Q (instanceRef blk000004c2))
+ (portRef I2 (instanceRef blk000004f0))
+ )
+ )
+ (net sig00000580
+ (joined
+ (portRef I0 (instanceRef blk00000440))
+ (portRef I0 (instanceRef blk00000441))
+ (portRef I2 (instanceRef blk00000442))
+ (portRef I1 (instanceRef blk00000443))
+ (portRef Q (instanceRef blk0000052d))
+ )
+ )
+ (net sig00000581
+ (joined
+ (portRef I1 (instanceRef blk00000447))
+ (portRef Q (instanceRef blk00000595))
+ )
+ )
+ (net sig00000582
+ (joined
+ (portRef O (instanceRef blk00000449))
+ (portRef CE (instanceRef blk00000590))
+ (portRef CE (instanceRef blk00000591))
+ (portRef CE (instanceRef blk00000596))
+ (portRef CE (instanceRef blk00000597))
+ (portRef CE (instanceRef blk00000598))
+ (portRef CE (instanceRef blk00000599))
+ (portRef CE (instanceRef blk0000059a))
+ (portRef CE (instanceRef blk0000059b))
+ (portRef CE (instanceRef blk0000059c))
+ (portRef CE (instanceRef blk0000059d))
+ (portRef CE (instanceRef blk0000059e))
+ (portRef CE (instanceRef blk0000059f))
+ (portRef CE (instanceRef blk000005a0))
+ (portRef CE (instanceRef blk000005a1))
+ (portRef CE (instanceRef blk000005a2))
+ (portRef CE (instanceRef blk000005a3))
+ (portRef CE (instanceRef blk000005a4))
+ (portRef CE (instanceRef blk000005a5))
+ (portRef CE (instanceRef blk000005a6))
+ (portRef CE (instanceRef blk000005a7))
+ (portRef CE (instanceRef blk000005a8))
+ (portRef CE (instanceRef blk000005a9))
+ (portRef CE (instanceRef blk000005aa))
+ (portRef CE (instanceRef blk000005ab))
+ (portRef CE (instanceRef blk000005ac))
+ (portRef CE (instanceRef blk000005ad))
+ (portRef CE (instanceRef blk000005ae))
+ (portRef CE (instanceRef blk000005af))
+ (portRef CE (instanceRef blk000005b0))
+ (portRef CE (instanceRef blk000005b1))
+ (portRef CE (instanceRef blk000005b2))
+ (portRef CE (instanceRef blk000005b3))
+ (portRef CE (instanceRef blk000005b4))
+ (portRef CE (instanceRef blk000005b5))
+ (portRef CE (instanceRef blk000005b6))
+ (portRef CE (instanceRef blk000005b7))
+ (portRef CE (instanceRef blk000005b8))
+ (portRef CE (instanceRef blk000005b9))
+ (portRef CE (instanceRef blk000005ba))
+ (portRef CE (instanceRef blk000005bb))
+ (portRef CE (instanceRef blk000005bc))
+ (portRef CE (instanceRef blk000005bd))
+ (portRef CE (instanceRef blk000005be))
+ (portRef CE (instanceRef blk000005bf))
+ (portRef CE (instanceRef blk000005c0))
+ (portRef CE (instanceRef blk000005c1))
+ (portRef CE (instanceRef blk000005c2))
+ (portRef CE (instanceRef blk000005c3))
+ (portRef CE (instanceRef blk000005c4))
+ (portRef CE (instanceRef blk000005c5))
+ (portRef CE (instanceRef blk000005c6))
+ (portRef CE (instanceRef blk000005c7))
+ (portRef CE (instanceRef blk000005c8))
+ (portRef CE (instanceRef blk000005c9))
+ (portRef CE (instanceRef blk000005ca))
+ (portRef CE (instanceRef blk000005cb))
+ (portRef CE (instanceRef blk000005cc))
+ (portRef CE (instanceRef blk000005cd))
+ (portRef CE (instanceRef blk000005ce))
+ (portRef CE (instanceRef blk000005cf))
+ (portRef CE (instanceRef blk000005d0))
+ (portRef CE (instanceRef blk000005d1))
+ (portRef CE (instanceRef blk000005d2))
+ (portRef CE (instanceRef blk000005d3))
+ (portRef CE (instanceRef blk000005d4))
+ (portRef CE (instanceRef blk000005d5))
+ (portRef CE (instanceRef blk000005d6))
+ (portRef CE (instanceRef blk000005d7))
+ (portRef CE (instanceRef blk000005d8))
+ (portRef CE (instanceRef blk000005d9))
+ (portRef CE (instanceRef blk000005da))
+ (portRef CE (instanceRef blk000005db))
+ (portRef CE (instanceRef blk000005dc))
+ (portRef CE (instanceRef blk000005dd))
+ (portRef CE (instanceRef blk000005de))
+ (portRef CE (instanceRef blk000005df))
+ (portRef CE (instanceRef blk000005e0))
+ (portRef CE (instanceRef blk000005e1))
+ (portRef CE (instanceRef blk000005e2))
+ (portRef CE (instanceRef blk000005e3))
+ (portRef CE (instanceRef blk000005e4))
+ (portRef CE (instanceRef blk000005e5))
+ (portRef CE (instanceRef blk000005e6))
+ (portRef CE (instanceRef blk000005e7))
+ (portRef CE (instanceRef blk000005e8))
+ (portRef CE (instanceRef blk000005e9))
+ (portRef CE (instanceRef blk000005ea))
+ (portRef CE (instanceRef blk000005eb))
+ (portRef CE (instanceRef blk000005ec))
+ (portRef CE (instanceRef blk000005ed))
+ (portRef CE (instanceRef blk000005ee))
+ (portRef CE (instanceRef blk000005ef))
+ (portRef CE (instanceRef blk000005f0))
+ (portRef CE (instanceRef blk000005f1))
+ (portRef CE (instanceRef blk000005f2))
+ (portRef CE (instanceRef blk000005f3))
+ )
+ )
+ (net sig00000583
+ (joined
+ (portRef D (instanceRef blk000004bb))
+ (portRef O (instanceRef blk000004ef))
+ )
+ )
+ (net sig00000584
+ (joined
+ (portRef D (instanceRef blk000004c2))
+ (portRef O (instanceRef blk000004f0))
+ )
+ )
+ (net sig00000585
+ (joined
+ (portRef D (instanceRef blk000004ea))
+ (portRef O (instanceRef blk000004f1))
+ )
+ )
+ (net sig00000586
+ (joined
+ (portRef D (instanceRef blk000004eb))
+ (portRef O (instanceRef blk000004ee))
+ )
+ )
+ (net sig00000587
+ (joined
+ (portRef D (instanceRef blk000004ec))
+ (portRef O (instanceRef blk000004f2))
+ )
+ )
+ (net sig00000588
+ (joined
+ (portRef D (instanceRef blk000004ed))
+ (portRef O (instanceRef blk000004f3))
+ )
+ )
+ (net sig00000589
+ (joined
+ (portRef Q (instanceRef blk00000504))
+ (portRef D (instanceRef blk00000505))
+ )
+ )
+ (net sig0000058a
+ (joined
+ (portRef Q (instanceRef blk00000506))
+ (portRef D (instanceRef blk00000507))
+ )
+ )
+ (net sig0000058b
+ (joined
+ (portRef Q (instanceRef blk00000508))
+ (portRef D (instanceRef blk00000509))
+ )
+ )
+ (net sig0000058c
+ (joined
+ (portRef Q (instanceRef blk0000050a))
+ (portRef D (instanceRef blk0000050b))
+ )
+ )
+ (net sig0000058d
+ (joined
+ (portRef Q (instanceRef blk0000050c))
+ (portRef D (instanceRef blk0000050d))
+ )
+ )
+ (net sig0000058e
+ (joined
+ (portRef Q (instanceRef blk0000050e))
+ (portRef D (instanceRef blk0000050f))
+ )
+ )
+ (net sig0000058f
+ (joined
+ (portRef Q (instanceRef blk00000510))
+ (portRef D (instanceRef blk00000511))
+ )
+ )
+ (net sig00000590
+ (joined
+ (portRef Q (instanceRef blk00000512))
+ (portRef D (instanceRef blk00000513))
+ )
+ )
+ (net sig00000591
+ (joined
+ (portRef Q (instanceRef blk00000514))
+ (portRef D (instanceRef blk00000515))
+ )
+ )
+ (net sig00000592
+ (joined
+ (portRef Q (instanceRef blk00000516))
+ (portRef D (instanceRef blk00000517))
+ )
+ )
+ (net sig00000593
+ (joined
+ (portRef Q (instanceRef blk00000518))
+ (portRef D (instanceRef blk00000519))
+ )
+ )
+ (net sig00000594
+ (joined
+ (portRef Q (instanceRef blk0000051a))
+ (portRef D (instanceRef blk0000051b))
+ )
+ )
+ (net sig00000595
+ (joined
+ (portRef Q (instanceRef blk0000051c))
+ (portRef D (instanceRef blk0000051d))
+ )
+ )
+ (net sig00000596
+ (joined
+ (portRef Q (instanceRef blk0000051e))
+ (portRef D (instanceRef blk0000051f))
+ )
+ )
+ (net sig00000597
+ (joined
+ (portRef Q (instanceRef blk00000520))
+ (portRef D (instanceRef blk00000521))
+ )
+ )
+ (net sig00000598
+ (joined
+ (portRef Q (instanceRef blk00000522))
+ (portRef D (instanceRef blk00000523))
+ )
+ )
+ (net sig00000599
+ (joined
+ (portRef Q (instanceRef blk00000524))
+ (portRef D (instanceRef blk00000525))
+ )
+ )
+ (net sig0000059a
+ (joined
+ (portRef Q (instanceRef blk00000526))
+ (portRef D (instanceRef blk00000527))
+ )
+ )
+ (net sig0000059b
+ (joined
+ (portRef Q (instanceRef blk00000528))
+ (portRef D (instanceRef blk00000529))
+ )
+ )
+ (net sig0000059c
+ (joined
+ (portRef Q (instanceRef blk0000052a))
+ (portRef D (instanceRef blk0000052b))
+ )
+ )
+ (net sig0000059d
+ (joined
+ (portRef Q (instanceRef blk0000052c))
+ (portRef D (instanceRef blk0000052d))
+ )
+ )
+ (net sig0000059e
+ (joined
+ (portRef Q (instanceRef blk0000052e))
+ (portRef D (instanceRef blk0000052f))
+ )
+ )
+ (net sig0000059f
+ (joined
+ (portRef Q (instanceRef blk00000530))
+ (portRef D (instanceRef blk00000531))
+ )
+ )
+ (net sig000005a0
+ (joined
+ (portRef Q (instanceRef blk00000532))
+ (portRef D (instanceRef blk00000533))
+ )
+ )
+ (net sig000005a1
+ (joined
+ (portRef Q (instanceRef blk00000534))
+ (portRef D (instanceRef blk00000535))
+ )
+ )
+ (net sig000005a2
+ (joined
+ (portRef Q (instanceRef blk00000536))
+ (portRef D (instanceRef blk00000537))
+ )
+ )
+ (net sig000005a3
+ (joined
+ (portRef Q (instanceRef blk00000538))
+ (portRef D (instanceRef blk00000539))
+ )
+ )
+ (net sig000005a4
+ (joined
+ (portRef Q (instanceRef blk0000053a))
+ (portRef D (instanceRef blk0000053b))
+ )
+ )
+ (net sig000005a5
+ (joined
+ (portRef Q (instanceRef blk0000053c))
+ (portRef D (instanceRef blk0000053d))
+ )
+ )
+ (net sig000005a6
+ (joined
+ (portRef Q (instanceRef blk0000053e))
+ (portRef D (instanceRef blk0000053f))
+ )
+ )
+ (net sig000005a7
+ (joined
+ (portRef Q (instanceRef blk00000540))
+ (portRef D (instanceRef blk00000541))
+ )
+ )
+ (net sig000005a8
+ (joined
+ (portRef Q (instanceRef blk00000542))
+ (portRef D (instanceRef blk00000543))
+ )
+ )
+ (net sig000005a9
+ (joined
+ (portRef Q (instanceRef blk00000544))
+ (portRef D (instanceRef blk00000545))
+ )
+ )
+ (net sig000005aa
+ (joined
+ (portRef Q (instanceRef blk00000546))
+ (portRef D (instanceRef blk00000547))
+ )
+ )
+ (net sig000005ab
+ (joined
+ (portRef Q (instanceRef blk00000548))
+ (portRef D (instanceRef blk00000549))
+ )
+ )
+ (net sig000005ac
+ (joined
+ (portRef Q (instanceRef blk0000054a))
+ (portRef D (instanceRef blk0000054b))
+ )
+ )
+ (net sig000005ad
+ (joined
+ (portRef Q (instanceRef blk0000054c))
+ (portRef D (instanceRef blk0000054d))
+ )
+ )
+ (net sig000005ae
+ (joined
+ (portRef Q (instanceRef blk0000054e))
+ (portRef D (instanceRef blk0000054f))
+ )
+ )
+ (net sig000005af
+ (joined
+ (portRef Q (instanceRef blk00000550))
+ (portRef D (instanceRef blk00000551))
+ )
+ )
+ (net sig000005b0
+ (joined
+ (portRef Q (instanceRef blk00000552))
+ (portRef D (instanceRef blk00000553))
+ )
+ )
+ (net sig000005b1
+ (joined
+ (portRef Q (instanceRef blk00000554))
+ (portRef D (instanceRef blk00000555))
+ )
+ )
+ (net sig000005b2
+ (joined
+ (portRef Q (instanceRef blk00000556))
+ (portRef D (instanceRef blk00000557))
+ )
+ )
+ (net sig000005b3
+ (joined
+ (portRef Q (instanceRef blk00000558))
+ (portRef D (instanceRef blk00000559))
+ )
+ )
+ (net sig000005b4
+ (joined
+ (portRef Q (instanceRef blk0000055a))
+ (portRef D (instanceRef blk0000055b))
+ )
+ )
+ (net sig000005b5
+ (joined
+ (portRef Q (instanceRef blk0000055c))
+ (portRef D (instanceRef blk0000055d))
+ )
+ )
+ (net sig000005b6
+ (joined
+ (portRef Q (instanceRef blk0000055e))
+ (portRef D (instanceRef blk0000055f))
+ )
+ )
+ (net sig000005b7
+ (joined
+ (portRef Q (instanceRef blk00000560))
+ (portRef D (instanceRef blk00000561))
+ )
+ )
+ (net sig000005b8
+ (joined
+ (portRef Q (instanceRef blk00000562))
+ (portRef D (instanceRef blk00000563))
+ )
+ )
+ (net sig000005b9
+ (joined
+ (portRef Q (instanceRef blk00000564))
+ (portRef D (instanceRef blk00000565))
+ )
+ )
+ (net sig000005ba
+ (joined
+ (portRef Q (instanceRef blk00000566))
+ (portRef D (instanceRef blk00000567))
+ )
+ )
+ (net sig000005bb
+ (joined
+ (portRef Q (instanceRef blk00000568))
+ (portRef D (instanceRef blk00000569))
+ )
+ )
+ (net sig000005bc
+ (joined
+ (portRef Q (instanceRef blk0000056a))
+ (portRef D (instanceRef blk0000056b))
+ )
+ )
+ (net sig000005bd
+ (joined
+ (portRef Q (instanceRef blk0000056c))
+ (portRef D (instanceRef blk0000056d))
+ )
+ )
+ (net sig000005be
+ (joined
+ (portRef Q (instanceRef blk0000056e))
+ (portRef D (instanceRef blk0000056f))
+ )
+ )
+ (net sig000005bf
+ (joined
+ (portRef Q (instanceRef blk00000570))
+ (portRef D (instanceRef blk00000571))
+ )
+ )
+ (net sig000005c0
+ (joined
+ (portRef Q (instanceRef blk00000572))
+ (portRef D (instanceRef blk00000573))
+ )
+ )
+ (net sig000005c1
+ (joined
+ (portRef Q (instanceRef blk00000574))
+ (portRef D (instanceRef blk00000575))
+ )
+ )
+ (net sig000005c2
+ (joined
+ (portRef Q (instanceRef blk00000576))
+ (portRef D (instanceRef blk00000577))
+ )
+ )
+ (net sig000005c3
+ (joined
+ (portRef Q (instanceRef blk00000578))
+ (portRef D (instanceRef blk00000579))
+ )
+ )
+ (net sig000005c4
+ (joined
+ (portRef Q (instanceRef blk0000057a))
+ (portRef D (instanceRef blk0000057b))
+ )
+ )
+ (net sig000005c5
+ (joined
+ (portRef Q (instanceRef blk0000057c))
+ (portRef D (instanceRef blk0000057d))
+ )
+ )
+ (net sig000005c6
+ (joined
+ (portRef Q (instanceRef blk0000057e))
+ (portRef D (instanceRef blk0000057f))
+ )
+ )
+ (net sig000005c7
+ (joined
+ (portRef Q (instanceRef blk00000580))
+ (portRef D (instanceRef blk00000581))
+ )
+ )
+ (net sig000005c8
+ (joined
+ (portRef Q (instanceRef blk00000582))
+ (portRef D (instanceRef blk00000583))
+ )
+ )
+ (net sig000005c9
+ (joined
+ (portRef Q (instanceRef blk00000584))
+ (portRef D (instanceRef blk00000585))
+ )
+ )
+ (net sig000005ca
+ (joined
+ (portRef Q (instanceRef blk00000586))
+ (portRef D (instanceRef blk00000587))
+ )
+ )
+ (net sig000005cb
+ (joined
+ (portRef Q (instanceRef blk00000588))
+ (portRef D (instanceRef blk00000589))
+ )
+ )
+ (net sig000005cc
+ (joined
+ (portRef Q (instanceRef blk0000058a))
+ (portRef D (instanceRef blk0000058b))
+ )
+ )
+ (net sig000005cd
+ (joined
+ (portRef Q (instanceRef blk0000058c))
+ (portRef D (instanceRef blk0000058d))
+ )
+ )
+ (net sig000005ce
+ (joined
+ (portRef Q (instanceRef blk0000058e))
+ (portRef D (instanceRef blk0000058f))
+ )
+ )
+ (net sig000005cf
+ (joined
+ (portRef Q (instanceRef blk00000590))
+ (portRef D (instanceRef blk00000591))
+ )
+ )
+ (net sig000005d0
+ (joined
+ (portRef Q (instanceRef blk00000591))
+ (portRef D (instanceRef blk000005f8))
+ )
+ )
+ (net sig000005d1
+ (joined
+ (portRef Q (instanceRef blk00000592))
+ (portRef D (instanceRef blk00000593))
+ )
+ )
+ (net sig000005d2
+ (joined
+ (portRef Q (instanceRef blk00000594))
+ (portRef D (instanceRef blk00000595))
+ )
+ )
+ (net sig000005d3
+ (joined
+ (portRef Q (instanceRef blk00000596))
+ (portRef D (instanceRef blk00000597))
+ )
+ )
+ (net sig000005d4
+ (joined
+ (portRef Q (instanceRef blk00000597))
+ (portRef D (instanceRef blk000005fa))
+ )
+ )
+ (net sig000005d5
+ (joined
+ (portRef Q (instanceRef blk00000598))
+ (portRef D (instanceRef blk00000599))
+ )
+ )
+ (net sig000005d6
+ (joined
+ (portRef Q (instanceRef blk00000599))
+ (portRef D (instanceRef blk000005fc))
+ )
+ )
+ (net sig000005d7
+ (joined
+ (portRef Q (instanceRef blk0000059a))
+ (portRef D (instanceRef blk0000059b))
+ )
+ )
+ (net sig000005d8
+ (joined
+ (portRef Q (instanceRef blk0000059b))
+ (portRef D (instanceRef blk000005fe))
+ )
+ )
+ (net sig000005d9
+ (joined
+ (portRef Q (instanceRef blk0000059c))
+ (portRef D (instanceRef blk0000059d))
+ )
+ )
+ (net sig000005da
+ (joined
+ (portRef Q (instanceRef blk0000059d))
+ (portRef D (instanceRef blk00000600))
+ )
+ )
+ (net sig000005db
+ (joined
+ (portRef Q (instanceRef blk0000059e))
+ (portRef D (instanceRef blk0000059f))
+ )
+ )
+ (net sig000005dc
+ (joined
+ (portRef Q (instanceRef blk0000059f))
+ (portRef D (instanceRef blk00000602))
+ )
+ )
+ (net sig000005dd
+ (joined
+ (portRef Q (instanceRef blk000005a0))
+ (portRef D (instanceRef blk000005a1))
+ )
+ )
+ (net sig000005de
+ (joined
+ (portRef Q (instanceRef blk000005a1))
+ (portRef D (instanceRef blk00000604))
+ )
+ )
+ (net sig000005df
+ (joined
+ (portRef Q (instanceRef blk000005a2))
+ (portRef D (instanceRef blk000005a3))
+ )
+ )
+ (net sig000005e0
+ (joined
+ (portRef Q (instanceRef blk000005a3))
+ (portRef D (instanceRef blk00000606))
+ )
+ )
+ (net sig000005e1
+ (joined
+ (portRef Q (instanceRef blk000005a4))
+ (portRef D (instanceRef blk000005a5))
+ )
+ )
+ (net sig000005e2
+ (joined
+ (portRef Q (instanceRef blk000005a5))
+ (portRef D (instanceRef blk00000608))
+ )
+ )
+ (net sig000005e3
+ (joined
+ (portRef Q (instanceRef blk000005a6))
+ (portRef D (instanceRef blk000005a7))
+ )
+ )
+ (net sig000005e4
+ (joined
+ (portRef Q (instanceRef blk000005a7))
+ (portRef D (instanceRef blk0000060a))
+ )
+ )
+ (net sig000005e5
+ (joined
+ (portRef Q (instanceRef blk000005a8))
+ (portRef D (instanceRef blk000005a9))
+ )
+ )
+ (net sig000005e6
+ (joined
+ (portRef Q (instanceRef blk000005a9))
+ (portRef D (instanceRef blk0000060c))
+ )
+ )
+ (net sig000005e7
+ (joined
+ (portRef Q (instanceRef blk000005aa))
+ (portRef D (instanceRef blk000005ab))
+ )
+ )
+ (net sig000005e8
+ (joined
+ (portRef Q (instanceRef blk000005ab))
+ (portRef D (instanceRef blk00000610))
+ )
+ )
+ (net sig000005e9
+ (joined
+ (portRef Q (instanceRef blk000005ac))
+ (portRef D (instanceRef blk000005ad))
+ )
+ )
+ (net sig000005ea
+ (joined
+ (portRef Q (instanceRef blk000005ad))
+ (portRef D (instanceRef blk00000612))
+ )
+ )
+ (net sig000005eb
+ (joined
+ (portRef Q (instanceRef blk000005ae))
+ (portRef D (instanceRef blk000005af))
+ )
+ )
+ (net sig000005ec
+ (joined
+ (portRef Q (instanceRef blk000005af))
+ (portRef D (instanceRef blk00000618))
+ )
+ )
+ (net sig000005ed
+ (joined
+ (portRef Q (instanceRef blk000005b0))
+ (portRef D (instanceRef blk000005b1))
+ )
+ )
+ (net sig000005ee
+ (joined
+ (portRef Q (instanceRef blk000005b1))
+ (portRef D (instanceRef blk0000060e))
+ )
+ )
+ (net sig000005ef
+ (joined
+ (portRef Q (instanceRef blk000005b2))
+ (portRef D (instanceRef blk000005b3))
+ )
+ )
+ (net sig000005f0
+ (joined
+ (portRef Q (instanceRef blk000005b3))
+ (portRef D (instanceRef blk00000616))
+ )
+ )
+ (net sig000005f1
+ (joined
+ (portRef Q (instanceRef blk000005b4))
+ (portRef D (instanceRef blk000005b5))
+ )
+ )
+ (net sig000005f2
+ (joined
+ (portRef Q (instanceRef blk000005b5))
+ (portRef D (instanceRef blk0000061c))
+ )
+ )
+ (net sig000005f3
+ (joined
+ (portRef Q (instanceRef blk000005b6))
+ (portRef D (instanceRef blk000005b7))
+ )
+ )
+ (net sig000005f4
+ (joined
+ (portRef Q (instanceRef blk000005b7))
+ (portRef D (instanceRef blk00000614))
+ )
+ )
+ (net sig000005f5
+ (joined
+ (portRef Q (instanceRef blk000005b8))
+ (portRef D (instanceRef blk000005b9))
+ )
+ )
+ (net sig000005f6
+ (joined
+ (portRef Q (instanceRef blk000005b9))
+ (portRef D (instanceRef blk0000061a))
+ )
+ )
+ (net sig000005f7
+ (joined
+ (portRef Q (instanceRef blk000005ba))
+ (portRef D (instanceRef blk000005bb))
+ )
+ )
+ (net sig000005f8
+ (joined
+ (portRef Q (instanceRef blk000005bb))
+ (portRef D (instanceRef blk0000061e))
+ )
+ )
+ (net sig000005f9
+ (joined
+ (portRef Q (instanceRef blk000005bc))
+ (portRef D (instanceRef blk000005bd))
+ )
+ )
+ (net sig000005fa
+ (joined
+ (portRef Q (instanceRef blk000005bd))
+ (portRef D (instanceRef blk00000620))
+ )
+ )
+ (net sig000005fb
+ (joined
+ (portRef Q (instanceRef blk000005be))
+ (portRef D (instanceRef blk000005bf))
+ )
+ )
+ (net sig000005fc
+ (joined
+ (portRef Q (instanceRef blk000005bf))
+ (portRef D (instanceRef blk00000622))
+ )
+ )
+ (net sig000005fd
+ (joined
+ (portRef Q (instanceRef blk000005c0))
+ (portRef D (instanceRef blk000005c1))
+ )
+ )
+ (net sig000005fe
+ (joined
+ (portRef Q (instanceRef blk000005c1))
+ (portRef D (instanceRef blk00000626))
+ )
+ )
+ (net sig000005ff
+ (joined
+ (portRef Q (instanceRef blk000005c2))
+ (portRef D (instanceRef blk000005c3))
+ )
+ )
+ (net sig00000600
+ (joined
+ (portRef Q (instanceRef blk000005c3))
+ (portRef D (instanceRef blk00000628))
+ )
+ )
+ (net sig00000601
+ (joined
+ (portRef Q (instanceRef blk000005c4))
+ (portRef D (instanceRef blk000005c5))
+ )
+ )
+ (net sig00000602
+ (joined
+ (portRef Q (instanceRef blk000005c5))
+ (portRef D (instanceRef blk0000062e))
+ )
+ )
+ (net sig00000603
+ (joined
+ (portRef Q (instanceRef blk000005c6))
+ (portRef D (instanceRef blk000005c7))
+ )
+ )
+ (net sig00000604
+ (joined
+ (portRef Q (instanceRef blk000005c7))
+ (portRef D (instanceRef blk00000624))
+ )
+ )
+ (net sig00000605
+ (joined
+ (portRef Q (instanceRef blk000005c8))
+ (portRef D (instanceRef blk000005c9))
+ )
+ )
+ (net sig00000606
+ (joined
+ (portRef Q (instanceRef blk000005c9))
+ (portRef D (instanceRef blk0000062c))
+ )
+ )
+ (net sig00000607
+ (joined
+ (portRef Q (instanceRef blk000005ca))
+ (portRef D (instanceRef blk000005cb))
+ )
+ )
+ (net sig00000608
+ (joined
+ (portRef Q (instanceRef blk000005cb))
+ (portRef D (instanceRef blk00000632))
+ )
+ )
+ (net sig00000609
+ (joined
+ (portRef Q (instanceRef blk000005cc))
+ (portRef D (instanceRef blk000005cd))
+ )
+ )
+ (net sig0000060a
+ (joined
+ (portRef Q (instanceRef blk000005cd))
+ (portRef D (instanceRef blk0000062a))
+ )
+ )
+ (net sig0000060b
+ (joined
+ (portRef Q (instanceRef blk000005ce))
+ (portRef D (instanceRef blk000005cf))
+ )
+ )
+ (net sig0000060c
+ (joined
+ (portRef Q (instanceRef blk000005cf))
+ (portRef D (instanceRef blk00000630))
+ )
+ )
+ (net sig0000060d
+ (joined
+ (portRef Q (instanceRef blk000005d0))
+ (portRef D (instanceRef blk000005d1))
+ )
+ )
+ (net sig0000060e
+ (joined
+ (portRef Q (instanceRef blk000005d1))
+ (portRef D (instanceRef blk00000634))
+ )
+ )
+ (net sig0000060f
+ (joined
+ (portRef Q (instanceRef blk000005d2))
+ (portRef D (instanceRef blk000005d3))
+ )
+ )
+ (net sig00000610
+ (joined
+ (portRef Q (instanceRef blk000005d3))
+ (portRef D (instanceRef blk00000636))
+ )
+ )
+ (net sig00000611
+ (joined
+ (portRef Q (instanceRef blk000005d4))
+ (portRef D (instanceRef blk000005d5))
+ )
+ )
+ (net sig00000612
+ (joined
+ (portRef Q (instanceRef blk000005d5))
+ (portRef D (instanceRef blk00000638))
+ )
+ )
+ (net sig00000613
+ (joined
+ (portRef Q (instanceRef blk000005d6))
+ (portRef D (instanceRef blk000005d7))
+ )
+ )
+ (net sig00000614
+ (joined
+ (portRef Q (instanceRef blk000005d7))
+ (portRef D (instanceRef blk0000063c))
+ )
+ )
+ (net sig00000615
+ (joined
+ (portRef Q (instanceRef blk000005d8))
+ (portRef D (instanceRef blk000005d9))
+ )
+ )
+ (net sig00000616
+ (joined
+ (portRef Q (instanceRef blk000005d9))
+ (portRef D (instanceRef blk0000063e))
+ )
+ )
+ (net sig00000617
+ (joined
+ (portRef Q (instanceRef blk000005da))
+ (portRef D (instanceRef blk000005db))
+ )
+ )
+ (net sig00000618
+ (joined
+ (portRef Q (instanceRef blk000005db))
+ (portRef D (instanceRef blk00000644))
+ )
+ )
+ (net sig00000619
+ (joined
+ (portRef Q (instanceRef blk000005dc))
+ (portRef D (instanceRef blk000005dd))
+ )
+ )
+ (net sig0000061a
+ (joined
+ (portRef Q (instanceRef blk000005dd))
+ (portRef D (instanceRef blk0000063a))
+ )
+ )
+ (net sig0000061b
+ (joined
+ (portRef Q (instanceRef blk000005de))
+ (portRef D (instanceRef blk000005df))
+ )
+ )
+ (net sig0000061c
+ (joined
+ (portRef Q (instanceRef blk000005df))
+ (portRef D (instanceRef blk00000642))
+ )
+ )
+ (net sig0000061d
+ (joined
+ (portRef Q (instanceRef blk000005e0))
+ (portRef D (instanceRef blk000005e1))
+ )
+ )
+ (net sig0000061e
+ (joined
+ (portRef Q (instanceRef blk000005e1))
+ (portRef D (instanceRef blk00000648))
+ )
+ )
+ (net sig0000061f
+ (joined
+ (portRef Q (instanceRef blk000005e2))
+ (portRef D (instanceRef blk000005e3))
+ )
+ )
+ (net sig00000620
+ (joined
+ (portRef Q (instanceRef blk000005e3))
+ (portRef D (instanceRef blk00000640))
+ )
+ )
+ (net sig00000621
+ (joined
+ (portRef Q (instanceRef blk000005e4))
+ (portRef D (instanceRef blk000005e5))
+ )
+ )
+ (net sig00000622
+ (joined
+ (portRef Q (instanceRef blk000005e5))
+ (portRef D (instanceRef blk00000646))
+ )
+ )
+ (net sig00000623
+ (joined
+ (portRef Q (instanceRef blk000005e6))
+ (portRef D (instanceRef blk000005e7))
+ )
+ )
+ (net sig00000624
+ (joined
+ (portRef Q (instanceRef blk000005e7))
+ (portRef D (instanceRef blk0000064a))
+ )
+ )
+ (net sig00000625
+ (joined
+ (portRef Q (instanceRef blk000005e8))
+ (portRef D (instanceRef blk000005e9))
+ )
+ )
+ (net sig00000626
+ (joined
+ (portRef Q (instanceRef blk000005e9))
+ (portRef D (instanceRef blk0000064c))
+ )
+ )
+ (net sig00000627
+ (joined
+ (portRef Q (instanceRef blk000005ea))
+ (portRef D (instanceRef blk000005eb))
+ )
+ )
+ (net sig00000628
+ (joined
+ (portRef Q (instanceRef blk000005eb))
+ (portRef D (instanceRef blk0000064e))
+ )
+ )
+ (net sig00000629
+ (joined
+ (portRef Q (instanceRef blk000005ec))
+ (portRef D (instanceRef blk000005ed))
+ )
+ )
+ (net sig0000062a
+ (joined
+ (portRef Q (instanceRef blk000005ed))
+ (portRef D (instanceRef blk00000650))
+ )
+ )
+ (net sig0000062b
+ (joined
+ (portRef Q (instanceRef blk000005ee))
+ (portRef D (instanceRef blk000005ef))
+ )
+ )
+ (net sig0000062c
+ (joined
+ (portRef Q (instanceRef blk000005ef))
+ (portRef D (instanceRef blk00000652))
+ )
+ )
+ (net sig0000062d
+ (joined
+ (portRef Q (instanceRef blk000005f0))
+ (portRef D (instanceRef blk000005f1))
+ )
+ )
+ (net sig0000062e
+ (joined
+ (portRef Q (instanceRef blk000005f1))
+ (portRef D (instanceRef blk00000654))
+ )
+ )
+ (net sig0000062f
+ (joined
+ (portRef Q (instanceRef blk000005f2))
+ (portRef D (instanceRef blk000005f3))
+ )
+ )
+ (net sig00000630
+ (joined
+ (portRef Q (instanceRef blk000005f3))
+ (portRef D (instanceRef blk00000656))
+ )
+ )
+ (net sig00000631
+ (joined
+ (portRef Q (instanceRef blk000005f4))
+ (portRef D (instanceRef blk000005f5))
+ )
+ )
+ (net sig00000632
+ (joined
+ (portRef Q (instanceRef blk000005f6))
+ (portRef D (instanceRef blk000005f7))
+ )
+ )
+ (net sig00000633
+ (joined
+ (portRef Q (instanceRef blk000005f8))
+ (portRef D (instanceRef blk000005f9))
+ )
+ )
+ (net sig00000634
+ (joined
+ (portRef Q (instanceRef blk000005fa))
+ (portRef D (instanceRef blk000005fb))
+ )
+ )
+ (net sig00000635
+ (joined
+ (portRef Q (instanceRef blk000005fc))
+ (portRef D (instanceRef blk000005fd))
+ )
+ )
+ (net sig00000636
+ (joined
+ (portRef Q (instanceRef blk000005fe))
+ (portRef D (instanceRef blk000005ff))
+ )
+ )
+ (net sig00000637
+ (joined
+ (portRef Q (instanceRef blk00000600))
+ (portRef D (instanceRef blk00000601))
+ )
+ )
+ (net sig00000638
+ (joined
+ (portRef Q (instanceRef blk00000602))
+ (portRef D (instanceRef blk00000603))
+ )
+ )
+ (net sig00000639
+ (joined
+ (portRef Q (instanceRef blk00000604))
+ (portRef D (instanceRef blk00000605))
+ )
+ )
+ (net sig0000063a
+ (joined
+ (portRef Q (instanceRef blk00000606))
+ (portRef D (instanceRef blk00000607))
+ )
+ )
+ (net sig0000063b
+ (joined
+ (portRef Q (instanceRef blk00000608))
+ (portRef D (instanceRef blk00000609))
+ )
+ )
+ (net sig0000063c
+ (joined
+ (portRef Q (instanceRef blk0000060a))
+ (portRef D (instanceRef blk0000060b))
+ )
+ )
+ (net sig0000063d
+ (joined
+ (portRef Q (instanceRef blk0000060c))
+ (portRef D (instanceRef blk0000060d))
+ )
+ )
+ (net sig0000063e
+ (joined
+ (portRef Q (instanceRef blk0000060e))
+ (portRef D (instanceRef blk0000060f))
+ )
+ )
+ (net sig0000063f
+ (joined
+ (portRef Q (instanceRef blk00000610))
+ (portRef D (instanceRef blk00000611))
+ )
+ )
+ (net sig00000640
+ (joined
+ (portRef Q (instanceRef blk00000612))
+ (portRef D (instanceRef blk00000613))
+ )
+ )
+ (net sig00000641
+ (joined
+ (portRef Q (instanceRef blk00000614))
+ (portRef D (instanceRef blk00000615))
+ )
+ )
+ (net sig00000642
+ (joined
+ (portRef Q (instanceRef blk00000616))
+ (portRef D (instanceRef blk00000617))
+ )
+ )
+ (net sig00000643
+ (joined
+ (portRef Q (instanceRef blk00000618))
+ (portRef D (instanceRef blk00000619))
+ )
+ )
+ (net sig00000644
+ (joined
+ (portRef Q (instanceRef blk0000061a))
+ (portRef D (instanceRef blk0000061b))
+ )
+ )
+ (net sig00000645
+ (joined
+ (portRef Q (instanceRef blk0000061c))
+ (portRef D (instanceRef blk0000061d))
+ )
+ )
+ (net sig00000646
+ (joined
+ (portRef Q (instanceRef blk0000061e))
+ (portRef D (instanceRef blk0000061f))
+ )
+ )
+ (net sig00000647
+ (joined
+ (portRef Q (instanceRef blk00000620))
+ (portRef D (instanceRef blk00000621))
+ )
+ )
+ (net sig00000648
+ (joined
+ (portRef Q (instanceRef blk00000622))
+ (portRef D (instanceRef blk00000623))
+ )
+ )
+ (net sig00000649
+ (joined
+ (portRef Q (instanceRef blk00000624))
+ (portRef D (instanceRef blk00000625))
+ )
+ )
+ (net sig0000064a
+ (joined
+ (portRef Q (instanceRef blk00000626))
+ (portRef D (instanceRef blk00000627))
+ )
+ )
+ (net sig0000064b
+ (joined
+ (portRef Q (instanceRef blk00000628))
+ (portRef D (instanceRef blk00000629))
+ )
+ )
+ (net sig0000064c
+ (joined
+ (portRef Q (instanceRef blk0000062a))
+ (portRef D (instanceRef blk0000062b))
+ )
+ )
+ (net sig0000064d
+ (joined
+ (portRef Q (instanceRef blk0000062c))
+ (portRef D (instanceRef blk0000062d))
+ )
+ )
+ (net sig0000064e
+ (joined
+ (portRef Q (instanceRef blk0000062e))
+ (portRef D (instanceRef blk0000062f))
+ )
+ )
+ (net sig0000064f
+ (joined
+ (portRef Q (instanceRef blk00000630))
+ (portRef D (instanceRef blk00000631))
+ )
+ )
+ (net sig00000650
+ (joined
+ (portRef Q (instanceRef blk00000632))
+ (portRef D (instanceRef blk00000633))
+ )
+ )
+ (net sig00000651
+ (joined
+ (portRef Q (instanceRef blk00000634))
+ (portRef D (instanceRef blk00000635))
+ )
+ )
+ (net sig00000652
+ (joined
+ (portRef Q (instanceRef blk00000636))
+ (portRef D (instanceRef blk00000637))
+ )
+ )
+ (net sig00000653
+ (joined
+ (portRef Q (instanceRef blk00000638))
+ (portRef D (instanceRef blk00000639))
+ )
+ )
+ (net sig00000654
+ (joined
+ (portRef Q (instanceRef blk0000063a))
+ (portRef D (instanceRef blk0000063b))
+ )
+ )
+ (net sig00000655
+ (joined
+ (portRef Q (instanceRef blk0000063c))
+ (portRef D (instanceRef blk0000063d))
+ )
+ )
+ (net sig00000656
+ (joined
+ (portRef Q (instanceRef blk0000063e))
+ (portRef D (instanceRef blk0000063f))
+ )
+ )
+ (net sig00000657
+ (joined
+ (portRef Q (instanceRef blk00000640))
+ (portRef D (instanceRef blk00000641))
+ )
+ )
+ (net sig00000658
+ (joined
+ (portRef Q (instanceRef blk00000642))
+ (portRef D (instanceRef blk00000643))
+ )
+ )
+ (net sig00000659
+ (joined
+ (portRef Q (instanceRef blk00000644))
+ (portRef D (instanceRef blk00000645))
+ )
+ )
+ (net sig0000065a
+ (joined
+ (portRef Q (instanceRef blk00000646))
+ (portRef D (instanceRef blk00000647))
+ )
+ )
+ (net sig0000065b
+ (joined
+ (portRef Q (instanceRef blk00000648))
+ (portRef D (instanceRef blk00000649))
+ )
+ )
+ (net sig0000065c
+ (joined
+ (portRef Q (instanceRef blk0000064a))
+ (portRef D (instanceRef blk0000064b))
+ )
+ )
+ (net sig0000065d
+ (joined
+ (portRef Q (instanceRef blk0000064c))
+ (portRef D (instanceRef blk0000064d))
+ )
+ )
+ (net sig0000065e
+ (joined
+ (portRef Q (instanceRef blk0000064e))
+ (portRef D (instanceRef blk0000064f))
+ )
+ )
+ (net sig0000065f
+ (joined
+ (portRef Q (instanceRef blk00000650))
+ (portRef D (instanceRef blk00000651))
+ )
+ )
+ (net sig00000660
+ (joined
+ (portRef Q (instanceRef blk00000652))
+ (portRef D (instanceRef blk00000653))
+ )
+ )
+ (net sig00000661
+ (joined
+ (portRef Q (instanceRef blk00000654))
+ (portRef D (instanceRef blk00000655))
+ )
+ )
+ (net sig00000662
+ (joined
+ (portRef Q (instanceRef blk00000656))
+ (portRef D (instanceRef blk00000657))
+ )
+ )
+ (net sig00000663
+ (joined
+ (portRef Q (instanceRef blk00000658))
+ (portRef D (instanceRef blk00000659))
+ )
+ )
+ (net sig00000664
+ (joined
+ (portRef Q (instanceRef blk0000065a))
+ (portRef D (instanceRef blk0000065b))
+ )
+ )
+ )
+ )
+ )
+ (cell hbdec3
+ (cellType GENERIC)
+ (view view_1
+ (viewType NETLIST)
+ (interface
+ (port sclr
+ (direction INPUT)
+ )
+ (port ce
+ (direction INPUT)
+ )
+ (port rfd
+ (direction OUTPUT)
+ )
+ (port rdy
+ (direction OUTPUT)
+ )
+ (port data_valid
+ (direction OUTPUT)
+ )
+ (port coef_we
+ (direction INPUT)
+ )
+ (port nd
+ (direction INPUT)
+ )
+ (port clk
+ (direction INPUT)
+ )
+ (port coef_ld
+ (direction INPUT)
+ )
+ (port (array (rename dout_1 "dout_1<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename dout_2 "dout_2<47:0>") 48)
+ (direction OUTPUT))
+ (port (array (rename din_1 "din_1<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename din_2 "din_2<23:0>") 24)
+ (direction INPUT))
+ (port (array (rename coef_din "coef_din<17:0>") 18)
+ (direction INPUT))
+ (designator "7k325tffg900-2")
+ (property BUS_INFO (string "48:OUTPUT:dout_1<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_2<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property TYPE (string "hbdec3") (owner "Xilinx"))
+ (property X_CORE_INFO (string "fir_compiler_v5_0, Xilinx CORE Generator 14.4") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "hbdec3_hbdec3") (owner "Xilinx"))
+ )
+ (contents
+ (instance blk00000001
+ (viewRef view_1 (cellRef VCC (libraryRef UNISIMS)))
+ )
+ (instance blk00000002
+ (viewRef view_1 (cellRef GND (libraryRef UNISIMS)))
+ )
+ (instance blk00000003
+ (viewRef view_1 (cellRef hbdec3_fir_compiler_v5_0_xst_1_blk00000003 (libraryRef hbdec3_lib)))
+ (property BUS_INFO (string "48:OUTPUT:dout_10<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_11<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_12<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_13<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_14<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_15<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_16<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:coef_filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_1<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_2<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_3<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_4<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_5<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_6<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_7<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_8<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_9<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_10<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_11<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_12<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_13<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_14<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_15<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_16<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_1<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_2<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_3<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_4<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_5<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_6<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_7<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_8<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_9<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_in<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_out<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "18:INPUT:coef_din<17:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:INPUT:coef_filter_sel<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:INPUT:din_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_in<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "1:OUTPUT:chan_out<0:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_1<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_1<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_1<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_2<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_2<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_2<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_3<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_3<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_3<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_4<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_4<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_4<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_5<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_5<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_5<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_6<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_6<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_6<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_7<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_7<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_7<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_8<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_8<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_8<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_9<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_9<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_9<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_10<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_10<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_10<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_11<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_11<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_11<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_12<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_12<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_12<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_13<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_13<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_13<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_14<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_14<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_14<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_15<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_15<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_15<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_16<47:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "24:OUTPUT:dout_i_16<23:0>") (owner "Xilinx"))
+ (property BUS_INFO (string "48:OUTPUT:dout_q_16<47:0>") (owner "Xilinx"))
+ (property CHECK_LICENSE_TYPE (string "hbdec3,fir_compiler_v5_0,NONE,NONE") (owner "Xilinx"))
+ (property CORE_GENERATION_INFO (string "hbdec3,fir_compiler_v5_0,{accum_width=48,allow_approx=0,c_has_ce=1,c_has_data_valid=1,c_has_nd=1,c_has_sclr=1,c_latency=23,c_mem_init_file=hbdec3.mif,c_optimization=1,chan_in_adv=0,chan_sel_width=1,clock_freq=200000000,coef_memtype=0,coef_reload=1,coef_type=0,coef_width=18,col_config=3,col_mode=0,col_pipe_len=4,data_memtype=0,data_type=0,data_width=24,datapath_memtype=0,decim_rate=2,filter_arch=1,filter_sel_width=1,filter_type=6,interp_rate=1,ipbuff_memtype=0,neg_symmetry=0,num_channels=1,num_filts=1,num_paths=2,num_taps=63,odd_symmetry=1,opbuff_memtype=0,output_reg=1,output_width=48,rate_change_type=0,round_mode=0,sample_freq=50000000,sclr_deterministic=1,symmetry=1,zero_packing_factor=1,}") (owner "Xilinx"))
+ (property SHREG_MIN_SIZE (string "-1") (owner "Xilinx"))
+ (property SHREG_EXTRACT_NGC (string "Yes") (owner "Xilinx"))
+ (property NB_BUSPIN_PROPS (string "OK") (owner "Xilinx"))
+ (property NLW_UNIQUE_ID (integer 0) (owner "Xilinx"))
+ (property NLW_MACRO_TAG (integer 1) (owner "Xilinx"))
+ (property NLW_MACRO_ALIAS (string "hbdec3_fir_compiler_v5_0_xst_1_blk00000003") (owner "Xilinx"))
+ )
+ (net sclr
+ (joined
+ (portRef sclr)
+ (portRef sclr (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N2") (owner "Xilinx"))
+ )
+ (net clk
+ (joined
+ (portRef clk)
+ (portRef clk (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N3") (owner "Xilinx"))
+ )
+ (net ce
+ (joined
+ (portRef ce)
+ (portRef ce (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N4") (owner "Xilinx"))
+ )
+ (net nd
+ (joined
+ (portRef nd)
+ (portRef nd (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N5") (owner "Xilinx"))
+ )
+ (net coef_ld
+ (joined
+ (portRef coef_ld)
+ (portRef coef_ld (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N7") (owner "Xilinx"))
+ )
+ (net coef_we
+ (joined
+ (portRef coef_we)
+ (portRef coef_we (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N8") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_17_ "coef_din<17>")
+ (joined
+ (portRef (member coef_din 0))
+ (portRef (member coef_din 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N9") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_16_ "coef_din<16>")
+ (joined
+ (portRef (member coef_din 1))
+ (portRef (member coef_din 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N10") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_15_ "coef_din<15>")
+ (joined
+ (portRef (member coef_din 2))
+ (portRef (member coef_din 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N11") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_14_ "coef_din<14>")
+ (joined
+ (portRef (member coef_din 3))
+ (portRef (member coef_din 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N12") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_13_ "coef_din<13>")
+ (joined
+ (portRef (member coef_din 4))
+ (portRef (member coef_din 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N13") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_12_ "coef_din<12>")
+ (joined
+ (portRef (member coef_din 5))
+ (portRef (member coef_din 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N14") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_11_ "coef_din<11>")
+ (joined
+ (portRef (member coef_din 6))
+ (portRef (member coef_din 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N15") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_10_ "coef_din<10>")
+ (joined
+ (portRef (member coef_din 7))
+ (portRef (member coef_din 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N16") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_9_ "coef_din<9>")
+ (joined
+ (portRef (member coef_din 8))
+ (portRef (member coef_din 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N17") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_8_ "coef_din<8>")
+ (joined
+ (portRef (member coef_din 9))
+ (portRef (member coef_din 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N18") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_7_ "coef_din<7>")
+ (joined
+ (portRef (member coef_din 10))
+ (portRef (member coef_din 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N19") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_6_ "coef_din<6>")
+ (joined
+ (portRef (member coef_din 11))
+ (portRef (member coef_din 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N20") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_5_ "coef_din<5>")
+ (joined
+ (portRef (member coef_din 12))
+ (portRef (member coef_din 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N21") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_4_ "coef_din<4>")
+ (joined
+ (portRef (member coef_din 13))
+ (portRef (member coef_din 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N22") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_3_ "coef_din<3>")
+ (joined
+ (portRef (member coef_din 14))
+ (portRef (member coef_din 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N23") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_2_ "coef_din<2>")
+ (joined
+ (portRef (member coef_din 15))
+ (portRef (member coef_din 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N24") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_1_ "coef_din<1>")
+ (joined
+ (portRef (member coef_din 16))
+ (portRef (member coef_din 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N25") (owner "Xilinx"))
+ )
+ (net (rename coef_din_renamed_0_0_ "coef_din<0>")
+ (joined
+ (portRef (member coef_din 17))
+ (portRef (member coef_din 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N26") (owner "Xilinx"))
+ )
+ (net rfd
+ (joined
+ (portRef rfd)
+ (portRef rfd (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N28") (owner "Xilinx"))
+ )
+ (net rdy
+ (joined
+ (portRef rdy)
+ (portRef rdy (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N29") (owner "Xilinx"))
+ )
+ (net data_valid
+ (joined
+ (portRef data_valid)
+ (portRef data_valid (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N30") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_23_ "din_1<23>")
+ (joined
+ (portRef (member din_1 0))
+ (portRef (member din_1 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N177") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_22_ "din_1<22>")
+ (joined
+ (portRef (member din_1 1))
+ (portRef (member din_1 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N178") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_21_ "din_1<21>")
+ (joined
+ (portRef (member din_1 2))
+ (portRef (member din_1 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N179") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_20_ "din_1<20>")
+ (joined
+ (portRef (member din_1 3))
+ (portRef (member din_1 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N180") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_19_ "din_1<19>")
+ (joined
+ (portRef (member din_1 4))
+ (portRef (member din_1 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N181") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_18_ "din_1<18>")
+ (joined
+ (portRef (member din_1 5))
+ (portRef (member din_1 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N182") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_17_ "din_1<17>")
+ (joined
+ (portRef (member din_1 6))
+ (portRef (member din_1 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N183") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_16_ "din_1<16>")
+ (joined
+ (portRef (member din_1 7))
+ (portRef (member din_1 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N184") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_15_ "din_1<15>")
+ (joined
+ (portRef (member din_1 8))
+ (portRef (member din_1 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N185") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_14_ "din_1<14>")
+ (joined
+ (portRef (member din_1 9))
+ (portRef (member din_1 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N186") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_13_ "din_1<13>")
+ (joined
+ (portRef (member din_1 10))
+ (portRef (member din_1 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N187") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_12_ "din_1<12>")
+ (joined
+ (portRef (member din_1 11))
+ (portRef (member din_1 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N188") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_11_ "din_1<11>")
+ (joined
+ (portRef (member din_1 12))
+ (portRef (member din_1 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N189") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_10_ "din_1<10>")
+ (joined
+ (portRef (member din_1 13))
+ (portRef (member din_1 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N190") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_9_ "din_1<9>")
+ (joined
+ (portRef (member din_1 14))
+ (portRef (member din_1 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N191") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_8_ "din_1<8>")
+ (joined
+ (portRef (member din_1 15))
+ (portRef (member din_1 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N192") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_7_ "din_1<7>")
+ (joined
+ (portRef (member din_1 16))
+ (portRef (member din_1 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N193") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_6_ "din_1<6>")
+ (joined
+ (portRef (member din_1 17))
+ (portRef (member din_1 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N194") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_5_ "din_1<5>")
+ (joined
+ (portRef (member din_1 18))
+ (portRef (member din_1 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N195") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_4_ "din_1<4>")
+ (joined
+ (portRef (member din_1 19))
+ (portRef (member din_1 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N196") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_3_ "din_1<3>")
+ (joined
+ (portRef (member din_1 20))
+ (portRef (member din_1 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N197") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_2_ "din_1<2>")
+ (joined
+ (portRef (member din_1 21))
+ (portRef (member din_1 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N198") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_1_ "din_1<1>")
+ (joined
+ (portRef (member din_1 22))
+ (portRef (member din_1 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N199") (owner "Xilinx"))
+ )
+ (net (rename din_1_renamed_1_0_ "din_1<0>")
+ (joined
+ (portRef (member din_1 23))
+ (portRef (member din_1 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N200") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_23_ "din_2<23>")
+ (joined
+ (portRef (member din_2 0))
+ (portRef (member din_2 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N201") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_22_ "din_2<22>")
+ (joined
+ (portRef (member din_2 1))
+ (portRef (member din_2 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N202") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_21_ "din_2<21>")
+ (joined
+ (portRef (member din_2 2))
+ (portRef (member din_2 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N203") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_20_ "din_2<20>")
+ (joined
+ (portRef (member din_2 3))
+ (portRef (member din_2 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N204") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_19_ "din_2<19>")
+ (joined
+ (portRef (member din_2 4))
+ (portRef (member din_2 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N205") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_18_ "din_2<18>")
+ (joined
+ (portRef (member din_2 5))
+ (portRef (member din_2 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N206") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_17_ "din_2<17>")
+ (joined
+ (portRef (member din_2 6))
+ (portRef (member din_2 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N207") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_16_ "din_2<16>")
+ (joined
+ (portRef (member din_2 7))
+ (portRef (member din_2 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N208") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_15_ "din_2<15>")
+ (joined
+ (portRef (member din_2 8))
+ (portRef (member din_2 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N209") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_14_ "din_2<14>")
+ (joined
+ (portRef (member din_2 9))
+ (portRef (member din_2 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N210") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_13_ "din_2<13>")
+ (joined
+ (portRef (member din_2 10))
+ (portRef (member din_2 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N211") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_12_ "din_2<12>")
+ (joined
+ (portRef (member din_2 11))
+ (portRef (member din_2 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N212") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_11_ "din_2<11>")
+ (joined
+ (portRef (member din_2 12))
+ (portRef (member din_2 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N213") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_10_ "din_2<10>")
+ (joined
+ (portRef (member din_2 13))
+ (portRef (member din_2 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N214") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_9_ "din_2<9>")
+ (joined
+ (portRef (member din_2 14))
+ (portRef (member din_2 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N215") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_8_ "din_2<8>")
+ (joined
+ (portRef (member din_2 15))
+ (portRef (member din_2 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N216") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_7_ "din_2<7>")
+ (joined
+ (portRef (member din_2 16))
+ (portRef (member din_2 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N217") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_6_ "din_2<6>")
+ (joined
+ (portRef (member din_2 17))
+ (portRef (member din_2 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N218") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_5_ "din_2<5>")
+ (joined
+ (portRef (member din_2 18))
+ (portRef (member din_2 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N219") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_4_ "din_2<4>")
+ (joined
+ (portRef (member din_2 19))
+ (portRef (member din_2 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N220") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_3_ "din_2<3>")
+ (joined
+ (portRef (member din_2 20))
+ (portRef (member din_2 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N221") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_2_ "din_2<2>")
+ (joined
+ (portRef (member din_2 21))
+ (portRef (member din_2 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N222") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_1_ "din_2<1>")
+ (joined
+ (portRef (member din_2 22))
+ (portRef (member din_2 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N223") (owner "Xilinx"))
+ )
+ (net (rename din_2_renamed_2_0_ "din_2<0>")
+ (joined
+ (portRef (member din_2 23))
+ (portRef (member din_2 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N224") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_47_ "dout_1<47>")
+ (joined
+ (portRef (member dout_1 0))
+ (portRef (member dout_1 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N561") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_46_ "dout_1<46>")
+ (joined
+ (portRef (member dout_1 1))
+ (portRef (member dout_1 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N562") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_45_ "dout_1<45>")
+ (joined
+ (portRef (member dout_1 2))
+ (portRef (member dout_1 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N563") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_44_ "dout_1<44>")
+ (joined
+ (portRef (member dout_1 3))
+ (portRef (member dout_1 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N564") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_43_ "dout_1<43>")
+ (joined
+ (portRef (member dout_1 4))
+ (portRef (member dout_1 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N565") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_42_ "dout_1<42>")
+ (joined
+ (portRef (member dout_1 5))
+ (portRef (member dout_1 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N566") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_41_ "dout_1<41>")
+ (joined
+ (portRef (member dout_1 6))
+ (portRef (member dout_1 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N567") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_40_ "dout_1<40>")
+ (joined
+ (portRef (member dout_1 7))
+ (portRef (member dout_1 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N568") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_39_ "dout_1<39>")
+ (joined
+ (portRef (member dout_1 8))
+ (portRef (member dout_1 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N569") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_38_ "dout_1<38>")
+ (joined
+ (portRef (member dout_1 9))
+ (portRef (member dout_1 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N570") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_37_ "dout_1<37>")
+ (joined
+ (portRef (member dout_1 10))
+ (portRef (member dout_1 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N571") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_36_ "dout_1<36>")
+ (joined
+ (portRef (member dout_1 11))
+ (portRef (member dout_1 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N572") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_35_ "dout_1<35>")
+ (joined
+ (portRef (member dout_1 12))
+ (portRef (member dout_1 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N573") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_34_ "dout_1<34>")
+ (joined
+ (portRef (member dout_1 13))
+ (portRef (member dout_1 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N574") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_33_ "dout_1<33>")
+ (joined
+ (portRef (member dout_1 14))
+ (portRef (member dout_1 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N575") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_32_ "dout_1<32>")
+ (joined
+ (portRef (member dout_1 15))
+ (portRef (member dout_1 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N576") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_31_ "dout_1<31>")
+ (joined
+ (portRef (member dout_1 16))
+ (portRef (member dout_1 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N577") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_30_ "dout_1<30>")
+ (joined
+ (portRef (member dout_1 17))
+ (portRef (member dout_1 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N578") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_29_ "dout_1<29>")
+ (joined
+ (portRef (member dout_1 18))
+ (portRef (member dout_1 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N579") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_28_ "dout_1<28>")
+ (joined
+ (portRef (member dout_1 19))
+ (portRef (member dout_1 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N580") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_27_ "dout_1<27>")
+ (joined
+ (portRef (member dout_1 20))
+ (portRef (member dout_1 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N581") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_26_ "dout_1<26>")
+ (joined
+ (portRef (member dout_1 21))
+ (portRef (member dout_1 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N582") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_25_ "dout_1<25>")
+ (joined
+ (portRef (member dout_1 22))
+ (portRef (member dout_1 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N583") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_24_ "dout_1<24>")
+ (joined
+ (portRef (member dout_1 23))
+ (portRef (member dout_1 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N584") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_23_ "dout_1<23>")
+ (joined
+ (portRef (member dout_1 24))
+ (portRef (member dout_1 24) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N585") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_22_ "dout_1<22>")
+ (joined
+ (portRef (member dout_1 25))
+ (portRef (member dout_1 25) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N586") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_21_ "dout_1<21>")
+ (joined
+ (portRef (member dout_1 26))
+ (portRef (member dout_1 26) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N587") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_20_ "dout_1<20>")
+ (joined
+ (portRef (member dout_1 27))
+ (portRef (member dout_1 27) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N588") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_19_ "dout_1<19>")
+ (joined
+ (portRef (member dout_1 28))
+ (portRef (member dout_1 28) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N589") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_18_ "dout_1<18>")
+ (joined
+ (portRef (member dout_1 29))
+ (portRef (member dout_1 29) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N590") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_17_ "dout_1<17>")
+ (joined
+ (portRef (member dout_1 30))
+ (portRef (member dout_1 30) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N591") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_16_ "dout_1<16>")
+ (joined
+ (portRef (member dout_1 31))
+ (portRef (member dout_1 31) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N592") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_15_ "dout_1<15>")
+ (joined
+ (portRef (member dout_1 32))
+ (portRef (member dout_1 32) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N593") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_14_ "dout_1<14>")
+ (joined
+ (portRef (member dout_1 33))
+ (portRef (member dout_1 33) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N594") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_13_ "dout_1<13>")
+ (joined
+ (portRef (member dout_1 34))
+ (portRef (member dout_1 34) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N595") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_12_ "dout_1<12>")
+ (joined
+ (portRef (member dout_1 35))
+ (portRef (member dout_1 35) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N596") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_11_ "dout_1<11>")
+ (joined
+ (portRef (member dout_1 36))
+ (portRef (member dout_1 36) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N597") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_10_ "dout_1<10>")
+ (joined
+ (portRef (member dout_1 37))
+ (portRef (member dout_1 37) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N598") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_9_ "dout_1<9>")
+ (joined
+ (portRef (member dout_1 38))
+ (portRef (member dout_1 38) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N599") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_8_ "dout_1<8>")
+ (joined
+ (portRef (member dout_1 39))
+ (portRef (member dout_1 39) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N600") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_7_ "dout_1<7>")
+ (joined
+ (portRef (member dout_1 40))
+ (portRef (member dout_1 40) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N601") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_6_ "dout_1<6>")
+ (joined
+ (portRef (member dout_1 41))
+ (portRef (member dout_1 41) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N602") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_5_ "dout_1<5>")
+ (joined
+ (portRef (member dout_1 42))
+ (portRef (member dout_1 42) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N603") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_4_ "dout_1<4>")
+ (joined
+ (portRef (member dout_1 43))
+ (portRef (member dout_1 43) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N604") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_3_ "dout_1<3>")
+ (joined
+ (portRef (member dout_1 44))
+ (portRef (member dout_1 44) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N605") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_2_ "dout_1<2>")
+ (joined
+ (portRef (member dout_1 45))
+ (portRef (member dout_1 45) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N606") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_1_ "dout_1<1>")
+ (joined
+ (portRef (member dout_1 46))
+ (portRef (member dout_1 46) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N607") (owner "Xilinx"))
+ )
+ (net (rename dout_1_renamed_3_0_ "dout_1<0>")
+ (joined
+ (portRef (member dout_1 47))
+ (portRef (member dout_1 47) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N608") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_47_ "dout_2<47>")
+ (joined
+ (portRef (member dout_2 0))
+ (portRef (member dout_2 0) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N681") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_46_ "dout_2<46>")
+ (joined
+ (portRef (member dout_2 1))
+ (portRef (member dout_2 1) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N682") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_45_ "dout_2<45>")
+ (joined
+ (portRef (member dout_2 2))
+ (portRef (member dout_2 2) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N683") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_44_ "dout_2<44>")
+ (joined
+ (portRef (member dout_2 3))
+ (portRef (member dout_2 3) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N684") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_43_ "dout_2<43>")
+ (joined
+ (portRef (member dout_2 4))
+ (portRef (member dout_2 4) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N685") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_42_ "dout_2<42>")
+ (joined
+ (portRef (member dout_2 5))
+ (portRef (member dout_2 5) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N686") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_41_ "dout_2<41>")
+ (joined
+ (portRef (member dout_2 6))
+ (portRef (member dout_2 6) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N687") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_40_ "dout_2<40>")
+ (joined
+ (portRef (member dout_2 7))
+ (portRef (member dout_2 7) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N688") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_39_ "dout_2<39>")
+ (joined
+ (portRef (member dout_2 8))
+ (portRef (member dout_2 8) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N689") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_38_ "dout_2<38>")
+ (joined
+ (portRef (member dout_2 9))
+ (portRef (member dout_2 9) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N690") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_37_ "dout_2<37>")
+ (joined
+ (portRef (member dout_2 10))
+ (portRef (member dout_2 10) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N691") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_36_ "dout_2<36>")
+ (joined
+ (portRef (member dout_2 11))
+ (portRef (member dout_2 11) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N692") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_35_ "dout_2<35>")
+ (joined
+ (portRef (member dout_2 12))
+ (portRef (member dout_2 12) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N693") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_34_ "dout_2<34>")
+ (joined
+ (portRef (member dout_2 13))
+ (portRef (member dout_2 13) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N694") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_33_ "dout_2<33>")
+ (joined
+ (portRef (member dout_2 14))
+ (portRef (member dout_2 14) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N695") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_32_ "dout_2<32>")
+ (joined
+ (portRef (member dout_2 15))
+ (portRef (member dout_2 15) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N696") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_31_ "dout_2<31>")
+ (joined
+ (portRef (member dout_2 16))
+ (portRef (member dout_2 16) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N697") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_30_ "dout_2<30>")
+ (joined
+ (portRef (member dout_2 17))
+ (portRef (member dout_2 17) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N698") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_29_ "dout_2<29>")
+ (joined
+ (portRef (member dout_2 18))
+ (portRef (member dout_2 18) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N699") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_28_ "dout_2<28>")
+ (joined
+ (portRef (member dout_2 19))
+ (portRef (member dout_2 19) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N700") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_27_ "dout_2<27>")
+ (joined
+ (portRef (member dout_2 20))
+ (portRef (member dout_2 20) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N701") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_26_ "dout_2<26>")
+ (joined
+ (portRef (member dout_2 21))
+ (portRef (member dout_2 21) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N702") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_25_ "dout_2<25>")
+ (joined
+ (portRef (member dout_2 22))
+ (portRef (member dout_2 22) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N703") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_24_ "dout_2<24>")
+ (joined
+ (portRef (member dout_2 23))
+ (portRef (member dout_2 23) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N704") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_23_ "dout_2<23>")
+ (joined
+ (portRef (member dout_2 24))
+ (portRef (member dout_2 24) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N705") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_22_ "dout_2<22>")
+ (joined
+ (portRef (member dout_2 25))
+ (portRef (member dout_2 25) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N706") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_21_ "dout_2<21>")
+ (joined
+ (portRef (member dout_2 26))
+ (portRef (member dout_2 26) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N707") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_20_ "dout_2<20>")
+ (joined
+ (portRef (member dout_2 27))
+ (portRef (member dout_2 27) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N708") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_19_ "dout_2<19>")
+ (joined
+ (portRef (member dout_2 28))
+ (portRef (member dout_2 28) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N709") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_18_ "dout_2<18>")
+ (joined
+ (portRef (member dout_2 29))
+ (portRef (member dout_2 29) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N710") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_17_ "dout_2<17>")
+ (joined
+ (portRef (member dout_2 30))
+ (portRef (member dout_2 30) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N711") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_16_ "dout_2<16>")
+ (joined
+ (portRef (member dout_2 31))
+ (portRef (member dout_2 31) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N712") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_15_ "dout_2<15>")
+ (joined
+ (portRef (member dout_2 32))
+ (portRef (member dout_2 32) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N713") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_14_ "dout_2<14>")
+ (joined
+ (portRef (member dout_2 33))
+ (portRef (member dout_2 33) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N714") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_13_ "dout_2<13>")
+ (joined
+ (portRef (member dout_2 34))
+ (portRef (member dout_2 34) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N715") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_12_ "dout_2<12>")
+ (joined
+ (portRef (member dout_2 35))
+ (portRef (member dout_2 35) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N716") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_11_ "dout_2<11>")
+ (joined
+ (portRef (member dout_2 36))
+ (portRef (member dout_2 36) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N717") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_10_ "dout_2<10>")
+ (joined
+ (portRef (member dout_2 37))
+ (portRef (member dout_2 37) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N718") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_9_ "dout_2<9>")
+ (joined
+ (portRef (member dout_2 38))
+ (portRef (member dout_2 38) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N719") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_8_ "dout_2<8>")
+ (joined
+ (portRef (member dout_2 39))
+ (portRef (member dout_2 39) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N720") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_7_ "dout_2<7>")
+ (joined
+ (portRef (member dout_2 40))
+ (portRef (member dout_2 40) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N721") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_6_ "dout_2<6>")
+ (joined
+ (portRef (member dout_2 41))
+ (portRef (member dout_2 41) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N722") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_5_ "dout_2<5>")
+ (joined
+ (portRef (member dout_2 42))
+ (portRef (member dout_2 42) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N723") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_4_ "dout_2<4>")
+ (joined
+ (portRef (member dout_2 43))
+ (portRef (member dout_2 43) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N724") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_3_ "dout_2<3>")
+ (joined
+ (portRef (member dout_2 44))
+ (portRef (member dout_2 44) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N725") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_2_ "dout_2<2>")
+ (joined
+ (portRef (member dout_2 45))
+ (portRef (member dout_2 45) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N726") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_1_ "dout_2<1>")
+ (joined
+ (portRef (member dout_2 46))
+ (portRef (member dout_2 46) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N727") (owner "Xilinx"))
+ )
+ (net (rename dout_2_renamed_4_0_ "dout_2<0>")
+ (joined
+ (portRef (member dout_2 47))
+ (portRef (member dout_2 47) (instanceRef blk00000003))
+ )
+ (property USER_ALIAS (string "N728") (owner "Xilinx"))
+ )
+ )
+ )
+ )
+ )
+
+ (design hbdec3
+ (cellRef hbdec3
+ (libraryRef hbdec3_lib)
+ )
+ (property PART (string "7k325tffg900-2") (owner "Xilinx"))
+ )
+)
+
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec3.v b/fpga/usrp3/top/x400/coregen_dsp/hbdec3.v
new file mode 100644
index 000000000..cd6e39d9f
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec3.v
@@ -0,0 +1,20014 @@
+////////////////////////////////////////////////////////////////////////////////
+// Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved.
+////////////////////////////////////////////////////////////////////////////////
+// ____ ____
+// / /\/ /
+// /___/ \ / Vendor: Xilinx
+// \ \ \/ Version: P.49d
+// \ \ Application: netgen
+// / / Filename: hbdec3.v
+// /___/ /\ Timestamp: Wed Dec 4 13:32:32 2013
+// \ \ / \
+// \___\/\___\
+//
+// Command : -intstyle ise -w -sim -ofmt verilog ./tmp/_cg/hbdec3.ngc ./tmp/_cg/hbdec3.v
+// Device : 7k325tffg900-2
+// Input file : ./tmp/_cg/hbdec3.ngc
+// Output file : ./tmp/_cg/hbdec3.v
+// # of Modules : 1
+// Design Name : hbdec3
+// Xilinx : /opt/Xilinx/14.4/ISE_DS/ISE/
+//
+// Purpose:
+// This verilog netlist is a verification model and uses simulation
+// primitives which may not represent the true implementation of the
+// device, however the netlist is functionally correct and should not
+// be modified. This file cannot be synthesized and should only be used
+// with supported simulation tools.
+//
+// Reference:
+// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6
+//
+////////////////////////////////////////////////////////////////////////////////
+
+`timescale 1 ns/1 ps
+
+module hbdec3 (
+ sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk, coef_ld, dout_1, dout_2, din_1, din_2, coef_din
+)/* synthesis syn_black_box syn_noprune=1 */;
+ input sclr;
+ input ce;
+ output rfd;
+ output rdy;
+ output data_valid;
+ input coef_we;
+ input nd;
+ input clk;
+ input coef_ld;
+ output [47 : 0] dout_1;
+ output [47 : 0] dout_2;
+ input [23 : 0] din_1;
+ input [23 : 0] din_2;
+ input [17 : 0] coef_din;
+
+ // synthesis translate_off
+
+ wire NlwRenamedSig_OI_rfd;
+ wire \blk00000003/sig00000664 ;
+ wire \blk00000003/sig00000663 ;
+ wire \blk00000003/sig00000662 ;
+ wire \blk00000003/sig00000661 ;
+ wire \blk00000003/sig00000660 ;
+ wire \blk00000003/sig0000065f ;
+ wire \blk00000003/sig0000065e ;
+ wire \blk00000003/sig0000065d ;
+ wire \blk00000003/sig0000065c ;
+ wire \blk00000003/sig0000065b ;
+ wire \blk00000003/sig0000065a ;
+ wire \blk00000003/sig00000659 ;
+ wire \blk00000003/sig00000658 ;
+ wire \blk00000003/sig00000657 ;
+ wire \blk00000003/sig00000656 ;
+ wire \blk00000003/sig00000655 ;
+ wire \blk00000003/sig00000654 ;
+ wire \blk00000003/sig00000653 ;
+ wire \blk00000003/sig00000652 ;
+ wire \blk00000003/sig00000651 ;
+ wire \blk00000003/sig00000650 ;
+ wire \blk00000003/sig0000064f ;
+ wire \blk00000003/sig0000064e ;
+ wire \blk00000003/sig0000064d ;
+ wire \blk00000003/sig0000064c ;
+ wire \blk00000003/sig0000064b ;
+ wire \blk00000003/sig0000064a ;
+ wire \blk00000003/sig00000649 ;
+ wire \blk00000003/sig00000648 ;
+ wire \blk00000003/sig00000647 ;
+ wire \blk00000003/sig00000646 ;
+ wire \blk00000003/sig00000645 ;
+ wire \blk00000003/sig00000644 ;
+ wire \blk00000003/sig00000643 ;
+ wire \blk00000003/sig00000642 ;
+ wire \blk00000003/sig00000641 ;
+ wire \blk00000003/sig00000640 ;
+ wire \blk00000003/sig0000063f ;
+ wire \blk00000003/sig0000063e ;
+ wire \blk00000003/sig0000063d ;
+ wire \blk00000003/sig0000063c ;
+ wire \blk00000003/sig0000063b ;
+ wire \blk00000003/sig0000063a ;
+ wire \blk00000003/sig00000639 ;
+ wire \blk00000003/sig00000638 ;
+ wire \blk00000003/sig00000637 ;
+ wire \blk00000003/sig00000636 ;
+ wire \blk00000003/sig00000635 ;
+ wire \blk00000003/sig00000634 ;
+ wire \blk00000003/sig00000633 ;
+ wire \blk00000003/sig00000632 ;
+ wire \blk00000003/sig00000631 ;
+ wire \blk00000003/sig00000630 ;
+ wire \blk00000003/sig0000062f ;
+ wire \blk00000003/sig0000062e ;
+ wire \blk00000003/sig0000062d ;
+ wire \blk00000003/sig0000062c ;
+ wire \blk00000003/sig0000062b ;
+ wire \blk00000003/sig0000062a ;
+ wire \blk00000003/sig00000629 ;
+ wire \blk00000003/sig00000628 ;
+ wire \blk00000003/sig00000627 ;
+ wire \blk00000003/sig00000626 ;
+ wire \blk00000003/sig00000625 ;
+ wire \blk00000003/sig00000624 ;
+ wire \blk00000003/sig00000623 ;
+ wire \blk00000003/sig00000622 ;
+ wire \blk00000003/sig00000621 ;
+ wire \blk00000003/sig00000620 ;
+ wire \blk00000003/sig0000061f ;
+ wire \blk00000003/sig0000061e ;
+ wire \blk00000003/sig0000061d ;
+ wire \blk00000003/sig0000061c ;
+ wire \blk00000003/sig0000061b ;
+ wire \blk00000003/sig0000061a ;
+ wire \blk00000003/sig00000619 ;
+ wire \blk00000003/sig00000618 ;
+ wire \blk00000003/sig00000617 ;
+ wire \blk00000003/sig00000616 ;
+ wire \blk00000003/sig00000615 ;
+ wire \blk00000003/sig00000614 ;
+ wire \blk00000003/sig00000613 ;
+ wire \blk00000003/sig00000612 ;
+ wire \blk00000003/sig00000611 ;
+ wire \blk00000003/sig00000610 ;
+ wire \blk00000003/sig0000060f ;
+ wire \blk00000003/sig0000060e ;
+ wire \blk00000003/sig0000060d ;
+ wire \blk00000003/sig0000060c ;
+ wire \blk00000003/sig0000060b ;
+ wire \blk00000003/sig0000060a ;
+ wire \blk00000003/sig00000609 ;
+ wire \blk00000003/sig00000608 ;
+ wire \blk00000003/sig00000607 ;
+ wire \blk00000003/sig00000606 ;
+ wire \blk00000003/sig00000605 ;
+ wire \blk00000003/sig00000604 ;
+ wire \blk00000003/sig00000603 ;
+ wire \blk00000003/sig00000602 ;
+ wire \blk00000003/sig00000601 ;
+ wire \blk00000003/sig00000600 ;
+ wire \blk00000003/sig000005ff ;
+ wire \blk00000003/sig000005fe ;
+ wire \blk00000003/sig000005fd ;
+ wire \blk00000003/sig000005fc ;
+ wire \blk00000003/sig000005fb ;
+ wire \blk00000003/sig000005fa ;
+ wire \blk00000003/sig000005f9 ;
+ wire \blk00000003/sig000005f8 ;
+ wire \blk00000003/sig000005f7 ;
+ wire \blk00000003/sig000005f6 ;
+ wire \blk00000003/sig000005f5 ;
+ wire \blk00000003/sig000005f4 ;
+ wire \blk00000003/sig000005f3 ;
+ wire \blk00000003/sig000005f2 ;
+ wire \blk00000003/sig000005f1 ;
+ wire \blk00000003/sig000005f0 ;
+ wire \blk00000003/sig000005ef ;
+ wire \blk00000003/sig000005ee ;
+ wire \blk00000003/sig000005ed ;
+ wire \blk00000003/sig000005ec ;
+ wire \blk00000003/sig000005eb ;
+ wire \blk00000003/sig000005ea ;
+ wire \blk00000003/sig000005e9 ;
+ wire \blk00000003/sig000005e8 ;
+ wire \blk00000003/sig000005e7 ;
+ wire \blk00000003/sig000005e6 ;
+ wire \blk00000003/sig000005e5 ;
+ wire \blk00000003/sig000005e4 ;
+ wire \blk00000003/sig000005e3 ;
+ wire \blk00000003/sig000005e2 ;
+ wire \blk00000003/sig000005e1 ;
+ wire \blk00000003/sig000005e0 ;
+ wire \blk00000003/sig000005df ;
+ wire \blk00000003/sig000005de ;
+ wire \blk00000003/sig000005dd ;
+ wire \blk00000003/sig000005dc ;
+ wire \blk00000003/sig000005db ;
+ wire \blk00000003/sig000005da ;
+ wire \blk00000003/sig000005d9 ;
+ wire \blk00000003/sig000005d8 ;
+ wire \blk00000003/sig000005d7 ;
+ wire \blk00000003/sig000005d6 ;
+ wire \blk00000003/sig000005d5 ;
+ wire \blk00000003/sig000005d4 ;
+ wire \blk00000003/sig000005d3 ;
+ wire \blk00000003/sig000005d2 ;
+ wire \blk00000003/sig000005d1 ;
+ wire \blk00000003/sig000005d0 ;
+ wire \blk00000003/sig000005cf ;
+ wire \blk00000003/sig000005ce ;
+ wire \blk00000003/sig000005cd ;
+ wire \blk00000003/sig000005cc ;
+ wire \blk00000003/sig000005cb ;
+ wire \blk00000003/sig000005ca ;
+ wire \blk00000003/sig000005c9 ;
+ wire \blk00000003/sig000005c8 ;
+ wire \blk00000003/sig000005c7 ;
+ wire \blk00000003/sig000005c6 ;
+ wire \blk00000003/sig000005c5 ;
+ wire \blk00000003/sig000005c4 ;
+ wire \blk00000003/sig000005c3 ;
+ wire \blk00000003/sig000005c2 ;
+ wire \blk00000003/sig000005c1 ;
+ wire \blk00000003/sig000005c0 ;
+ wire \blk00000003/sig000005bf ;
+ wire \blk00000003/sig000005be ;
+ wire \blk00000003/sig000005bd ;
+ wire \blk00000003/sig000005bc ;
+ wire \blk00000003/sig000005bb ;
+ wire \blk00000003/sig000005ba ;
+ wire \blk00000003/sig000005b9 ;
+ wire \blk00000003/sig000005b8 ;
+ wire \blk00000003/sig000005b7 ;
+ wire \blk00000003/sig000005b6 ;
+ wire \blk00000003/sig000005b5 ;
+ wire \blk00000003/sig000005b4 ;
+ wire \blk00000003/sig000005b3 ;
+ wire \blk00000003/sig000005b2 ;
+ wire \blk00000003/sig000005b1 ;
+ wire \blk00000003/sig000005b0 ;
+ wire \blk00000003/sig000005af ;
+ wire \blk00000003/sig000005ae ;
+ wire \blk00000003/sig000005ad ;
+ wire \blk00000003/sig000005ac ;
+ wire \blk00000003/sig000005ab ;
+ wire \blk00000003/sig000005aa ;
+ wire \blk00000003/sig000005a9 ;
+ wire \blk00000003/sig000005a8 ;
+ wire \blk00000003/sig000005a7 ;
+ wire \blk00000003/sig000005a6 ;
+ wire \blk00000003/sig000005a5 ;
+ wire \blk00000003/sig000005a4 ;
+ wire \blk00000003/sig000005a3 ;
+ wire \blk00000003/sig000005a2 ;
+ wire \blk00000003/sig000005a1 ;
+ wire \blk00000003/sig000005a0 ;
+ wire \blk00000003/sig0000059f ;
+ wire \blk00000003/sig0000059e ;
+ wire \blk00000003/sig0000059d ;
+ wire \blk00000003/sig0000059c ;
+ wire \blk00000003/sig0000059b ;
+ wire \blk00000003/sig0000059a ;
+ wire \blk00000003/sig00000599 ;
+ wire \blk00000003/sig00000598 ;
+ wire \blk00000003/sig00000597 ;
+ wire \blk00000003/sig00000596 ;
+ wire \blk00000003/sig00000595 ;
+ wire \blk00000003/sig00000594 ;
+ wire \blk00000003/sig00000593 ;
+ wire \blk00000003/sig00000592 ;
+ wire \blk00000003/sig00000591 ;
+ wire \blk00000003/sig00000590 ;
+ wire \blk00000003/sig0000058f ;
+ wire \blk00000003/sig0000058e ;
+ wire \blk00000003/sig0000058d ;
+ wire \blk00000003/sig0000058c ;
+ wire \blk00000003/sig0000058b ;
+ wire \blk00000003/sig0000058a ;
+ wire \blk00000003/sig00000589 ;
+ wire \blk00000003/sig00000588 ;
+ wire \blk00000003/sig00000587 ;
+ wire \blk00000003/sig00000586 ;
+ wire \blk00000003/sig00000585 ;
+ wire \blk00000003/sig00000584 ;
+ wire \blk00000003/sig00000583 ;
+ wire \blk00000003/sig00000582 ;
+ wire \blk00000003/sig00000581 ;
+ wire \blk00000003/sig00000580 ;
+ wire \blk00000003/sig0000057f ;
+ wire \blk00000003/sig0000057e ;
+ wire \blk00000003/sig0000057d ;
+ wire \blk00000003/sig0000057c ;
+ wire \blk00000003/sig0000057b ;
+ wire \blk00000003/sig0000057a ;
+ wire \blk00000003/sig00000579 ;
+ wire \blk00000003/sig00000578 ;
+ wire \blk00000003/sig00000577 ;
+ wire \blk00000003/sig00000576 ;
+ wire \blk00000003/sig00000575 ;
+ wire \blk00000003/sig00000574 ;
+ wire \blk00000003/sig00000573 ;
+ wire \blk00000003/sig00000572 ;
+ wire \blk00000003/sig00000571 ;
+ wire \blk00000003/sig00000570 ;
+ wire \blk00000003/sig0000056f ;
+ wire \blk00000003/sig0000056e ;
+ wire \blk00000003/sig0000056d ;
+ wire \blk00000003/sig0000056c ;
+ wire \blk00000003/sig0000056b ;
+ wire \blk00000003/sig0000056a ;
+ wire \blk00000003/sig00000569 ;
+ wire \blk00000003/sig00000568 ;
+ wire \blk00000003/sig00000567 ;
+ wire \blk00000003/sig00000566 ;
+ wire \blk00000003/sig00000565 ;
+ wire \blk00000003/sig00000564 ;
+ wire \blk00000003/sig00000563 ;
+ wire \blk00000003/sig00000562 ;
+ wire \blk00000003/sig00000561 ;
+ wire \blk00000003/sig00000560 ;
+ wire \blk00000003/sig0000055f ;
+ wire \blk00000003/sig0000055e ;
+ wire \blk00000003/sig0000055d ;
+ wire \blk00000003/sig0000055c ;
+ wire \blk00000003/sig0000055b ;
+ wire \blk00000003/sig0000055a ;
+ wire \blk00000003/sig00000559 ;
+ wire \blk00000003/sig00000558 ;
+ wire \blk00000003/sig00000557 ;
+ wire \blk00000003/sig00000556 ;
+ wire \blk00000003/sig00000555 ;
+ wire \blk00000003/sig00000554 ;
+ wire \blk00000003/sig00000553 ;
+ wire \blk00000003/sig00000552 ;
+ wire \blk00000003/sig00000551 ;
+ wire \blk00000003/sig00000550 ;
+ wire \blk00000003/sig0000054f ;
+ wire \blk00000003/sig0000054e ;
+ wire \blk00000003/sig0000054d ;
+ wire \blk00000003/sig0000054c ;
+ wire \blk00000003/sig0000054b ;
+ wire \blk00000003/sig0000054a ;
+ wire \blk00000003/sig00000549 ;
+ wire \blk00000003/sig00000548 ;
+ wire \blk00000003/sig00000547 ;
+ wire \blk00000003/sig00000546 ;
+ wire \blk00000003/sig00000545 ;
+ wire \blk00000003/sig00000544 ;
+ wire \blk00000003/sig00000543 ;
+ wire \blk00000003/sig00000542 ;
+ wire \blk00000003/sig00000541 ;
+ wire \blk00000003/sig00000540 ;
+ wire \blk00000003/sig0000053f ;
+ wire \blk00000003/sig0000053e ;
+ wire \blk00000003/sig0000053d ;
+ wire \blk00000003/sig0000053c ;
+ wire \blk00000003/sig0000053b ;
+ wire \blk00000003/sig0000053a ;
+ wire \blk00000003/sig00000539 ;
+ wire \blk00000003/sig00000538 ;
+ wire \blk00000003/sig00000537 ;
+ wire \blk00000003/sig00000536 ;
+ wire \blk00000003/sig00000535 ;
+ wire \blk00000003/sig00000534 ;
+ wire \blk00000003/sig00000533 ;
+ wire \blk00000003/sig00000532 ;
+ wire \blk00000003/sig00000531 ;
+ wire \blk00000003/sig00000530 ;
+ wire \blk00000003/sig0000052f ;
+ wire \blk00000003/sig0000052e ;
+ wire \blk00000003/sig0000052d ;
+ wire \blk00000003/sig0000052c ;
+ wire \blk00000003/sig0000052b ;
+ wire \blk00000003/sig0000052a ;
+ wire \blk00000003/sig00000529 ;
+ wire \blk00000003/sig00000528 ;
+ wire \blk00000003/sig00000527 ;
+ wire \blk00000003/sig00000526 ;
+ wire \blk00000003/sig00000525 ;
+ wire \blk00000003/sig00000524 ;
+ wire \blk00000003/sig00000523 ;
+ wire \blk00000003/sig00000522 ;
+ wire \blk00000003/sig00000521 ;
+ wire \blk00000003/sig00000520 ;
+ wire \blk00000003/sig0000051f ;
+ wire \blk00000003/sig0000051e ;
+ wire \blk00000003/sig0000051d ;
+ wire \blk00000003/sig0000051c ;
+ wire \blk00000003/sig0000051b ;
+ wire \blk00000003/sig0000051a ;
+ wire \blk00000003/sig00000519 ;
+ wire \blk00000003/sig00000518 ;
+ wire \blk00000003/sig00000517 ;
+ wire \blk00000003/sig00000516 ;
+ wire \blk00000003/sig00000515 ;
+ wire \blk00000003/sig00000514 ;
+ wire \blk00000003/sig00000513 ;
+ wire \blk00000003/sig00000512 ;
+ wire \blk00000003/sig00000511 ;
+ wire \blk00000003/sig00000510 ;
+ wire \blk00000003/sig0000050f ;
+ wire \blk00000003/sig0000050e ;
+ wire \blk00000003/sig0000050d ;
+ wire \blk00000003/sig0000050c ;
+ wire \blk00000003/sig0000050b ;
+ wire \blk00000003/sig0000050a ;
+ wire \blk00000003/sig00000509 ;
+ wire \blk00000003/sig00000508 ;
+ wire \blk00000003/sig00000507 ;
+ wire \blk00000003/sig00000506 ;
+ wire \blk00000003/sig00000505 ;
+ wire \blk00000003/sig00000504 ;
+ wire \blk00000003/sig00000503 ;
+ wire \blk00000003/sig00000502 ;
+ wire \blk00000003/sig00000501 ;
+ wire \blk00000003/sig00000500 ;
+ wire \blk00000003/sig000004ff ;
+ wire \blk00000003/sig000004fe ;
+ wire \blk00000003/sig000004fd ;
+ wire \blk00000003/sig000004fc ;
+ wire \blk00000003/sig000004fb ;
+ wire \blk00000003/sig000004fa ;
+ wire \blk00000003/sig000004f9 ;
+ wire \blk00000003/sig000004f8 ;
+ wire \blk00000003/sig000004f7 ;
+ wire \blk00000003/sig000004f6 ;
+ wire \blk00000003/sig000004f5 ;
+ wire \blk00000003/sig000004f4 ;
+ wire \blk00000003/sig000004f3 ;
+ wire \blk00000003/sig000004f2 ;
+ wire \blk00000003/sig000004f1 ;
+ wire \blk00000003/sig000004f0 ;
+ wire \blk00000003/sig000004ef ;
+ wire \blk00000003/sig000004ee ;
+ wire \blk00000003/sig000004ed ;
+ wire \blk00000003/sig000004ec ;
+ wire \blk00000003/sig000004eb ;
+ wire \blk00000003/sig000004ea ;
+ wire \blk00000003/sig000004e9 ;
+ wire \blk00000003/sig000004e8 ;
+ wire \blk00000003/sig000004e7 ;
+ wire \blk00000003/sig000004e6 ;
+ wire \blk00000003/sig000004e5 ;
+ wire \blk00000003/sig000004e4 ;
+ wire \blk00000003/sig000004e3 ;
+ wire \blk00000003/sig000004e2 ;
+ wire \blk00000003/sig000004e1 ;
+ wire \blk00000003/sig000004e0 ;
+ wire \blk00000003/sig000004df ;
+ wire \blk00000003/sig000004de ;
+ wire \blk00000003/sig000004dd ;
+ wire \blk00000003/sig000004dc ;
+ wire \blk00000003/sig000004db ;
+ wire \blk00000003/sig000004da ;
+ wire \blk00000003/sig000004d9 ;
+ wire \blk00000003/sig000004d8 ;
+ wire \blk00000003/sig000004d7 ;
+ wire \blk00000003/sig000004d6 ;
+ wire \blk00000003/sig000004d5 ;
+ wire \blk00000003/sig000004d4 ;
+ wire \blk00000003/sig000004d3 ;
+ wire \blk00000003/sig000004d2 ;
+ wire \blk00000003/sig000004d1 ;
+ wire \blk00000003/sig000004d0 ;
+ wire \blk00000003/sig000004cf ;
+ wire \blk00000003/sig000004ce ;
+ wire \blk00000003/sig000004cd ;
+ wire \blk00000003/sig000004cc ;
+ wire \blk00000003/sig000004cb ;
+ wire \blk00000003/sig000004ca ;
+ wire \blk00000003/sig000004c9 ;
+ wire \blk00000003/sig000004c8 ;
+ wire \blk00000003/sig000004c7 ;
+ wire \blk00000003/sig000004c6 ;
+ wire \blk00000003/sig000004c5 ;
+ wire \blk00000003/sig000004c4 ;
+ wire \blk00000003/sig000004c3 ;
+ wire \blk00000003/sig000004c2 ;
+ wire \blk00000003/sig000004c1 ;
+ wire \blk00000003/sig000004c0 ;
+ wire \blk00000003/sig000004bf ;
+ wire \blk00000003/sig000004be ;
+ wire \blk00000003/sig000004bd ;
+ wire \blk00000003/sig000004bc ;
+ wire \blk00000003/sig000004bb ;
+ wire \blk00000003/sig000004ba ;
+ wire \blk00000003/sig000004b9 ;
+ wire \blk00000003/sig000004b8 ;
+ wire \blk00000003/sig000004b7 ;
+ wire \blk00000003/sig000004b6 ;
+ wire \blk00000003/sig000004b5 ;
+ wire \blk00000003/sig000004b4 ;
+ wire \blk00000003/sig000004b3 ;
+ wire \blk00000003/sig000004b2 ;
+ wire \blk00000003/sig000004b1 ;
+ wire \blk00000003/sig000004b0 ;
+ wire \blk00000003/sig000004af ;
+ wire \blk00000003/sig000004ae ;
+ wire \blk00000003/sig000004ad ;
+ wire \blk00000003/sig000004ac ;
+ wire \blk00000003/sig000004ab ;
+ wire \blk00000003/sig000004aa ;
+ wire \blk00000003/sig000004a9 ;
+ wire \blk00000003/sig000004a8 ;
+ wire \blk00000003/sig000004a7 ;
+ wire \blk00000003/sig000004a6 ;
+ wire \blk00000003/sig000004a5 ;
+ wire \blk00000003/sig000004a4 ;
+ wire \blk00000003/sig000004a3 ;
+ wire \blk00000003/sig000004a2 ;
+ wire \blk00000003/sig000004a1 ;
+ wire \blk00000003/sig000004a0 ;
+ wire \blk00000003/sig0000049f ;
+ wire \blk00000003/sig0000049e ;
+ wire \blk00000003/sig0000049d ;
+ wire \blk00000003/sig0000049c ;
+ wire \blk00000003/sig0000049b ;
+ wire \blk00000003/sig0000049a ;
+ wire \blk00000003/sig00000499 ;
+ wire \blk00000003/sig00000498 ;
+ wire \blk00000003/sig00000497 ;
+ wire \blk00000003/sig00000496 ;
+ wire \blk00000003/sig00000495 ;
+ wire \blk00000003/sig00000494 ;
+ wire \blk00000003/sig00000493 ;
+ wire \blk00000003/sig00000492 ;
+ wire \blk00000003/sig00000491 ;
+ wire \blk00000003/sig00000490 ;
+ wire \blk00000003/sig0000048f ;
+ wire \blk00000003/sig0000048e ;
+ wire \blk00000003/sig0000048d ;
+ wire \blk00000003/sig0000048c ;
+ wire \blk00000003/sig0000048b ;
+ wire \blk00000003/sig0000048a ;
+ wire \blk00000003/sig00000489 ;
+ wire \blk00000003/sig00000488 ;
+ wire \blk00000003/sig00000487 ;
+ wire \blk00000003/sig00000486 ;
+ wire \blk00000003/sig00000485 ;
+ wire \blk00000003/sig00000484 ;
+ wire \blk00000003/sig00000483 ;
+ wire \blk00000003/sig00000482 ;
+ wire \blk00000003/sig00000481 ;
+ wire \blk00000003/sig00000480 ;
+ wire \blk00000003/sig0000047f ;
+ wire \blk00000003/sig0000047e ;
+ wire \blk00000003/sig0000047d ;
+ wire \blk00000003/sig0000047c ;
+ wire \blk00000003/sig0000047b ;
+ wire \blk00000003/sig0000047a ;
+ wire \blk00000003/sig00000479 ;
+ wire \blk00000003/sig00000478 ;
+ wire \blk00000003/sig00000477 ;
+ wire \blk00000003/sig00000476 ;
+ wire \blk00000003/sig00000475 ;
+ wire \blk00000003/sig00000474 ;
+ wire \blk00000003/sig00000473 ;
+ wire \blk00000003/sig00000472 ;
+ wire \blk00000003/sig00000471 ;
+ wire \blk00000003/sig00000470 ;
+ wire \blk00000003/sig0000046f ;
+ wire \blk00000003/sig0000046e ;
+ wire \blk00000003/sig0000046d ;
+ wire \blk00000003/sig0000046c ;
+ wire \blk00000003/sig0000046b ;
+ wire \blk00000003/sig0000046a ;
+ wire \blk00000003/sig00000469 ;
+ wire \blk00000003/sig00000468 ;
+ wire \blk00000003/sig00000467 ;
+ wire \blk00000003/sig00000466 ;
+ wire \blk00000003/sig00000465 ;
+ wire \blk00000003/sig00000464 ;
+ wire \blk00000003/sig00000463 ;
+ wire \blk00000003/sig00000462 ;
+ wire \blk00000003/sig00000461 ;
+ wire \blk00000003/sig00000460 ;
+ wire \blk00000003/sig0000045f ;
+ wire \blk00000003/sig0000045e ;
+ wire \blk00000003/sig0000045d ;
+ wire \blk00000003/sig0000045c ;
+ wire \blk00000003/sig0000045b ;
+ wire \blk00000003/sig0000045a ;
+ wire \blk00000003/sig00000459 ;
+ wire \blk00000003/sig00000458 ;
+ wire \blk00000003/sig00000457 ;
+ wire \blk00000003/sig00000456 ;
+ wire \blk00000003/sig00000455 ;
+ wire \blk00000003/sig00000454 ;
+ wire \blk00000003/sig00000453 ;
+ wire \blk00000003/sig00000452 ;
+ wire \blk00000003/sig00000451 ;
+ wire \blk00000003/sig00000450 ;
+ wire \blk00000003/sig0000044f ;
+ wire \blk00000003/sig0000044e ;
+ wire \blk00000003/sig0000044d ;
+ wire \blk00000003/sig0000044c ;
+ wire \blk00000003/sig0000044b ;
+ wire \blk00000003/sig0000044a ;
+ wire \blk00000003/sig00000449 ;
+ wire \blk00000003/sig00000448 ;
+ wire \blk00000003/sig00000447 ;
+ wire \blk00000003/sig00000446 ;
+ wire \blk00000003/sig00000445 ;
+ wire \blk00000003/sig00000444 ;
+ wire \blk00000003/sig00000443 ;
+ wire \blk00000003/sig00000442 ;
+ wire \blk00000003/sig00000441 ;
+ wire \blk00000003/sig00000440 ;
+ wire \blk00000003/sig0000043f ;
+ wire \blk00000003/sig0000043e ;
+ wire \blk00000003/sig0000043d ;
+ wire \blk00000003/sig0000043c ;
+ wire \blk00000003/sig0000043b ;
+ wire \blk00000003/sig0000043a ;
+ wire \blk00000003/sig00000439 ;
+ wire \blk00000003/sig00000438 ;
+ wire \blk00000003/sig00000437 ;
+ wire \blk00000003/sig00000436 ;
+ wire \blk00000003/sig00000435 ;
+ wire \blk00000003/sig00000434 ;
+ wire \blk00000003/sig00000433 ;
+ wire \blk00000003/sig00000432 ;
+ wire \blk00000003/sig00000431 ;
+ wire \blk00000003/sig00000430 ;
+ wire \blk00000003/sig0000042f ;
+ wire \blk00000003/sig0000042e ;
+ wire \blk00000003/sig0000042d ;
+ wire \blk00000003/sig0000042c ;
+ wire \blk00000003/sig0000042b ;
+ wire \blk00000003/sig0000042a ;
+ wire \blk00000003/sig00000429 ;
+ wire \blk00000003/sig00000428 ;
+ wire \blk00000003/sig00000427 ;
+ wire \blk00000003/sig00000426 ;
+ wire \blk00000003/sig00000425 ;
+ wire \blk00000003/sig00000424 ;
+ wire \blk00000003/sig00000423 ;
+ wire \blk00000003/sig00000422 ;
+ wire \blk00000003/sig00000421 ;
+ wire \blk00000003/sig00000420 ;
+ wire \blk00000003/sig0000041f ;
+ wire \blk00000003/sig0000041e ;
+ wire \blk00000003/sig0000041d ;
+ wire \blk00000003/sig0000041c ;
+ wire \blk00000003/sig0000041b ;
+ wire \blk00000003/sig0000041a ;
+ wire \blk00000003/sig00000419 ;
+ wire \blk00000003/sig00000418 ;
+ wire \blk00000003/sig00000417 ;
+ wire \blk00000003/sig00000416 ;
+ wire \blk00000003/sig00000415 ;
+ wire \blk00000003/sig00000414 ;
+ wire \blk00000003/sig00000413 ;
+ wire \blk00000003/sig00000412 ;
+ wire \blk00000003/sig00000411 ;
+ wire \blk00000003/sig00000410 ;
+ wire \blk00000003/sig0000040f ;
+ wire \blk00000003/sig0000040e ;
+ wire \blk00000003/sig0000040d ;
+ wire \blk00000003/sig0000040c ;
+ wire \blk00000003/sig0000040b ;
+ wire \blk00000003/sig0000040a ;
+ wire \blk00000003/sig00000409 ;
+ wire \blk00000003/sig00000408 ;
+ wire \blk00000003/sig00000407 ;
+ wire \blk00000003/sig00000406 ;
+ wire \blk00000003/sig00000405 ;
+ wire \blk00000003/sig00000404 ;
+ wire \blk00000003/sig00000403 ;
+ wire \blk00000003/sig00000402 ;
+ wire \blk00000003/sig00000401 ;
+ wire \blk00000003/sig00000400 ;
+ wire \blk00000003/sig000003ff ;
+ wire \blk00000003/sig000003fe ;
+ wire \blk00000003/sig000003fd ;
+ wire \blk00000003/sig000003fc ;
+ wire \blk00000003/sig000003fb ;
+ wire \blk00000003/sig000003fa ;
+ wire \blk00000003/sig000003f9 ;
+ wire \blk00000003/sig000003f8 ;
+ wire \blk00000003/sig000003f7 ;
+ wire \blk00000003/sig000003f6 ;
+ wire \blk00000003/sig000003f5 ;
+ wire \blk00000003/sig000003f4 ;
+ wire \blk00000003/sig000003f3 ;
+ wire \blk00000003/sig000003f2 ;
+ wire \blk00000003/sig000003f1 ;
+ wire \blk00000003/sig000003f0 ;
+ wire \blk00000003/sig000003ef ;
+ wire \blk00000003/sig000003ee ;
+ wire \blk00000003/sig000003ed ;
+ wire \blk00000003/sig000003ec ;
+ wire \blk00000003/sig000003eb ;
+ wire \blk00000003/sig000003ea ;
+ wire \blk00000003/sig000003e9 ;
+ wire \blk00000003/sig000003e8 ;
+ wire \blk00000003/sig000003e7 ;
+ wire \blk00000003/sig000003e6 ;
+ wire \blk00000003/sig000003e5 ;
+ wire \blk00000003/sig000003e4 ;
+ wire \blk00000003/sig000003e3 ;
+ wire \blk00000003/sig000003e2 ;
+ wire \blk00000003/sig000003e1 ;
+ wire \blk00000003/sig000003e0 ;
+ wire \blk00000003/sig000003df ;
+ wire \blk00000003/sig000003de ;
+ wire \blk00000003/sig000003dd ;
+ wire \blk00000003/sig000003dc ;
+ wire \blk00000003/sig000003db ;
+ wire \blk00000003/sig000003da ;
+ wire \blk00000003/sig000003d9 ;
+ wire \blk00000003/sig000003d8 ;
+ wire \blk00000003/sig000003d7 ;
+ wire \blk00000003/sig000003d6 ;
+ wire \blk00000003/sig000003d5 ;
+ wire \blk00000003/sig000003d4 ;
+ wire \blk00000003/sig000003d3 ;
+ wire \blk00000003/sig000003d2 ;
+ wire \blk00000003/sig000003d1 ;
+ wire \blk00000003/sig000003d0 ;
+ wire \blk00000003/sig000003cf ;
+ wire \blk00000003/sig000003ce ;
+ wire \blk00000003/sig000003cd ;
+ wire \blk00000003/sig000003cc ;
+ wire \blk00000003/sig000003cb ;
+ wire \blk00000003/sig000003ca ;
+ wire \blk00000003/sig000003c9 ;
+ wire \blk00000003/sig000003c8 ;
+ wire \blk00000003/sig000003c7 ;
+ wire \blk00000003/sig000003c6 ;
+ wire \blk00000003/sig000003c5 ;
+ wire \blk00000003/sig000003c4 ;
+ wire \blk00000003/sig000003c3 ;
+ wire \blk00000003/sig000003c2 ;
+ wire \blk00000003/sig000003c1 ;
+ wire \blk00000003/sig000003c0 ;
+ wire \blk00000003/sig000003bf ;
+ wire \blk00000003/sig000003be ;
+ wire \blk00000003/sig000003bd ;
+ wire \blk00000003/sig000003bc ;
+ wire \blk00000003/sig000003bb ;
+ wire \blk00000003/sig000003ba ;
+ wire \blk00000003/sig000003b9 ;
+ wire \blk00000003/sig000003b8 ;
+ wire \blk00000003/sig000003b7 ;
+ wire \blk00000003/sig000003b6 ;
+ wire \blk00000003/sig000003b5 ;
+ wire \blk00000003/sig000003b4 ;
+ wire \blk00000003/sig000003b3 ;
+ wire \blk00000003/sig000003b2 ;
+ wire \blk00000003/sig000003b1 ;
+ wire \blk00000003/sig000003b0 ;
+ wire \blk00000003/sig000003af ;
+ wire \blk00000003/sig000003ae ;
+ wire \blk00000003/sig000003ad ;
+ wire \blk00000003/sig000003ac ;
+ wire \blk00000003/sig000003ab ;
+ wire \blk00000003/sig000003aa ;
+ wire \blk00000003/sig000003a9 ;
+ wire \blk00000003/sig000003a8 ;
+ wire \blk00000003/sig000003a7 ;
+ wire \blk00000003/sig000003a6 ;
+ wire \blk00000003/sig000003a5 ;
+ wire \blk00000003/sig000003a4 ;
+ wire \blk00000003/sig000003a3 ;
+ wire \blk00000003/sig000003a2 ;
+ wire \blk00000003/sig000003a1 ;
+ wire \blk00000003/sig000003a0 ;
+ wire \blk00000003/sig0000039f ;
+ wire \blk00000003/sig0000039e ;
+ wire \blk00000003/sig0000039d ;
+ wire \blk00000003/sig0000039c ;
+ wire \blk00000003/sig0000039b ;
+ wire \blk00000003/sig0000039a ;
+ wire \blk00000003/sig00000399 ;
+ wire \blk00000003/sig00000398 ;
+ wire \blk00000003/sig00000397 ;
+ wire \blk00000003/sig00000396 ;
+ wire \blk00000003/sig00000395 ;
+ wire \blk00000003/sig00000394 ;
+ wire \blk00000003/sig00000393 ;
+ wire \blk00000003/sig00000392 ;
+ wire \blk00000003/sig00000391 ;
+ wire \blk00000003/sig00000390 ;
+ wire \blk00000003/sig0000038f ;
+ wire \blk00000003/sig0000038e ;
+ wire \blk00000003/sig0000038d ;
+ wire \blk00000003/sig0000038c ;
+ wire \blk00000003/sig0000038b ;
+ wire \blk00000003/sig0000038a ;
+ wire \blk00000003/sig00000389 ;
+ wire \blk00000003/sig00000388 ;
+ wire \blk00000003/sig00000387 ;
+ wire \blk00000003/sig00000386 ;
+ wire \blk00000003/sig00000385 ;
+ wire \blk00000003/sig00000384 ;
+ wire \blk00000003/sig00000383 ;
+ wire \blk00000003/sig00000382 ;
+ wire \blk00000003/sig00000381 ;
+ wire \blk00000003/sig00000380 ;
+ wire \blk00000003/sig0000037f ;
+ wire \blk00000003/sig0000037e ;
+ wire \blk00000003/sig0000037d ;
+ wire \blk00000003/sig0000037c ;
+ wire \blk00000003/sig0000037b ;
+ wire \blk00000003/sig0000037a ;
+ wire \blk00000003/sig00000379 ;
+ wire \blk00000003/sig00000378 ;
+ wire \blk00000003/sig00000377 ;
+ wire \blk00000003/sig00000376 ;
+ wire \blk00000003/sig00000375 ;
+ wire \blk00000003/sig00000374 ;
+ wire \blk00000003/sig00000373 ;
+ wire \blk00000003/sig00000372 ;
+ wire \blk00000003/sig00000371 ;
+ wire \blk00000003/sig00000370 ;
+ wire \blk00000003/sig0000036f ;
+ wire \blk00000003/sig0000036e ;
+ wire \blk00000003/sig0000036d ;
+ wire \blk00000003/sig0000036c ;
+ wire \blk00000003/sig0000036b ;
+ wire \blk00000003/sig0000036a ;
+ wire \blk00000003/sig00000369 ;
+ wire \blk00000003/sig00000368 ;
+ wire \blk00000003/sig00000367 ;
+ wire \blk00000003/sig00000366 ;
+ wire \blk00000003/sig00000365 ;
+ wire \blk00000003/sig00000364 ;
+ wire \blk00000003/sig00000363 ;
+ wire \blk00000003/sig00000362 ;
+ wire \blk00000003/sig00000361 ;
+ wire \blk00000003/sig00000360 ;
+ wire \blk00000003/sig0000035f ;
+ wire \blk00000003/sig0000035e ;
+ wire \blk00000003/sig0000035d ;
+ wire \blk00000003/sig0000035c ;
+ wire \blk00000003/sig0000035b ;
+ wire \blk00000003/sig0000035a ;
+ wire \blk00000003/sig00000359 ;
+ wire \blk00000003/sig00000358 ;
+ wire \blk00000003/sig00000357 ;
+ wire \blk00000003/sig00000356 ;
+ wire \blk00000003/sig00000355 ;
+ wire \blk00000003/sig00000354 ;
+ wire \blk00000003/sig00000353 ;
+ wire \blk00000003/sig00000352 ;
+ wire \blk00000003/sig00000351 ;
+ wire \blk00000003/sig00000350 ;
+ wire \blk00000003/sig0000034f ;
+ wire \blk00000003/sig0000034e ;
+ wire \blk00000003/sig0000034d ;
+ wire \blk00000003/sig0000034c ;
+ wire \blk00000003/sig0000034b ;
+ wire \blk00000003/sig0000034a ;
+ wire \blk00000003/sig00000349 ;
+ wire \blk00000003/sig00000348 ;
+ wire \blk00000003/sig00000347 ;
+ wire \blk00000003/sig00000346 ;
+ wire \blk00000003/sig00000345 ;
+ wire \blk00000003/sig00000344 ;
+ wire \blk00000003/sig00000343 ;
+ wire \blk00000003/sig00000342 ;
+ wire \blk00000003/sig00000341 ;
+ wire \blk00000003/sig00000340 ;
+ wire \blk00000003/sig0000033f ;
+ wire \blk00000003/sig0000033e ;
+ wire \blk00000003/sig0000033d ;
+ wire \blk00000003/sig0000033c ;
+ wire \blk00000003/sig0000033b ;
+ wire \blk00000003/sig0000033a ;
+ wire \blk00000003/sig00000339 ;
+ wire \blk00000003/sig00000338 ;
+ wire \blk00000003/sig00000337 ;
+ wire \blk00000003/sig00000336 ;
+ wire \blk00000003/sig00000335 ;
+ wire \blk00000003/sig00000334 ;
+ wire \blk00000003/sig00000333 ;
+ wire \blk00000003/sig00000332 ;
+ wire \blk00000003/sig00000331 ;
+ wire \blk00000003/sig00000330 ;
+ wire \blk00000003/sig0000032f ;
+ wire \blk00000003/sig0000032e ;
+ wire \blk00000003/sig0000032d ;
+ wire \blk00000003/sig0000032c ;
+ wire \blk00000003/sig0000032b ;
+ wire \blk00000003/sig0000032a ;
+ wire \blk00000003/sig00000329 ;
+ wire \blk00000003/sig00000328 ;
+ wire \blk00000003/sig00000327 ;
+ wire \blk00000003/sig00000326 ;
+ wire \blk00000003/sig00000325 ;
+ wire \blk00000003/sig00000324 ;
+ wire \blk00000003/sig00000323 ;
+ wire \blk00000003/sig00000322 ;
+ wire \blk00000003/sig00000321 ;
+ wire \blk00000003/sig00000320 ;
+ wire \blk00000003/sig0000031f ;
+ wire \blk00000003/sig0000031e ;
+ wire \blk00000003/sig0000031d ;
+ wire \blk00000003/sig0000031c ;
+ wire \blk00000003/sig0000031b ;
+ wire \blk00000003/sig0000031a ;
+ wire \blk00000003/sig00000319 ;
+ wire \blk00000003/sig00000318 ;
+ wire \blk00000003/sig00000317 ;
+ wire \blk00000003/sig00000316 ;
+ wire \blk00000003/sig00000315 ;
+ wire \blk00000003/sig00000314 ;
+ wire \blk00000003/sig00000313 ;
+ wire \blk00000003/sig00000312 ;
+ wire \blk00000003/sig00000311 ;
+ wire \blk00000003/sig00000310 ;
+ wire \blk00000003/sig0000030f ;
+ wire \blk00000003/sig0000030e ;
+ wire \blk00000003/sig0000030d ;
+ wire \blk00000003/sig0000030c ;
+ wire \blk00000003/sig0000030b ;
+ wire \blk00000003/sig0000030a ;
+ wire \blk00000003/sig00000309 ;
+ wire \blk00000003/sig00000308 ;
+ wire \blk00000003/sig00000307 ;
+ wire \blk00000003/sig00000306 ;
+ wire \blk00000003/sig00000305 ;
+ wire \blk00000003/sig00000304 ;
+ wire \blk00000003/sig00000303 ;
+ wire \blk00000003/sig00000302 ;
+ wire \blk00000003/sig00000301 ;
+ wire \blk00000003/sig00000300 ;
+ wire \blk00000003/sig000002ff ;
+ wire \blk00000003/sig000002fe ;
+ wire \blk00000003/sig000002fd ;
+ wire \blk00000003/sig000002fc ;
+ wire \blk00000003/sig000002fb ;
+ wire \blk00000003/sig000002fa ;
+ wire \blk00000003/sig000002f9 ;
+ wire \blk00000003/sig000002f8 ;
+ wire \blk00000003/sig000002f7 ;
+ wire \blk00000003/sig000002f6 ;
+ wire \blk00000003/sig000002f5 ;
+ wire \blk00000003/sig000002f4 ;
+ wire \blk00000003/sig000002f3 ;
+ wire \blk00000003/sig000002f2 ;
+ wire \blk00000003/sig000002f1 ;
+ wire \blk00000003/sig000002f0 ;
+ wire \blk00000003/sig000002ef ;
+ wire \blk00000003/sig000002ee ;
+ wire \blk00000003/sig000002ed ;
+ wire \blk00000003/sig000002ec ;
+ wire \blk00000003/sig000002eb ;
+ wire \blk00000003/sig000002ea ;
+ wire \blk00000003/sig000002e9 ;
+ wire \blk00000003/sig000002e8 ;
+ wire \blk00000003/sig000002e7 ;
+ wire \blk00000003/sig000002e6 ;
+ wire \blk00000003/sig000002e5 ;
+ wire \blk00000003/sig000002e4 ;
+ wire \blk00000003/sig000002e3 ;
+ wire \blk00000003/sig000002e2 ;
+ wire \blk00000003/sig000002e1 ;
+ wire \blk00000003/sig000002e0 ;
+ wire \blk00000003/sig000002df ;
+ wire \blk00000003/sig000002de ;
+ wire \blk00000003/sig000002dd ;
+ wire \blk00000003/sig000002dc ;
+ wire \blk00000003/sig000002db ;
+ wire \blk00000003/sig000002da ;
+ wire \blk00000003/sig000002d9 ;
+ wire \blk00000003/sig000002d8 ;
+ wire \blk00000003/sig000002d7 ;
+ wire \blk00000003/sig000002d6 ;
+ wire \blk00000003/sig000002d5 ;
+ wire \blk00000003/sig000002d4 ;
+ wire \blk00000003/sig000002d3 ;
+ wire \blk00000003/sig000002d2 ;
+ wire \blk00000003/sig000002d1 ;
+ wire \blk00000003/sig000002d0 ;
+ wire \blk00000003/sig000002cf ;
+ wire \blk00000003/sig000002ce ;
+ wire \blk00000003/sig000002cd ;
+ wire \blk00000003/sig000002cc ;
+ wire \blk00000003/sig000002cb ;
+ wire \blk00000003/sig000002ca ;
+ wire \blk00000003/sig000002c9 ;
+ wire \blk00000003/sig000002c8 ;
+ wire \blk00000003/sig000002c7 ;
+ wire \blk00000003/sig000002c6 ;
+ wire \blk00000003/sig000002c5 ;
+ wire \blk00000003/sig000002c4 ;
+ wire \blk00000003/sig000002c3 ;
+ wire \blk00000003/sig000002c2 ;
+ wire \blk00000003/sig000002c1 ;
+ wire \blk00000003/sig000002c0 ;
+ wire \blk00000003/sig000002bf ;
+ wire \blk00000003/sig000002be ;
+ wire \blk00000003/sig000002bd ;
+ wire \blk00000003/sig000002bc ;
+ wire \blk00000003/sig000002bb ;
+ wire \blk00000003/sig000002ba ;
+ wire \blk00000003/sig000002b9 ;
+ wire \blk00000003/sig000002b8 ;
+ wire \blk00000003/sig000002b7 ;
+ wire \blk00000003/sig000002b6 ;
+ wire \blk00000003/sig000002b5 ;
+ wire \blk00000003/sig000002b4 ;
+ wire \blk00000003/sig000002b3 ;
+ wire \blk00000003/sig000002b2 ;
+ wire \blk00000003/sig000002b1 ;
+ wire \blk00000003/sig000002b0 ;
+ wire \blk00000003/sig000002af ;
+ wire \blk00000003/sig000002ae ;
+ wire \blk00000003/sig000002ad ;
+ wire \blk00000003/sig000002ac ;
+ wire \blk00000003/sig000002ab ;
+ wire \blk00000003/sig000002aa ;
+ wire \blk00000003/sig000002a9 ;
+ wire \blk00000003/sig000002a8 ;
+ wire \blk00000003/sig000002a7 ;
+ wire \blk00000003/sig000002a6 ;
+ wire \blk00000003/sig000002a5 ;
+ wire \blk00000003/sig000002a4 ;
+ wire \blk00000003/sig000002a3 ;
+ wire \blk00000003/sig000002a2 ;
+ wire \blk00000003/sig000002a1 ;
+ wire \blk00000003/sig000002a0 ;
+ wire \blk00000003/sig0000029f ;
+ wire \blk00000003/sig0000029e ;
+ wire \blk00000003/sig0000029d ;
+ wire \blk00000003/sig0000029c ;
+ wire \blk00000003/sig0000029b ;
+ wire \blk00000003/sig0000029a ;
+ wire \blk00000003/sig00000299 ;
+ wire \blk00000003/sig00000298 ;
+ wire \blk00000003/sig00000297 ;
+ wire \blk00000003/sig00000296 ;
+ wire \blk00000003/sig00000295 ;
+ wire \blk00000003/sig00000294 ;
+ wire \blk00000003/sig00000293 ;
+ wire \blk00000003/sig00000292 ;
+ wire \blk00000003/sig00000291 ;
+ wire \blk00000003/sig00000290 ;
+ wire \blk00000003/sig0000028f ;
+ wire \blk00000003/sig0000028e ;
+ wire \blk00000003/sig0000028d ;
+ wire \blk00000003/sig0000028c ;
+ wire \blk00000003/sig0000028b ;
+ wire \blk00000003/sig0000028a ;
+ wire \blk00000003/sig00000289 ;
+ wire \blk00000003/sig00000288 ;
+ wire \blk00000003/sig00000287 ;
+ wire \blk00000003/sig00000286 ;
+ wire \blk00000003/sig00000285 ;
+ wire \blk00000003/sig00000284 ;
+ wire \blk00000003/sig00000283 ;
+ wire \blk00000003/sig00000282 ;
+ wire \blk00000003/sig00000281 ;
+ wire \blk00000003/sig00000280 ;
+ wire \blk00000003/sig0000027f ;
+ wire \blk00000003/sig0000027e ;
+ wire \blk00000003/sig0000027d ;
+ wire \blk00000003/sig0000027c ;
+ wire \blk00000003/sig0000027b ;
+ wire \blk00000003/sig0000027a ;
+ wire \blk00000003/sig00000279 ;
+ wire \blk00000003/sig00000278 ;
+ wire \blk00000003/sig00000277 ;
+ wire \blk00000003/sig00000276 ;
+ wire \blk00000003/sig00000275 ;
+ wire \blk00000003/sig00000274 ;
+ wire \blk00000003/sig00000273 ;
+ wire \blk00000003/sig00000272 ;
+ wire \blk00000003/sig00000271 ;
+ wire \blk00000003/sig00000270 ;
+ wire \blk00000003/sig0000026f ;
+ wire \blk00000003/sig0000026e ;
+ wire \blk00000003/sig0000026d ;
+ wire \blk00000003/sig0000026c ;
+ wire \blk00000003/sig0000026b ;
+ wire \blk00000003/sig0000026a ;
+ wire \blk00000003/sig00000269 ;
+ wire \blk00000003/sig00000268 ;
+ wire \blk00000003/sig00000267 ;
+ wire \blk00000003/sig00000266 ;
+ wire \blk00000003/sig00000265 ;
+ wire \blk00000003/sig00000264 ;
+ wire \blk00000003/sig00000263 ;
+ wire \blk00000003/sig00000262 ;
+ wire \blk00000003/sig00000261 ;
+ wire \blk00000003/sig00000260 ;
+ wire \blk00000003/sig0000025f ;
+ wire \blk00000003/sig0000025e ;
+ wire \blk00000003/sig0000025d ;
+ wire \blk00000003/sig0000025c ;
+ wire \blk00000003/sig0000025b ;
+ wire \blk00000003/sig0000025a ;
+ wire \blk00000003/sig00000259 ;
+ wire \blk00000003/sig00000258 ;
+ wire \blk00000003/sig00000257 ;
+ wire \blk00000003/sig00000256 ;
+ wire \blk00000003/sig00000255 ;
+ wire \blk00000003/sig00000254 ;
+ wire \blk00000003/sig00000253 ;
+ wire \blk00000003/sig00000252 ;
+ wire \blk00000003/sig00000251 ;
+ wire \blk00000003/sig00000250 ;
+ wire \blk00000003/sig0000024f ;
+ wire \blk00000003/sig0000024e ;
+ wire \blk00000003/sig0000024d ;
+ wire \blk00000003/sig0000024c ;
+ wire \blk00000003/sig0000024b ;
+ wire \blk00000003/sig0000024a ;
+ wire \blk00000003/sig00000249 ;
+ wire \blk00000003/sig00000248 ;
+ wire \blk00000003/sig00000247 ;
+ wire \blk00000003/sig00000246 ;
+ wire \blk00000003/sig00000245 ;
+ wire \blk00000003/sig00000244 ;
+ wire \blk00000003/sig00000243 ;
+ wire \blk00000003/sig00000242 ;
+ wire \blk00000003/sig00000241 ;
+ wire \blk00000003/sig00000240 ;
+ wire \blk00000003/sig0000023f ;
+ wire \blk00000003/sig0000023e ;
+ wire \blk00000003/sig0000023d ;
+ wire \blk00000003/sig0000023c ;
+ wire \blk00000003/sig0000023b ;
+ wire \blk00000003/sig0000023a ;
+ wire \blk00000003/sig00000239 ;
+ wire \blk00000003/sig00000238 ;
+ wire \blk00000003/sig00000237 ;
+ wire \blk00000003/sig00000236 ;
+ wire \blk00000003/sig00000235 ;
+ wire \blk00000003/sig00000234 ;
+ wire \blk00000003/sig00000233 ;
+ wire \blk00000003/sig00000232 ;
+ wire \blk00000003/sig00000231 ;
+ wire \blk00000003/sig00000230 ;
+ wire \blk00000003/sig0000022f ;
+ wire \blk00000003/sig0000022e ;
+ wire \blk00000003/sig0000022d ;
+ wire \blk00000003/sig0000022c ;
+ wire \blk00000003/sig0000022b ;
+ wire \blk00000003/sig0000022a ;
+ wire \blk00000003/sig00000229 ;
+ wire \blk00000003/sig00000228 ;
+ wire \blk00000003/sig00000227 ;
+ wire \blk00000003/sig00000226 ;
+ wire \blk00000003/sig00000225 ;
+ wire \blk00000003/sig00000224 ;
+ wire \blk00000003/sig00000223 ;
+ wire \blk00000003/sig00000222 ;
+ wire \blk00000003/sig00000221 ;
+ wire \blk00000003/sig00000220 ;
+ wire \blk00000003/sig0000021f ;
+ wire \blk00000003/sig0000021e ;
+ wire \blk00000003/sig0000021d ;
+ wire \blk00000003/sig0000021c ;
+ wire \blk00000003/sig0000021b ;
+ wire \blk00000003/sig0000021a ;
+ wire \blk00000003/sig00000219 ;
+ wire \blk00000003/sig00000218 ;
+ wire \blk00000003/sig00000217 ;
+ wire \blk00000003/sig00000216 ;
+ wire \blk00000003/sig00000215 ;
+ wire \blk00000003/sig00000214 ;
+ wire \blk00000003/sig00000213 ;
+ wire \blk00000003/sig00000212 ;
+ wire \blk00000003/sig00000211 ;
+ wire \blk00000003/sig00000210 ;
+ wire \blk00000003/sig0000020f ;
+ wire \blk00000003/sig0000020e ;
+ wire \blk00000003/sig0000020d ;
+ wire \blk00000003/sig0000020c ;
+ wire \blk00000003/sig0000020b ;
+ wire \blk00000003/sig0000020a ;
+ wire \blk00000003/sig00000209 ;
+ wire \blk00000003/sig00000208 ;
+ wire \blk00000003/sig00000207 ;
+ wire \blk00000003/sig00000206 ;
+ wire \blk00000003/sig00000205 ;
+ wire \blk00000003/sig00000204 ;
+ wire \blk00000003/sig00000203 ;
+ wire \blk00000003/sig00000202 ;
+ wire \blk00000003/sig00000201 ;
+ wire \blk00000003/sig00000200 ;
+ wire \blk00000003/sig000001ff ;
+ wire \blk00000003/sig000001fe ;
+ wire \blk00000003/sig000001fd ;
+ wire \blk00000003/sig000001fc ;
+ wire \blk00000003/sig000001fb ;
+ wire \blk00000003/sig000001fa ;
+ wire \blk00000003/sig000001f9 ;
+ wire \blk00000003/sig000001f8 ;
+ wire \blk00000003/sig000001f7 ;
+ wire \blk00000003/sig000001f6 ;
+ wire \blk00000003/sig000001f5 ;
+ wire \blk00000003/sig000001f4 ;
+ wire \blk00000003/sig000001f3 ;
+ wire \blk00000003/sig000001f2 ;
+ wire \blk00000003/sig000001f1 ;
+ wire \blk00000003/sig000001f0 ;
+ wire \blk00000003/sig000001ef ;
+ wire \blk00000003/sig000001ee ;
+ wire \blk00000003/sig000001ed ;
+ wire \blk00000003/sig000001ec ;
+ wire \blk00000003/sig000001eb ;
+ wire \blk00000003/sig000001ea ;
+ wire \blk00000003/sig000001e9 ;
+ wire \blk00000003/sig000001e8 ;
+ wire \blk00000003/sig000001e7 ;
+ wire \blk00000003/sig000001e6 ;
+ wire \blk00000003/sig000001e5 ;
+ wire \blk00000003/sig000001e4 ;
+ wire \blk00000003/sig000001e3 ;
+ wire \blk00000003/sig000001e2 ;
+ wire \blk00000003/sig000001e1 ;
+ wire \blk00000003/sig000001e0 ;
+ wire \blk00000003/sig000001df ;
+ wire \blk00000003/sig000001de ;
+ wire \blk00000003/sig000001dd ;
+ wire \blk00000003/sig000001dc ;
+ wire \blk00000003/sig000001db ;
+ wire \blk00000003/sig000001da ;
+ wire \blk00000003/sig000001d9 ;
+ wire \blk00000003/sig000001d8 ;
+ wire \blk00000003/sig000001d7 ;
+ wire \blk00000003/sig000001d6 ;
+ wire \blk00000003/sig000001d5 ;
+ wire \blk00000003/sig000001d4 ;
+ wire \blk00000003/sig000001d3 ;
+ wire \blk00000003/sig000001d2 ;
+ wire \blk00000003/sig000001d1 ;
+ wire \blk00000003/sig000001d0 ;
+ wire \blk00000003/sig000001cf ;
+ wire \blk00000003/sig000001ce ;
+ wire \blk00000003/sig000001cd ;
+ wire \blk00000003/sig000001cc ;
+ wire \blk00000003/sig000001cb ;
+ wire \blk00000003/sig000001ca ;
+ wire \blk00000003/sig000001c9 ;
+ wire \blk00000003/sig000001c8 ;
+ wire \blk00000003/sig000001c7 ;
+ wire \blk00000003/sig000001c6 ;
+ wire \blk00000003/sig000001c5 ;
+ wire \blk00000003/sig000001c4 ;
+ wire \blk00000003/sig000001c3 ;
+ wire \blk00000003/sig000001c2 ;
+ wire \blk00000003/sig000001c1 ;
+ wire \blk00000003/sig000001c0 ;
+ wire \blk00000003/sig000001bf ;
+ wire \blk00000003/sig000001be ;
+ wire \blk00000003/sig000001bd ;
+ wire \blk00000003/sig000001bc ;
+ wire \blk00000003/sig000001bb ;
+ wire \blk00000003/sig000001ba ;
+ wire \blk00000003/sig000001b9 ;
+ wire \blk00000003/sig000001b8 ;
+ wire \blk00000003/sig000001b7 ;
+ wire \blk00000003/sig000001b6 ;
+ wire \blk00000003/sig000001b5 ;
+ wire \blk00000003/sig000001b4 ;
+ wire \blk00000003/sig000001b3 ;
+ wire \blk00000003/sig000001b2 ;
+ wire \blk00000003/sig000001b1 ;
+ wire \blk00000003/sig000001b0 ;
+ wire \blk00000003/sig000001af ;
+ wire \blk00000003/sig000001ae ;
+ wire \blk00000003/sig000001ad ;
+ wire \blk00000003/sig000001ac ;
+ wire \blk00000003/sig000001ab ;
+ wire \blk00000003/sig000001aa ;
+ wire \blk00000003/sig000001a9 ;
+ wire \blk00000003/sig000001a8 ;
+ wire \blk00000003/sig000001a7 ;
+ wire \blk00000003/sig000001a6 ;
+ wire \blk00000003/sig000001a5 ;
+ wire \blk00000003/sig000001a4 ;
+ wire \blk00000003/sig000001a3 ;
+ wire \blk00000003/sig000001a2 ;
+ wire \blk00000003/sig000001a1 ;
+ wire \blk00000003/sig000001a0 ;
+ wire \blk00000003/sig0000019f ;
+ wire \blk00000003/sig0000019e ;
+ wire \blk00000003/sig0000019d ;
+ wire \blk00000003/sig0000019c ;
+ wire \blk00000003/sig0000019b ;
+ wire \blk00000003/sig0000019a ;
+ wire \blk00000003/sig00000199 ;
+ wire \blk00000003/sig00000198 ;
+ wire \blk00000003/sig00000197 ;
+ wire \blk00000003/sig00000196 ;
+ wire \blk00000003/sig00000195 ;
+ wire \blk00000003/sig00000194 ;
+ wire \blk00000003/sig00000193 ;
+ wire \blk00000003/sig00000192 ;
+ wire \blk00000003/sig00000191 ;
+ wire \blk00000003/sig00000190 ;
+ wire \blk00000003/sig0000018f ;
+ wire \blk00000003/sig0000018e ;
+ wire \blk00000003/sig0000018d ;
+ wire \blk00000003/sig0000018c ;
+ wire \blk00000003/sig0000018b ;
+ wire \blk00000003/sig0000018a ;
+ wire \blk00000003/sig00000189 ;
+ wire \blk00000003/sig00000188 ;
+ wire \blk00000003/sig00000187 ;
+ wire \blk00000003/sig00000186 ;
+ wire \blk00000003/sig00000185 ;
+ wire \blk00000003/sig00000184 ;
+ wire \blk00000003/sig00000183 ;
+ wire \blk00000003/sig00000182 ;
+ wire \blk00000003/sig00000181 ;
+ wire \blk00000003/sig00000180 ;
+ wire \blk00000003/sig0000017f ;
+ wire \blk00000003/sig0000017e ;
+ wire \blk00000003/sig0000017d ;
+ wire \blk00000003/sig0000017c ;
+ wire \blk00000003/sig0000017b ;
+ wire \blk00000003/sig0000017a ;
+ wire \blk00000003/sig00000179 ;
+ wire \blk00000003/sig00000178 ;
+ wire \blk00000003/sig00000177 ;
+ wire \blk00000003/sig00000176 ;
+ wire \blk00000003/sig00000175 ;
+ wire \blk00000003/sig00000174 ;
+ wire \blk00000003/sig00000173 ;
+ wire \blk00000003/sig00000172 ;
+ wire \blk00000003/sig00000171 ;
+ wire \blk00000003/sig00000170 ;
+ wire \blk00000003/sig0000016f ;
+ wire \blk00000003/sig0000016e ;
+ wire \blk00000003/sig0000016d ;
+ wire \blk00000003/sig0000016c ;
+ wire \blk00000003/sig0000016b ;
+ wire \blk00000003/sig0000016a ;
+ wire \blk00000003/sig00000169 ;
+ wire \blk00000003/sig00000168 ;
+ wire \blk00000003/sig00000167 ;
+ wire \blk00000003/sig00000166 ;
+ wire \blk00000003/sig00000165 ;
+ wire \blk00000003/sig00000164 ;
+ wire \blk00000003/sig00000163 ;
+ wire \blk00000003/sig00000162 ;
+ wire \blk00000003/sig00000161 ;
+ wire \blk00000003/sig00000160 ;
+ wire \blk00000003/sig0000015f ;
+ wire \blk00000003/sig0000015e ;
+ wire \blk00000003/sig0000015d ;
+ wire \blk00000003/sig0000015c ;
+ wire \blk00000003/sig0000015b ;
+ wire \blk00000003/sig0000015a ;
+ wire \blk00000003/sig00000159 ;
+ wire \blk00000003/sig00000158 ;
+ wire \blk00000003/sig00000157 ;
+ wire \blk00000003/sig00000156 ;
+ wire \blk00000003/sig00000155 ;
+ wire \blk00000003/sig00000154 ;
+ wire \blk00000003/sig00000153 ;
+ wire \blk00000003/sig00000152 ;
+ wire \blk00000003/sig00000151 ;
+ wire \blk00000003/sig00000150 ;
+ wire \blk00000003/sig0000014f ;
+ wire \blk00000003/sig0000014e ;
+ wire \blk00000003/sig0000014d ;
+ wire \blk00000003/sig0000014c ;
+ wire \blk00000003/sig0000014b ;
+ wire \blk00000003/sig0000014a ;
+ wire \blk00000003/sig00000149 ;
+ wire \blk00000003/sig00000148 ;
+ wire \blk00000003/sig00000147 ;
+ wire \blk00000003/sig00000146 ;
+ wire \blk00000003/sig00000145 ;
+ wire \blk00000003/sig00000144 ;
+ wire \blk00000003/sig00000143 ;
+ wire \blk00000003/sig00000142 ;
+ wire \blk00000003/sig00000141 ;
+ wire \blk00000003/sig00000140 ;
+ wire \blk00000003/sig0000013f ;
+ wire \blk00000003/sig0000013e ;
+ wire \blk00000003/sig0000013d ;
+ wire \blk00000003/sig0000013c ;
+ wire \blk00000003/sig0000013b ;
+ wire \blk00000003/sig0000013a ;
+ wire \blk00000003/sig00000139 ;
+ wire \blk00000003/sig00000138 ;
+ wire \blk00000003/sig00000137 ;
+ wire \blk00000003/sig00000136 ;
+ wire \blk00000003/sig00000135 ;
+ wire \blk00000003/sig00000134 ;
+ wire \blk00000003/sig00000133 ;
+ wire \blk00000003/sig00000132 ;
+ wire \blk00000003/sig00000131 ;
+ wire \blk00000003/sig00000130 ;
+ wire \blk00000003/sig0000012f ;
+ wire \blk00000003/sig0000012e ;
+ wire \blk00000003/sig0000012d ;
+ wire \blk00000003/sig0000012c ;
+ wire \blk00000003/sig0000012b ;
+ wire \blk00000003/sig0000012a ;
+ wire \blk00000003/sig00000129 ;
+ wire \blk00000003/sig00000128 ;
+ wire \blk00000003/sig00000127 ;
+ wire \blk00000003/sig00000126 ;
+ wire \blk00000003/sig00000125 ;
+ wire \blk00000003/sig00000124 ;
+ wire \blk00000003/sig00000123 ;
+ wire \blk00000003/sig00000122 ;
+ wire \blk00000003/sig00000121 ;
+ wire \blk00000003/sig00000120 ;
+ wire \blk00000003/sig0000011f ;
+ wire \blk00000003/sig0000011e ;
+ wire \blk00000003/sig0000011d ;
+ wire \blk00000003/sig0000011c ;
+ wire \blk00000003/sig0000011b ;
+ wire \blk00000003/sig0000011a ;
+ wire \blk00000003/sig00000119 ;
+ wire \blk00000003/sig00000118 ;
+ wire \blk00000003/sig00000117 ;
+ wire \blk00000003/sig00000116 ;
+ wire \blk00000003/sig00000115 ;
+ wire \blk00000003/sig00000114 ;
+ wire \blk00000003/sig00000113 ;
+ wire \blk00000003/sig00000112 ;
+ wire \blk00000003/sig00000111 ;
+ wire \blk00000003/sig00000110 ;
+ wire \blk00000003/sig0000010f ;
+ wire \blk00000003/sig0000010e ;
+ wire \blk00000003/sig0000010d ;
+ wire \blk00000003/sig0000010c ;
+ wire \blk00000003/sig0000010b ;
+ wire \blk00000003/sig0000010a ;
+ wire \blk00000003/sig00000109 ;
+ wire \blk00000003/sig00000108 ;
+ wire \blk00000003/sig00000107 ;
+ wire \blk00000003/sig00000106 ;
+ wire \blk00000003/sig00000105 ;
+ wire \blk00000003/sig00000104 ;
+ wire \blk00000003/sig00000103 ;
+ wire \blk00000003/sig00000102 ;
+ wire \blk00000003/sig00000101 ;
+ wire \blk00000003/sig00000100 ;
+ wire \blk00000003/sig000000ff ;
+ wire \blk00000003/sig000000fe ;
+ wire \blk00000003/sig000000fd ;
+ wire \blk00000003/sig000000fc ;
+ wire \blk00000003/sig000000fb ;
+ wire \blk00000003/sig000000fa ;
+ wire \blk00000003/sig000000f9 ;
+ wire \blk00000003/sig000000f8 ;
+ wire \blk00000003/sig000000f7 ;
+ wire \blk00000003/sig000000f6 ;
+ wire \blk00000003/sig000000f5 ;
+ wire \blk00000003/sig000000f4 ;
+ wire \blk00000003/sig000000f3 ;
+ wire \blk00000003/sig000000f2 ;
+ wire \blk00000003/sig000000f1 ;
+ wire \blk00000003/sig000000f0 ;
+ wire \blk00000003/sig000000ef ;
+ wire \blk00000003/sig000000ee ;
+ wire \blk00000003/sig000000ed ;
+ wire \blk00000003/sig000000ec ;
+ wire \blk00000003/sig000000eb ;
+ wire \blk00000003/sig000000ea ;
+ wire \blk00000003/sig000000e9 ;
+ wire \blk00000003/sig000000e8 ;
+ wire \blk00000003/sig000000e7 ;
+ wire \blk00000003/sig000000e6 ;
+ wire \blk00000003/sig000000e5 ;
+ wire \blk00000003/sig000000e4 ;
+ wire \blk00000003/sig000000e3 ;
+ wire \blk00000003/sig000000e2 ;
+ wire \blk00000003/sig000000e1 ;
+ wire \blk00000003/sig000000e0 ;
+ wire \blk00000003/sig000000df ;
+ wire \blk00000003/sig000000de ;
+ wire \blk00000003/sig000000dd ;
+ wire \blk00000003/sig000000dc ;
+ wire \blk00000003/sig000000db ;
+ wire \blk00000003/sig000000da ;
+ wire \blk00000003/sig000000d9 ;
+ wire \blk00000003/sig000000d8 ;
+ wire \blk00000003/sig000000d7 ;
+ wire \blk00000003/sig000000d6 ;
+ wire \blk00000003/sig000000d5 ;
+ wire \blk00000003/sig000000d4 ;
+ wire \blk00000003/sig000000d3 ;
+ wire \blk00000003/sig000000d2 ;
+ wire \blk00000003/sig000000d1 ;
+ wire \blk00000003/sig000000d0 ;
+ wire \blk00000003/sig000000cf ;
+ wire \blk00000003/sig000000ce ;
+ wire \blk00000003/sig000000cd ;
+ wire \blk00000003/sig000000cc ;
+ wire \blk00000003/sig000000cb ;
+ wire \blk00000003/sig000000ca ;
+ wire \blk00000003/sig000000c9 ;
+ wire \blk00000003/sig000000c8 ;
+ wire \blk00000003/sig000000c7 ;
+ wire \blk00000003/sig000000c6 ;
+ wire \blk00000003/sig000000c5 ;
+ wire \blk00000003/sig000000c4 ;
+ wire \blk00000003/sig000000c3 ;
+ wire \blk00000003/sig000000c2 ;
+ wire \blk00000003/sig000000c1 ;
+ wire \blk00000003/sig000000c0 ;
+ wire \blk00000003/sig000000bf ;
+ wire \blk00000003/sig000000be ;
+ wire \blk00000003/sig000000bd ;
+ wire \blk00000003/sig000000bc ;
+ wire \blk00000003/sig000000bb ;
+ wire \blk00000003/sig000000ba ;
+ wire \blk00000003/sig000000b9 ;
+ wire \blk00000003/sig000000b8 ;
+ wire \blk00000003/sig000000b7 ;
+ wire \blk00000003/sig000000b6 ;
+ wire \blk00000003/sig000000b5 ;
+ wire \blk00000003/sig000000b4 ;
+ wire \blk00000003/sig000000b3 ;
+ wire \blk00000003/sig000000b2 ;
+ wire \blk00000003/sig000000b1 ;
+ wire \blk00000003/sig000000b0 ;
+ wire \blk00000003/sig000000af ;
+ wire \blk00000003/sig000000ae ;
+ wire \blk00000003/sig0000004a ;
+ wire \blk00000003/sig00000049 ;
+ wire \blk00000003/blk0000002a/sig000006fd ;
+ wire \blk00000003/blk0000002a/sig000006fc ;
+ wire \blk00000003/blk0000002a/sig000006fb ;
+ wire \blk00000003/blk0000002a/sig000006fa ;
+ wire \blk00000003/blk0000002a/sig000006f9 ;
+ wire \blk00000003/blk0000002a/sig000006f8 ;
+ wire \blk00000003/blk0000002a/sig000006f7 ;
+ wire \blk00000003/blk0000002a/sig000006f6 ;
+ wire \blk00000003/blk0000002a/sig000006f5 ;
+ wire \blk00000003/blk0000002a/sig000006f4 ;
+ wire \blk00000003/blk0000002a/sig000006f3 ;
+ wire \blk00000003/blk0000002a/sig000006f2 ;
+ wire \blk00000003/blk0000002a/sig000006f1 ;
+ wire \blk00000003/blk0000002a/sig000006f0 ;
+ wire \blk00000003/blk0000002a/sig000006ef ;
+ wire \blk00000003/blk0000002a/sig000006ee ;
+ wire \blk00000003/blk0000002a/sig000006ed ;
+ wire \blk00000003/blk0000002a/sig000006ec ;
+ wire \blk00000003/blk0000002a/sig000006eb ;
+ wire \blk00000003/blk0000002a/sig000006ea ;
+ wire \blk00000003/blk0000002a/sig000006e9 ;
+ wire \blk00000003/blk0000002a/sig000006e8 ;
+ wire \blk00000003/blk0000002a/sig000006e7 ;
+ wire \blk00000003/blk0000002a/sig000006e6 ;
+ wire \blk00000003/blk0000002a/sig000006e5 ;
+ wire \blk00000003/blk0000002a/sig000006e4 ;
+ wire \blk00000003/blk0000002a/sig000006e3 ;
+ wire \blk00000003/blk0000002a/sig000006e2 ;
+ wire \blk00000003/blk0000002a/sig000006e1 ;
+ wire \blk00000003/blk0000002a/sig000006e0 ;
+ wire \blk00000003/blk0000002a/sig000006df ;
+ wire \blk00000003/blk0000002a/sig000006de ;
+ wire \blk00000003/blk0000002a/sig000006dd ;
+ wire \blk00000003/blk0000002a/sig000006dc ;
+ wire \blk00000003/blk0000002a/sig000006db ;
+ wire \blk00000003/blk0000002a/sig000006da ;
+ wire \blk00000003/blk0000002a/sig000006d9 ;
+ wire \blk00000003/blk0000002a/sig000006d8 ;
+ wire \blk00000003/blk0000002a/sig000006d7 ;
+ wire \blk00000003/blk0000002a/sig000006d6 ;
+ wire \blk00000003/blk0000002a/sig000006d5 ;
+ wire \blk00000003/blk0000002a/sig000006d4 ;
+ wire \blk00000003/blk0000002a/sig000006d3 ;
+ wire \blk00000003/blk0000002a/sig000006d2 ;
+ wire \blk00000003/blk0000002a/sig000006d1 ;
+ wire \blk00000003/blk0000002a/sig000006d0 ;
+ wire \blk00000003/blk0000002a/sig000006cf ;
+ wire \blk00000003/blk0000002a/sig000006ce ;
+ wire \blk00000003/blk0000002a/sig000006cd ;
+ wire \blk00000003/blk0000002a/sig000006cc ;
+ wire \blk00000003/blk00000119/sig0000074d ;
+ wire \blk00000003/blk00000119/sig0000074c ;
+ wire \blk00000003/blk00000119/sig0000074b ;
+ wire \blk00000003/blk00000119/sig0000074a ;
+ wire \blk00000003/blk00000119/sig00000749 ;
+ wire \blk00000003/blk00000119/sig00000748 ;
+ wire \blk00000003/blk00000119/sig00000747 ;
+ wire \blk00000003/blk00000119/sig00000746 ;
+ wire \blk00000003/blk00000119/sig00000745 ;
+ wire \blk00000003/blk00000119/sig00000744 ;
+ wire \blk00000003/blk00000119/sig00000743 ;
+ wire \blk00000003/blk00000119/sig00000742 ;
+ wire \blk00000003/blk00000119/sig00000741 ;
+ wire \blk00000003/blk00000119/sig00000740 ;
+ wire \blk00000003/blk00000119/sig0000073f ;
+ wire \blk00000003/blk00000119/sig0000073e ;
+ wire \blk00000003/blk00000119/sig0000073d ;
+ wire \blk00000003/blk00000119/sig0000073c ;
+ wire \blk00000003/blk00000119/sig0000073b ;
+ wire \blk00000003/blk00000119/sig0000073a ;
+ wire \blk00000003/blk00000119/sig00000739 ;
+ wire \blk00000003/blk00000119/sig00000738 ;
+ wire \blk00000003/blk00000119/sig00000737 ;
+ wire \blk00000003/blk00000119/sig00000736 ;
+ wire \blk00000003/blk00000119/sig00000735 ;
+ wire \blk00000003/blk00000119/sig00000734 ;
+ wire \blk00000003/blk0000014c/sig0000079d ;
+ wire \blk00000003/blk0000014c/sig0000079c ;
+ wire \blk00000003/blk0000014c/sig0000079b ;
+ wire \blk00000003/blk0000014c/sig0000079a ;
+ wire \blk00000003/blk0000014c/sig00000799 ;
+ wire \blk00000003/blk0000014c/sig00000798 ;
+ wire \blk00000003/blk0000014c/sig00000797 ;
+ wire \blk00000003/blk0000014c/sig00000796 ;
+ wire \blk00000003/blk0000014c/sig00000795 ;
+ wire \blk00000003/blk0000014c/sig00000794 ;
+ wire \blk00000003/blk0000014c/sig00000793 ;
+ wire \blk00000003/blk0000014c/sig00000792 ;
+ wire \blk00000003/blk0000014c/sig00000791 ;
+ wire \blk00000003/blk0000014c/sig00000790 ;
+ wire \blk00000003/blk0000014c/sig0000078f ;
+ wire \blk00000003/blk0000014c/sig0000078e ;
+ wire \blk00000003/blk0000014c/sig0000078d ;
+ wire \blk00000003/blk0000014c/sig0000078c ;
+ wire \blk00000003/blk0000014c/sig0000078b ;
+ wire \blk00000003/blk0000014c/sig0000078a ;
+ wire \blk00000003/blk0000014c/sig00000789 ;
+ wire \blk00000003/blk0000014c/sig00000788 ;
+ wire \blk00000003/blk0000014c/sig00000787 ;
+ wire \blk00000003/blk0000014c/sig00000786 ;
+ wire \blk00000003/blk0000014c/sig00000785 ;
+ wire \blk00000003/blk0000014c/sig00000784 ;
+ wire \blk00000003/blk0000017f/sig000007ed ;
+ wire \blk00000003/blk0000017f/sig000007ec ;
+ wire \blk00000003/blk0000017f/sig000007eb ;
+ wire \blk00000003/blk0000017f/sig000007ea ;
+ wire \blk00000003/blk0000017f/sig000007e9 ;
+ wire \blk00000003/blk0000017f/sig000007e8 ;
+ wire \blk00000003/blk0000017f/sig000007e7 ;
+ wire \blk00000003/blk0000017f/sig000007e6 ;
+ wire \blk00000003/blk0000017f/sig000007e5 ;
+ wire \blk00000003/blk0000017f/sig000007e4 ;
+ wire \blk00000003/blk0000017f/sig000007e3 ;
+ wire \blk00000003/blk0000017f/sig000007e2 ;
+ wire \blk00000003/blk0000017f/sig000007e1 ;
+ wire \blk00000003/blk0000017f/sig000007e0 ;
+ wire \blk00000003/blk0000017f/sig000007df ;
+ wire \blk00000003/blk0000017f/sig000007de ;
+ wire \blk00000003/blk0000017f/sig000007dd ;
+ wire \blk00000003/blk0000017f/sig000007dc ;
+ wire \blk00000003/blk0000017f/sig000007db ;
+ wire \blk00000003/blk0000017f/sig000007da ;
+ wire \blk00000003/blk0000017f/sig000007d9 ;
+ wire \blk00000003/blk0000017f/sig000007d8 ;
+ wire \blk00000003/blk0000017f/sig000007d7 ;
+ wire \blk00000003/blk0000017f/sig000007d6 ;
+ wire \blk00000003/blk0000017f/sig000007d5 ;
+ wire \blk00000003/blk0000017f/sig000007d4 ;
+ wire \blk00000003/blk000001b2/sig0000083d ;
+ wire \blk00000003/blk000001b2/sig0000083c ;
+ wire \blk00000003/blk000001b2/sig0000083b ;
+ wire \blk00000003/blk000001b2/sig0000083a ;
+ wire \blk00000003/blk000001b2/sig00000839 ;
+ wire \blk00000003/blk000001b2/sig00000838 ;
+ wire \blk00000003/blk000001b2/sig00000837 ;
+ wire \blk00000003/blk000001b2/sig00000836 ;
+ wire \blk00000003/blk000001b2/sig00000835 ;
+ wire \blk00000003/blk000001b2/sig00000834 ;
+ wire \blk00000003/blk000001b2/sig00000833 ;
+ wire \blk00000003/blk000001b2/sig00000832 ;
+ wire \blk00000003/blk000001b2/sig00000831 ;
+ wire \blk00000003/blk000001b2/sig00000830 ;
+ wire \blk00000003/blk000001b2/sig0000082f ;
+ wire \blk00000003/blk000001b2/sig0000082e ;
+ wire \blk00000003/blk000001b2/sig0000082d ;
+ wire \blk00000003/blk000001b2/sig0000082c ;
+ wire \blk00000003/blk000001b2/sig0000082b ;
+ wire \blk00000003/blk000001b2/sig0000082a ;
+ wire \blk00000003/blk000001b2/sig00000829 ;
+ wire \blk00000003/blk000001b2/sig00000828 ;
+ wire \blk00000003/blk000001b2/sig00000827 ;
+ wire \blk00000003/blk000001b2/sig00000826 ;
+ wire \blk00000003/blk000001b2/sig00000825 ;
+ wire \blk00000003/blk000001b2/sig00000824 ;
+ wire \blk00000003/blk000001e5/sig0000088d ;
+ wire \blk00000003/blk000001e5/sig0000088c ;
+ wire \blk00000003/blk000001e5/sig0000088b ;
+ wire \blk00000003/blk000001e5/sig0000088a ;
+ wire \blk00000003/blk000001e5/sig00000889 ;
+ wire \blk00000003/blk000001e5/sig00000888 ;
+ wire \blk00000003/blk000001e5/sig00000887 ;
+ wire \blk00000003/blk000001e5/sig00000886 ;
+ wire \blk00000003/blk000001e5/sig00000885 ;
+ wire \blk00000003/blk000001e5/sig00000884 ;
+ wire \blk00000003/blk000001e5/sig00000883 ;
+ wire \blk00000003/blk000001e5/sig00000882 ;
+ wire \blk00000003/blk000001e5/sig00000881 ;
+ wire \blk00000003/blk000001e5/sig00000880 ;
+ wire \blk00000003/blk000001e5/sig0000087f ;
+ wire \blk00000003/blk000001e5/sig0000087e ;
+ wire \blk00000003/blk000001e5/sig0000087d ;
+ wire \blk00000003/blk000001e5/sig0000087c ;
+ wire \blk00000003/blk000001e5/sig0000087b ;
+ wire \blk00000003/blk000001e5/sig0000087a ;
+ wire \blk00000003/blk000001e5/sig00000879 ;
+ wire \blk00000003/blk000001e5/sig00000878 ;
+ wire \blk00000003/blk000001e5/sig00000877 ;
+ wire \blk00000003/blk000001e5/sig00000876 ;
+ wire \blk00000003/blk000001e5/sig00000875 ;
+ wire \blk00000003/blk000001e5/sig00000874 ;
+ wire \blk00000003/blk00000218/sig000008dd ;
+ wire \blk00000003/blk00000218/sig000008dc ;
+ wire \blk00000003/blk00000218/sig000008db ;
+ wire \blk00000003/blk00000218/sig000008da ;
+ wire \blk00000003/blk00000218/sig000008d9 ;
+ wire \blk00000003/blk00000218/sig000008d8 ;
+ wire \blk00000003/blk00000218/sig000008d7 ;
+ wire \blk00000003/blk00000218/sig000008d6 ;
+ wire \blk00000003/blk00000218/sig000008d5 ;
+ wire \blk00000003/blk00000218/sig000008d4 ;
+ wire \blk00000003/blk00000218/sig000008d3 ;
+ wire \blk00000003/blk00000218/sig000008d2 ;
+ wire \blk00000003/blk00000218/sig000008d1 ;
+ wire \blk00000003/blk00000218/sig000008d0 ;
+ wire \blk00000003/blk00000218/sig000008cf ;
+ wire \blk00000003/blk00000218/sig000008ce ;
+ wire \blk00000003/blk00000218/sig000008cd ;
+ wire \blk00000003/blk00000218/sig000008cc ;
+ wire \blk00000003/blk00000218/sig000008cb ;
+ wire \blk00000003/blk00000218/sig000008ca ;
+ wire \blk00000003/blk00000218/sig000008c9 ;
+ wire \blk00000003/blk00000218/sig000008c8 ;
+ wire \blk00000003/blk00000218/sig000008c7 ;
+ wire \blk00000003/blk00000218/sig000008c6 ;
+ wire \blk00000003/blk00000218/sig000008c5 ;
+ wire \blk00000003/blk00000218/sig000008c4 ;
+ wire \blk00000003/blk0000024b/sig0000092d ;
+ wire \blk00000003/blk0000024b/sig0000092c ;
+ wire \blk00000003/blk0000024b/sig0000092b ;
+ wire \blk00000003/blk0000024b/sig0000092a ;
+ wire \blk00000003/blk0000024b/sig00000929 ;
+ wire \blk00000003/blk0000024b/sig00000928 ;
+ wire \blk00000003/blk0000024b/sig00000927 ;
+ wire \blk00000003/blk0000024b/sig00000926 ;
+ wire \blk00000003/blk0000024b/sig00000925 ;
+ wire \blk00000003/blk0000024b/sig00000924 ;
+ wire \blk00000003/blk0000024b/sig00000923 ;
+ wire \blk00000003/blk0000024b/sig00000922 ;
+ wire \blk00000003/blk0000024b/sig00000921 ;
+ wire \blk00000003/blk0000024b/sig00000920 ;
+ wire \blk00000003/blk0000024b/sig0000091f ;
+ wire \blk00000003/blk0000024b/sig0000091e ;
+ wire \blk00000003/blk0000024b/sig0000091d ;
+ wire \blk00000003/blk0000024b/sig0000091c ;
+ wire \blk00000003/blk0000024b/sig0000091b ;
+ wire \blk00000003/blk0000024b/sig0000091a ;
+ wire \blk00000003/blk0000024b/sig00000919 ;
+ wire \blk00000003/blk0000024b/sig00000918 ;
+ wire \blk00000003/blk0000024b/sig00000917 ;
+ wire \blk00000003/blk0000024b/sig00000916 ;
+ wire \blk00000003/blk0000024b/sig00000915 ;
+ wire \blk00000003/blk0000024b/sig00000914 ;
+ wire \blk00000003/blk0000027e/sig0000097d ;
+ wire \blk00000003/blk0000027e/sig0000097c ;
+ wire \blk00000003/blk0000027e/sig0000097b ;
+ wire \blk00000003/blk0000027e/sig0000097a ;
+ wire \blk00000003/blk0000027e/sig00000979 ;
+ wire \blk00000003/blk0000027e/sig00000978 ;
+ wire \blk00000003/blk0000027e/sig00000977 ;
+ wire \blk00000003/blk0000027e/sig00000976 ;
+ wire \blk00000003/blk0000027e/sig00000975 ;
+ wire \blk00000003/blk0000027e/sig00000974 ;
+ wire \blk00000003/blk0000027e/sig00000973 ;
+ wire \blk00000003/blk0000027e/sig00000972 ;
+ wire \blk00000003/blk0000027e/sig00000971 ;
+ wire \blk00000003/blk0000027e/sig00000970 ;
+ wire \blk00000003/blk0000027e/sig0000096f ;
+ wire \blk00000003/blk0000027e/sig0000096e ;
+ wire \blk00000003/blk0000027e/sig0000096d ;
+ wire \blk00000003/blk0000027e/sig0000096c ;
+ wire \blk00000003/blk0000027e/sig0000096b ;
+ wire \blk00000003/blk0000027e/sig0000096a ;
+ wire \blk00000003/blk0000027e/sig00000969 ;
+ wire \blk00000003/blk0000027e/sig00000968 ;
+ wire \blk00000003/blk0000027e/sig00000967 ;
+ wire \blk00000003/blk0000027e/sig00000966 ;
+ wire \blk00000003/blk0000027e/sig00000965 ;
+ wire \blk00000003/blk0000027e/sig00000964 ;
+ wire \blk00000003/blk000002b1/sig000009e4 ;
+ wire \blk00000003/blk000002b1/sig000009e3 ;
+ wire \blk00000003/blk000002b1/sig000009e2 ;
+ wire \blk00000003/blk000002b1/sig000009e1 ;
+ wire \blk00000003/blk000002b1/sig000009e0 ;
+ wire \blk00000003/blk000002b1/sig000009df ;
+ wire \blk00000003/blk000002b1/sig000009de ;
+ wire \blk00000003/blk000002b1/sig000009dd ;
+ wire \blk00000003/blk000002b1/sig000009dc ;
+ wire \blk00000003/blk000002b1/sig000009db ;
+ wire \blk00000003/blk000002b1/sig000009da ;
+ wire \blk00000003/blk000002b1/sig000009d9 ;
+ wire \blk00000003/blk000002b1/sig000009d8 ;
+ wire \blk00000003/blk000002b1/sig000009d7 ;
+ wire \blk00000003/blk000002b1/sig000009d6 ;
+ wire \blk00000003/blk000002b1/sig000009d5 ;
+ wire \blk00000003/blk000002b1/sig000009d4 ;
+ wire \blk00000003/blk000002b1/sig000009d3 ;
+ wire \blk00000003/blk000002b1/sig000009d2 ;
+ wire \blk00000003/blk000002b1/sig000009d1 ;
+ wire \blk00000003/blk000002b1/sig000009d0 ;
+ wire \blk00000003/blk000002b1/sig000009cf ;
+ wire \blk00000003/blk000002b1/sig000009ce ;
+ wire \blk00000003/blk000002b1/sig000009cd ;
+ wire \blk00000003/blk000002b1/sig000009cc ;
+ wire \blk00000003/blk000002b1/sig000009cb ;
+ wire \blk00000003/blk000002b1/sig000009ca ;
+ wire \blk00000003/blk000002b1/sig000009c9 ;
+ wire \blk00000003/blk000002b1/sig000009c8 ;
+ wire \blk00000003/blk000002b1/sig000009c7 ;
+ wire \blk00000003/blk000002b1/sig000009c6 ;
+ wire \blk00000003/blk000002b1/sig000009c5 ;
+ wire \blk00000003/blk000002b1/sig000009c4 ;
+ wire \blk00000003/blk000002b1/sig000009c3 ;
+ wire \blk00000003/blk000002b1/sig000009c2 ;
+ wire \blk00000003/blk000002b1/sig000009c1 ;
+ wire \blk00000003/blk000002b1/sig000009c0 ;
+ wire \blk00000003/blk000002b1/sig000009bf ;
+ wire \blk00000003/blk000002ea/sig00000a27 ;
+ wire \blk00000003/blk000002ea/sig00000a26 ;
+ wire \blk00000003/blk000002ea/sig00000a25 ;
+ wire \blk00000003/blk000002ea/sig00000a24 ;
+ wire \blk00000003/blk000002ea/sig00000a23 ;
+ wire \blk00000003/blk000002ea/sig00000a22 ;
+ wire \blk00000003/blk000002ea/sig00000a21 ;
+ wire \blk00000003/blk000002ea/sig00000a20 ;
+ wire \blk00000003/blk000002ea/sig00000a1f ;
+ wire \blk00000003/blk000002ea/sig00000a1e ;
+ wire \blk00000003/blk000002ea/sig00000a1d ;
+ wire \blk00000003/blk000002ea/sig00000a1c ;
+ wire \blk00000003/blk000002ea/sig00000a1b ;
+ wire \blk00000003/blk000002ea/sig00000a1a ;
+ wire \blk00000003/blk000002ea/sig00000a19 ;
+ wire \blk00000003/blk000002ea/sig00000a18 ;
+ wire \blk00000003/blk000002ea/sig00000a17 ;
+ wire \blk00000003/blk000002ea/sig00000a16 ;
+ wire \blk00000003/blk000002ea/sig00000a15 ;
+ wire \blk00000003/blk000002ea/sig00000a14 ;
+ wire \blk00000003/blk00000371/sig00000a64 ;
+ wire \blk00000003/blk00000371/sig00000a63 ;
+ wire \blk00000003/blk00000371/sig00000a62 ;
+ wire \blk00000003/blk00000371/sig00000a61 ;
+ wire \blk00000003/blk00000371/sig00000a60 ;
+ wire \blk00000003/blk00000371/sig00000a5f ;
+ wire \blk00000003/blk00000371/sig00000a5e ;
+ wire \blk00000003/blk00000371/sig00000a5d ;
+ wire \blk00000003/blk00000371/sig00000a5c ;
+ wire \blk00000003/blk00000371/sig00000a5b ;
+ wire \blk00000003/blk00000371/sig00000a5a ;
+ wire \blk00000003/blk00000371/sig00000a59 ;
+ wire \blk00000003/blk00000371/sig00000a58 ;
+ wire \blk00000003/blk00000371/sig00000a57 ;
+ wire \blk00000003/blk00000371/sig00000a56 ;
+ wire \blk00000003/blk00000371/sig00000a55 ;
+ wire \blk00000003/blk00000371/sig00000a54 ;
+ wire \blk00000003/blk00000371/sig00000a53 ;
+ wire \blk00000003/blk00000371/sig00000a52 ;
+ wire \blk00000003/blk00000371/sig00000a51 ;
+ wire NLW_blk00000001_P_UNCONNECTED;
+ wire NLW_blk00000002_G_UNCONNECTED;
+ wire \NLW_blk00000003/blk0000065a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000658_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000656_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000654_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000652_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000650_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000064e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000064c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000064a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000648_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000646_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000644_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000642_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000640_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000063e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000063c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000063a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000638_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000636_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000634_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000632_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000630_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000062e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000062c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000062a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000628_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000626_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000624_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000622_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000620_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000061e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000061c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000061a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000618_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000616_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000614_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000612_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000610_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000060e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000060c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000060a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000608_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000606_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000604_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000602_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000600_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005fe_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005fc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005fa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005f8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005f6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005f4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005f2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005f0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ee_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ec_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ea_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005e8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005e6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005e4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005cc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ca_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005c8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005c6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005c4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005c2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005c0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005be_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005bc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ba_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000005a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000059e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000059c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000059a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000598_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000596_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000594_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000592_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000590_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000058e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000058c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000058a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000588_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000586_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000584_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000582_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000580_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000057e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000057c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000057a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000578_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000576_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000574_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000572_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000570_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000056e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000056c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000056a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000568_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000566_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000564_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000562_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000560_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000055e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000055c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000055a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000558_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000556_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000554_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000552_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000550_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000054a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000548_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000546_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000544_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000542_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000540_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000053e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000053c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000053a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000538_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000536_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000534_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000532_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000530_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000052e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000052c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000052a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000528_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000526_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000524_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000522_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000520_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000051a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000518_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000516_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000514_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000512_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000510_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000050e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000050c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000050a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000508_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000506_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000504_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000409_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000409_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000039d_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000039d_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000107_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000106_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000105_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000104_P<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fe_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000fe_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f8_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000f2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000eb_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e7_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000e1_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000dd_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000dd_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d8_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d7_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d6_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d5_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d4_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000d3_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cf_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ce_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cd_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cc_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000cb_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000ca_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c9_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000c2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000bd_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000bd_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b8_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b8_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b2_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000b2_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000000a0_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000009e_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000096_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000095_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000094_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000093_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000092_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000090_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000008f_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000019_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000014_Q_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000010_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000f_PCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PATTERNBDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_MULTSIGNOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_CARRYCASCOUT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_UNDERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PATTERNDETECT_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_OVERFLOW_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_ACOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_CARRYOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_CARRYOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_CARRYOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_CARRYOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_BCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<47>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<46>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<45>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<44>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<43>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<42>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<41>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<40>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<39>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<38>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<37>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<36>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<35>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<34>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<33>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<32>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<31>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<30>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<29>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<28>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<27>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<26>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<25>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<24>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<23>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<22>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<21>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<20>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<19>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<18>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<17>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<16>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<15>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<14>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<13>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<12>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<11>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<10>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<9>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<8>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<7>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<6>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<5>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<4>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<3>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<2>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<1>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000e_PCOUT<0>_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000d_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000000a_O_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000009_LO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000008b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000008a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000089_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000088_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000087_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000086_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000085_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000084_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000083_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000082_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000081_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000080_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000007f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000007e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000007d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000007c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000007b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000007a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000079_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000078_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000077_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000076_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000075_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000074_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000073_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000072_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000071_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000070_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000006f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000006e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000006d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000006c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000006b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000006a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000069_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000068_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000067_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000066_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000065_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000064_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000063_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000062_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000061_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk00000060_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000005f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000005e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000005d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000002a/blk0000005c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000014a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000149_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000148_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000147_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000146_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000145_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000144_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000143_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000142_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000141_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000140_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000013f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000013e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000013d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000013c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000013b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk0000013a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000139_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000138_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000137_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000136_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000135_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000134_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000119/blk00000133_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000017d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000017c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000017b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000017a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000179_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000178_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000177_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000176_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000175_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000174_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000173_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000172_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000171_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000170_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000016f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000016e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000016d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000016c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000016b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk0000016a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000169_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000168_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000167_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000014c/blk00000166_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001b0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk000001a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk0000019f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk0000019e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk0000019d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk0000019c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk0000019b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk0000019a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000017f/blk00000199_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001e3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001e2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001e1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001e0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001df_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001de_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001dd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001dc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001db_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001da_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001d0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001cf_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001ce_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001cd_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001b2/blk000001cc_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000216_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000215_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000214_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000213_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000212_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000211_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000210_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk0000020f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk0000020e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk0000020d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk0000020c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk0000020b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk0000020a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000209_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000208_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000207_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000206_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000205_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000204_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000203_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000202_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000201_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk00000200_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000001e5/blk000001ff_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000249_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000248_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000247_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000246_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000245_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000244_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000243_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000242_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000241_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000240_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk0000023f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk0000023e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk0000023d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk0000023c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk0000023b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk0000023a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000239_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000238_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000237_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000236_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000235_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000234_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000233_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000218/blk00000232_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000027c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000027b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000027a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000279_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000278_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000277_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000276_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000275_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000274_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000273_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000272_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000271_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000270_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000026f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000026e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000026d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000026c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000026b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk0000026a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000269_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000268_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000267_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000266_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000024b/blk00000265_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002af_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002ae_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002ad_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002ac_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002ab_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002aa_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a9_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a8_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a7_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a6_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a5_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a4_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a3_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a2_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a1_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk000002a0_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk0000029f_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk0000029e_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk0000029d_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk0000029c_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk0000029b_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk0000029a_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk00000299_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk0000027e/blk00000298_Q15_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk0000030f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk0000030e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk0000030d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk0000030c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk0000030b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk0000030a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000309_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000308_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000307_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000306_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000305_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000304_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000303_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000302_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000301_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk00000300_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk000002ff_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk000002ea/blk000002fe_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000396_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000395_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000394_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000393_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000392_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000391_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000390_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk0000038f_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk0000038e_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk0000038d_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk0000038c_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk0000038b_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk0000038a_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000389_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000388_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000387_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000386_SPO_UNCONNECTED ;
+ wire \NLW_blk00000003/blk00000371/blk00000385_SPO_UNCONNECTED ;
+ wire [17 : 0] coef_din_0;
+ wire [23 : 0] din_1_1;
+ wire [23 : 0] din_2_2;
+ wire [47 : 0] NlwRenamedSig_OI_dout_1;
+ wire [47 : 0] NlwRenamedSig_OI_dout_2;
+ assign
+ rfd = NlwRenamedSig_OI_rfd,
+ dout_1[47] = NlwRenamedSig_OI_dout_1[47],
+ dout_1[46] = NlwRenamedSig_OI_dout_1[46],
+ dout_1[45] = NlwRenamedSig_OI_dout_1[45],
+ dout_1[44] = NlwRenamedSig_OI_dout_1[44],
+ dout_1[43] = NlwRenamedSig_OI_dout_1[43],
+ dout_1[42] = NlwRenamedSig_OI_dout_1[42],
+ dout_1[41] = NlwRenamedSig_OI_dout_1[41],
+ dout_1[40] = NlwRenamedSig_OI_dout_1[40],
+ dout_1[39] = NlwRenamedSig_OI_dout_1[39],
+ dout_1[38] = NlwRenamedSig_OI_dout_1[38],
+ dout_1[37] = NlwRenamedSig_OI_dout_1[37],
+ dout_1[36] = NlwRenamedSig_OI_dout_1[36],
+ dout_1[35] = NlwRenamedSig_OI_dout_1[35],
+ dout_1[34] = NlwRenamedSig_OI_dout_1[34],
+ dout_1[33] = NlwRenamedSig_OI_dout_1[33],
+ dout_1[32] = NlwRenamedSig_OI_dout_1[32],
+ dout_1[31] = NlwRenamedSig_OI_dout_1[31],
+ dout_1[30] = NlwRenamedSig_OI_dout_1[30],
+ dout_1[29] = NlwRenamedSig_OI_dout_1[29],
+ dout_1[28] = NlwRenamedSig_OI_dout_1[28],
+ dout_1[27] = NlwRenamedSig_OI_dout_1[27],
+ dout_1[26] = NlwRenamedSig_OI_dout_1[26],
+ dout_1[25] = NlwRenamedSig_OI_dout_1[25],
+ dout_1[24] = NlwRenamedSig_OI_dout_1[24],
+ dout_1[23] = NlwRenamedSig_OI_dout_1[23],
+ dout_1[22] = NlwRenamedSig_OI_dout_1[22],
+ dout_1[21] = NlwRenamedSig_OI_dout_1[21],
+ dout_1[20] = NlwRenamedSig_OI_dout_1[20],
+ dout_1[19] = NlwRenamedSig_OI_dout_1[19],
+ dout_1[18] = NlwRenamedSig_OI_dout_1[18],
+ dout_1[17] = NlwRenamedSig_OI_dout_1[17],
+ dout_1[16] = NlwRenamedSig_OI_dout_1[16],
+ dout_1[15] = NlwRenamedSig_OI_dout_1[15],
+ dout_1[14] = NlwRenamedSig_OI_dout_1[14],
+ dout_1[13] = NlwRenamedSig_OI_dout_1[13],
+ dout_1[12] = NlwRenamedSig_OI_dout_1[12],
+ dout_1[11] = NlwRenamedSig_OI_dout_1[11],
+ dout_1[10] = NlwRenamedSig_OI_dout_1[10],
+ dout_1[9] = NlwRenamedSig_OI_dout_1[9],
+ dout_1[8] = NlwRenamedSig_OI_dout_1[8],
+ dout_1[7] = NlwRenamedSig_OI_dout_1[7],
+ dout_1[6] = NlwRenamedSig_OI_dout_1[6],
+ dout_1[5] = NlwRenamedSig_OI_dout_1[5],
+ dout_1[4] = NlwRenamedSig_OI_dout_1[4],
+ dout_1[3] = NlwRenamedSig_OI_dout_1[3],
+ dout_1[2] = NlwRenamedSig_OI_dout_1[2],
+ dout_1[1] = NlwRenamedSig_OI_dout_1[1],
+ dout_1[0] = NlwRenamedSig_OI_dout_1[0],
+ dout_2[47] = NlwRenamedSig_OI_dout_2[47],
+ dout_2[46] = NlwRenamedSig_OI_dout_2[46],
+ dout_2[45] = NlwRenamedSig_OI_dout_2[45],
+ dout_2[44] = NlwRenamedSig_OI_dout_2[44],
+ dout_2[43] = NlwRenamedSig_OI_dout_2[43],
+ dout_2[42] = NlwRenamedSig_OI_dout_2[42],
+ dout_2[41] = NlwRenamedSig_OI_dout_2[41],
+ dout_2[40] = NlwRenamedSig_OI_dout_2[40],
+ dout_2[39] = NlwRenamedSig_OI_dout_2[39],
+ dout_2[38] = NlwRenamedSig_OI_dout_2[38],
+ dout_2[37] = NlwRenamedSig_OI_dout_2[37],
+ dout_2[36] = NlwRenamedSig_OI_dout_2[36],
+ dout_2[35] = NlwRenamedSig_OI_dout_2[35],
+ dout_2[34] = NlwRenamedSig_OI_dout_2[34],
+ dout_2[33] = NlwRenamedSig_OI_dout_2[33],
+ dout_2[32] = NlwRenamedSig_OI_dout_2[32],
+ dout_2[31] = NlwRenamedSig_OI_dout_2[31],
+ dout_2[30] = NlwRenamedSig_OI_dout_2[30],
+ dout_2[29] = NlwRenamedSig_OI_dout_2[29],
+ dout_2[28] = NlwRenamedSig_OI_dout_2[28],
+ dout_2[27] = NlwRenamedSig_OI_dout_2[27],
+ dout_2[26] = NlwRenamedSig_OI_dout_2[26],
+ dout_2[25] = NlwRenamedSig_OI_dout_2[25],
+ dout_2[24] = NlwRenamedSig_OI_dout_2[24],
+ dout_2[23] = NlwRenamedSig_OI_dout_2[23],
+ dout_2[22] = NlwRenamedSig_OI_dout_2[22],
+ dout_2[21] = NlwRenamedSig_OI_dout_2[21],
+ dout_2[20] = NlwRenamedSig_OI_dout_2[20],
+ dout_2[19] = NlwRenamedSig_OI_dout_2[19],
+ dout_2[18] = NlwRenamedSig_OI_dout_2[18],
+ dout_2[17] = NlwRenamedSig_OI_dout_2[17],
+ dout_2[16] = NlwRenamedSig_OI_dout_2[16],
+ dout_2[15] = NlwRenamedSig_OI_dout_2[15],
+ dout_2[14] = NlwRenamedSig_OI_dout_2[14],
+ dout_2[13] = NlwRenamedSig_OI_dout_2[13],
+ dout_2[12] = NlwRenamedSig_OI_dout_2[12],
+ dout_2[11] = NlwRenamedSig_OI_dout_2[11],
+ dout_2[10] = NlwRenamedSig_OI_dout_2[10],
+ dout_2[9] = NlwRenamedSig_OI_dout_2[9],
+ dout_2[8] = NlwRenamedSig_OI_dout_2[8],
+ dout_2[7] = NlwRenamedSig_OI_dout_2[7],
+ dout_2[6] = NlwRenamedSig_OI_dout_2[6],
+ dout_2[5] = NlwRenamedSig_OI_dout_2[5],
+ dout_2[4] = NlwRenamedSig_OI_dout_2[4],
+ dout_2[3] = NlwRenamedSig_OI_dout_2[3],
+ dout_2[2] = NlwRenamedSig_OI_dout_2[2],
+ dout_2[1] = NlwRenamedSig_OI_dout_2[1],
+ dout_2[0] = NlwRenamedSig_OI_dout_2[0],
+ din_1_1[23] = din_1[23],
+ din_1_1[22] = din_1[22],
+ din_1_1[21] = din_1[21],
+ din_1_1[20] = din_1[20],
+ din_1_1[19] = din_1[19],
+ din_1_1[18] = din_1[18],
+ din_1_1[17] = din_1[17],
+ din_1_1[16] = din_1[16],
+ din_1_1[15] = din_1[15],
+ din_1_1[14] = din_1[14],
+ din_1_1[13] = din_1[13],
+ din_1_1[12] = din_1[12],
+ din_1_1[11] = din_1[11],
+ din_1_1[10] = din_1[10],
+ din_1_1[9] = din_1[9],
+ din_1_1[8] = din_1[8],
+ din_1_1[7] = din_1[7],
+ din_1_1[6] = din_1[6],
+ din_1_1[5] = din_1[5],
+ din_1_1[4] = din_1[4],
+ din_1_1[3] = din_1[3],
+ din_1_1[2] = din_1[2],
+ din_1_1[1] = din_1[1],
+ din_1_1[0] = din_1[0],
+ din_2_2[23] = din_2[23],
+ din_2_2[22] = din_2[22],
+ din_2_2[21] = din_2[21],
+ din_2_2[20] = din_2[20],
+ din_2_2[19] = din_2[19],
+ din_2_2[18] = din_2[18],
+ din_2_2[17] = din_2[17],
+ din_2_2[16] = din_2[16],
+ din_2_2[15] = din_2[15],
+ din_2_2[14] = din_2[14],
+ din_2_2[13] = din_2[13],
+ din_2_2[12] = din_2[12],
+ din_2_2[11] = din_2[11],
+ din_2_2[10] = din_2[10],
+ din_2_2[9] = din_2[9],
+ din_2_2[8] = din_2[8],
+ din_2_2[7] = din_2[7],
+ din_2_2[6] = din_2[6],
+ din_2_2[5] = din_2[5],
+ din_2_2[4] = din_2[4],
+ din_2_2[3] = din_2[3],
+ din_2_2[2] = din_2[2],
+ din_2_2[1] = din_2[1],
+ din_2_2[0] = din_2[0],
+ coef_din_0[17] = coef_din[17],
+ coef_din_0[16] = coef_din[16],
+ coef_din_0[15] = coef_din[15],
+ coef_din_0[14] = coef_din[14],
+ coef_din_0[13] = coef_din[13],
+ coef_din_0[12] = coef_din[12],
+ coef_din_0[11] = coef_din[11],
+ coef_din_0[10] = coef_din[10],
+ coef_din_0[9] = coef_din[9],
+ coef_din_0[8] = coef_din[8],
+ coef_din_0[7] = coef_din[7],
+ coef_din_0[6] = coef_din[6],
+ coef_din_0[5] = coef_din[5],
+ coef_din_0[4] = coef_din[4],
+ coef_din_0[3] = coef_din[3],
+ coef_din_0[2] = coef_din[2],
+ coef_din_0[1] = coef_din[1],
+ coef_din_0[0] = coef_din[0];
+ VCC blk00000001 (
+ .P(NLW_blk00000001_P_UNCONNECTED)
+ );
+ GND blk00000002 (
+ .G(NLW_blk00000002_G_UNCONNECTED)
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000065b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000664 ),
+ .Q(\blk00000003/sig00000579 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000065a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000434 ),
+ .Q(\blk00000003/sig00000664 ),
+ .Q15(\NLW_blk00000003/blk0000065a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000659 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000663 ),
+ .Q(\blk00000003/sig00000502 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000658 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000042e ),
+ .Q(\blk00000003/sig00000663 ),
+ .Q15(\NLW_blk00000003/blk00000658_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000657 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000662 ),
+ .Q(\blk00000003/sig000001c3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000656 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000630 ),
+ .Q(\blk00000003/sig00000662 ),
+ .Q15(\NLW_blk00000003/blk00000656_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000655 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000661 ),
+ .Q(\blk00000003/sig000001c2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000654 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000062e ),
+ .Q(\blk00000003/sig00000661 ),
+ .Q15(\NLW_blk00000003/blk00000654_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000653 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000660 ),
+ .Q(\blk00000003/sig000001c1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000652 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000062c ),
+ .Q(\blk00000003/sig00000660 ),
+ .Q15(\NLW_blk00000003/blk00000652_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000651 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065f ),
+ .Q(\blk00000003/sig000001c0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000650 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000062a ),
+ .Q(\blk00000003/sig0000065f ),
+ .Q15(\NLW_blk00000003/blk00000650_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000064f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065e ),
+ .Q(\blk00000003/sig000001be )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000064e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000628 ),
+ .Q(\blk00000003/sig0000065e ),
+ .Q15(\NLW_blk00000003/blk0000064e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000064d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065d ),
+ .Q(\blk00000003/sig000001bd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000064c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000626 ),
+ .Q(\blk00000003/sig0000065d ),
+ .Q15(\NLW_blk00000003/blk0000064c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000064b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065c ),
+ .Q(\blk00000003/sig000001bf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000064a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000624 ),
+ .Q(\blk00000003/sig0000065c ),
+ .Q15(\NLW_blk00000003/blk0000064a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000649 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065b ),
+ .Q(\blk00000003/sig000001bc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000648 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000061e ),
+ .Q(\blk00000003/sig0000065b ),
+ .Q15(\NLW_blk00000003/blk00000648_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000647 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000065a ),
+ .Q(\blk00000003/sig000001bb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000646 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000622 ),
+ .Q(\blk00000003/sig0000065a ),
+ .Q15(\NLW_blk00000003/blk00000646_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000645 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000659 ),
+ .Q(\blk00000003/sig000001b9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000644 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000618 ),
+ .Q(\blk00000003/sig00000659 ),
+ .Q15(\NLW_blk00000003/blk00000644_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000643 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000658 ),
+ .Q(\blk00000003/sig000001b8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000642 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000061c ),
+ .Q(\blk00000003/sig00000658 ),
+ .Q15(\NLW_blk00000003/blk00000642_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000641 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000657 ),
+ .Q(\blk00000003/sig000001ba )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000640 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000620 ),
+ .Q(\blk00000003/sig00000657 ),
+ .Q15(\NLW_blk00000003/blk00000640_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000063f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000656 ),
+ .Q(\blk00000003/sig000001b6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000063e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000616 ),
+ .Q(\blk00000003/sig00000656 ),
+ .Q15(\NLW_blk00000003/blk0000063e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000063d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000655 ),
+ .Q(\blk00000003/sig000001b5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000063c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000614 ),
+ .Q(\blk00000003/sig00000655 ),
+ .Q15(\NLW_blk00000003/blk0000063c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000063b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000654 ),
+ .Q(\blk00000003/sig000001b7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000063a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000061a ),
+ .Q(\blk00000003/sig00000654 ),
+ .Q15(\NLW_blk00000003/blk0000063a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000639 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000653 ),
+ .Q(\blk00000003/sig000001b3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000638 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000612 ),
+ .Q(\blk00000003/sig00000653 ),
+ .Q15(\NLW_blk00000003/blk00000638_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000637 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000652 ),
+ .Q(\blk00000003/sig000001b2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000636 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000610 ),
+ .Q(\blk00000003/sig00000652 ),
+ .Q15(\NLW_blk00000003/blk00000636_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000635 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000651 ),
+ .Q(\blk00000003/sig000001b4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000634 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000060e ),
+ .Q(\blk00000003/sig00000651 ),
+ .Q15(\NLW_blk00000003/blk00000634_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000633 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000650 ),
+ .Q(\blk00000003/sig000001b1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000632 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000608 ),
+ .Q(\blk00000003/sig00000650 ),
+ .Q15(\NLW_blk00000003/blk00000632_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000631 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064f ),
+ .Q(\blk00000003/sig000001b0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000630 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000060c ),
+ .Q(\blk00000003/sig0000064f ),
+ .Q15(\NLW_blk00000003/blk00000630_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000062f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064e ),
+ .Q(\blk00000003/sig000001ae )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000062e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000602 ),
+ .Q(\blk00000003/sig0000064e ),
+ .Q15(\NLW_blk00000003/blk0000062e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000062d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064d ),
+ .Q(\blk00000003/sig000001ad )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000062c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000606 ),
+ .Q(\blk00000003/sig0000064d ),
+ .Q15(\NLW_blk00000003/blk0000062c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000062b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064c ),
+ .Q(\blk00000003/sig000001af )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000062a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000060a ),
+ .Q(\blk00000003/sig0000064c ),
+ .Q15(\NLW_blk00000003/blk0000062a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000629 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064b ),
+ .Q(\blk00000003/sig0000014b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000628 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000600 ),
+ .Q(\blk00000003/sig0000064b ),
+ .Q15(\NLW_blk00000003/blk00000628_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000627 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000064a ),
+ .Q(\blk00000003/sig0000014a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000626 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005fe ),
+ .Q(\blk00000003/sig0000064a ),
+ .Q15(\NLW_blk00000003/blk00000626_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000625 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000649 ),
+ .Q(\blk00000003/sig000001ac )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000624 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000604 ),
+ .Q(\blk00000003/sig00000649 ),
+ .Q15(\NLW_blk00000003/blk00000624_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000623 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000648 ),
+ .Q(\blk00000003/sig00000148 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000622 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005fc ),
+ .Q(\blk00000003/sig00000648 ),
+ .Q15(\NLW_blk00000003/blk00000622_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000621 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000647 ),
+ .Q(\blk00000003/sig00000147 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000620 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005fa ),
+ .Q(\blk00000003/sig00000647 ),
+ .Q15(\NLW_blk00000003/blk00000620_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000061f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000646 ),
+ .Q(\blk00000003/sig00000149 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000061e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f8 ),
+ .Q(\blk00000003/sig00000646 ),
+ .Q15(\NLW_blk00000003/blk0000061e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000061d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000645 ),
+ .Q(\blk00000003/sig00000146 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000061c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f2 ),
+ .Q(\blk00000003/sig00000645 ),
+ .Q15(\NLW_blk00000003/blk0000061c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000061b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000644 ),
+ .Q(\blk00000003/sig00000145 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000061a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f6 ),
+ .Q(\blk00000003/sig00000644 ),
+ .Q15(\NLW_blk00000003/blk0000061a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000619 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000643 ),
+ .Q(\blk00000003/sig00000143 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000618 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ec ),
+ .Q(\blk00000003/sig00000643 ),
+ .Q15(\NLW_blk00000003/blk00000618_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000617 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000642 ),
+ .Q(\blk00000003/sig00000142 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000616 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f0 ),
+ .Q(\blk00000003/sig00000642 ),
+ .Q15(\NLW_blk00000003/blk00000616_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000615 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000641 ),
+ .Q(\blk00000003/sig00000144 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000614 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005f4 ),
+ .Q(\blk00000003/sig00000641 ),
+ .Q15(\NLW_blk00000003/blk00000614_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000613 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000640 ),
+ .Q(\blk00000003/sig00000140 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000612 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ea ),
+ .Q(\blk00000003/sig00000640 ),
+ .Q15(\NLW_blk00000003/blk00000612_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000611 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063f ),
+ .Q(\blk00000003/sig0000013f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000610 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e8 ),
+ .Q(\blk00000003/sig0000063f ),
+ .Q15(\NLW_blk00000003/blk00000610_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000060f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063e ),
+ .Q(\blk00000003/sig00000141 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000060e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005ee ),
+ .Q(\blk00000003/sig0000063e ),
+ .Q15(\NLW_blk00000003/blk0000060e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000060d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063d ),
+ .Q(\blk00000003/sig0000013d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000060c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e6 ),
+ .Q(\blk00000003/sig0000063d ),
+ .Q15(\NLW_blk00000003/blk0000060c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000060b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063c ),
+ .Q(\blk00000003/sig0000013c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000060a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e4 ),
+ .Q(\blk00000003/sig0000063c ),
+ .Q15(\NLW_blk00000003/blk0000060a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000609 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063b ),
+ .Q(\blk00000003/sig0000013e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000608 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e2 ),
+ .Q(\blk00000003/sig0000063b ),
+ .Q15(\NLW_blk00000003/blk00000608_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000607 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000063a ),
+ .Q(\blk00000003/sig0000013b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000606 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005e0 ),
+ .Q(\blk00000003/sig0000063a ),
+ .Q15(\NLW_blk00000003/blk00000606_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000605 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000639 ),
+ .Q(\blk00000003/sig0000013a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000604 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005de ),
+ .Q(\blk00000003/sig00000639 ),
+ .Q15(\NLW_blk00000003/blk00000604_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000603 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000638 ),
+ .Q(\blk00000003/sig00000138 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000602 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005dc ),
+ .Q(\blk00000003/sig00000638 ),
+ .Q15(\NLW_blk00000003/blk00000602_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000601 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000637 ),
+ .Q(\blk00000003/sig00000137 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000600 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005da ),
+ .Q(\blk00000003/sig00000637 ),
+ .Q15(\NLW_blk00000003/blk00000600_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000636 ),
+ .Q(\blk00000003/sig00000139 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005fe (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005d8 ),
+ .Q(\blk00000003/sig00000636 ),
+ .Q15(\NLW_blk00000003/blk000005fe_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000635 ),
+ .Q(\blk00000003/sig00000136 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005fc (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005d6 ),
+ .Q(\blk00000003/sig00000635 ),
+ .Q15(\NLW_blk00000003/blk000005fc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000634 ),
+ .Q(\blk00000003/sig00000135 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005fa (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005d4 ),
+ .Q(\blk00000003/sig00000634 ),
+ .Q15(\NLW_blk00000003/blk000005fa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000633 ),
+ .Q(\blk00000003/sig00000134 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005f8 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000005d0 ),
+ .Q(\blk00000003/sig00000633 ),
+ .Q15(\NLW_blk00000003/blk000005f8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000632 ),
+ .Q(\blk00000003/sig00000434 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005f6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e1 ),
+ .Q(\blk00000003/sig00000632 ),
+ .Q15(\NLW_blk00000003/blk000005f6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000631 ),
+ .Q(\blk00000003/sig0000057a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005f4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001c4 ),
+ .Q(\blk00000003/sig00000631 ),
+ .Q15(\NLW_blk00000003/blk000005f4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000062f ),
+ .Q(\blk00000003/sig00000630 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005f2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000219 ),
+ .Q(\blk00000003/sig0000062f ),
+ .Q15(\NLW_blk00000003/blk000005f2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005f1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000062d ),
+ .Q(\blk00000003/sig0000062e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005f0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000218 ),
+ .Q(\blk00000003/sig0000062d ),
+ .Q15(\NLW_blk00000003/blk000005f0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ef (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000062b ),
+ .Q(\blk00000003/sig0000062c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ee (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000217 ),
+ .Q(\blk00000003/sig0000062b ),
+ .Q15(\NLW_blk00000003/blk000005ee_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ed (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000629 ),
+ .Q(\blk00000003/sig0000062a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ec (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000216 ),
+ .Q(\blk00000003/sig00000629 ),
+ .Q15(\NLW_blk00000003/blk000005ec_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005eb (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000627 ),
+ .Q(\blk00000003/sig00000628 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ea (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000214 ),
+ .Q(\blk00000003/sig00000627 ),
+ .Q15(\NLW_blk00000003/blk000005ea_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000625 ),
+ .Q(\blk00000003/sig00000626 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005e8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000213 ),
+ .Q(\blk00000003/sig00000625 ),
+ .Q15(\NLW_blk00000003/blk000005e8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000623 ),
+ .Q(\blk00000003/sig00000624 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005e6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000215 ),
+ .Q(\blk00000003/sig00000623 ),
+ .Q15(\NLW_blk00000003/blk000005e6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000621 ),
+ .Q(\blk00000003/sig00000622 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005e4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000211 ),
+ .Q(\blk00000003/sig00000621 ),
+ .Q15(\NLW_blk00000003/blk000005e4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000061f ),
+ .Q(\blk00000003/sig00000620 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005e2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000210 ),
+ .Q(\blk00000003/sig0000061f ),
+ .Q15(\NLW_blk00000003/blk000005e2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005e1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000061d ),
+ .Q(\blk00000003/sig0000061e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005e0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000212 ),
+ .Q(\blk00000003/sig0000061d ),
+ .Q15(\NLW_blk00000003/blk000005e0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005df (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000061b ),
+ .Q(\blk00000003/sig0000061c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005de (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020e ),
+ .Q(\blk00000003/sig0000061b ),
+ .Q15(\NLW_blk00000003/blk000005de_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005dd (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000619 ),
+ .Q(\blk00000003/sig0000061a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005dc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020d ),
+ .Q(\blk00000003/sig00000619 ),
+ .Q15(\NLW_blk00000003/blk000005dc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005db (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000617 ),
+ .Q(\blk00000003/sig00000618 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005da (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020f ),
+ .Q(\blk00000003/sig00000617 ),
+ .Q15(\NLW_blk00000003/blk000005da_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005d9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000615 ),
+ .Q(\blk00000003/sig00000616 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005d8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020c ),
+ .Q(\blk00000003/sig00000615 ),
+ .Q15(\NLW_blk00000003/blk000005d8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005d7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000613 ),
+ .Q(\blk00000003/sig00000614 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005d6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020b ),
+ .Q(\blk00000003/sig00000613 ),
+ .Q15(\NLW_blk00000003/blk000005d6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005d5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000611 ),
+ .Q(\blk00000003/sig00000612 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005d4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000209 ),
+ .Q(\blk00000003/sig00000611 ),
+ .Q15(\NLW_blk00000003/blk000005d4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005d3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000060f ),
+ .Q(\blk00000003/sig00000610 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005d2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000208 ),
+ .Q(\blk00000003/sig0000060f ),
+ .Q15(\NLW_blk00000003/blk000005d2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005d1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000060d ),
+ .Q(\blk00000003/sig0000060e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005d0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020a ),
+ .Q(\blk00000003/sig0000060d ),
+ .Q15(\NLW_blk00000003/blk000005d0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005cf (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig0000060b ),
+ .Q(\blk00000003/sig0000060c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ce (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000206 ),
+ .Q(\blk00000003/sig0000060b ),
+ .Q15(\NLW_blk00000003/blk000005ce_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005cd (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000609 ),
+ .Q(\blk00000003/sig0000060a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005cc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000205 ),
+ .Q(\blk00000003/sig00000609 ),
+ .Q15(\NLW_blk00000003/blk000005cc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005cb (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000607 ),
+ .Q(\blk00000003/sig00000608 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ca (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000207 ),
+ .Q(\blk00000003/sig00000607 ),
+ .Q15(\NLW_blk00000003/blk000005ca_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005c9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000605 ),
+ .Q(\blk00000003/sig00000606 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005c8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000203 ),
+ .Q(\blk00000003/sig00000605 ),
+ .Q15(\NLW_blk00000003/blk000005c8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005c7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000603 ),
+ .Q(\blk00000003/sig00000604 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005c6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000202 ),
+ .Q(\blk00000003/sig00000603 ),
+ .Q15(\NLW_blk00000003/blk000005c6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005c5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig00000601 ),
+ .Q(\blk00000003/sig00000602 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005c4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000204 ),
+ .Q(\blk00000003/sig00000601 ),
+ .Q15(\NLW_blk00000003/blk000005c4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005c3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005ff ),
+ .Q(\blk00000003/sig00000600 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005c2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000201 ),
+ .Q(\blk00000003/sig000005ff ),
+ .Q15(\NLW_blk00000003/blk000005c2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005c1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005fd ),
+ .Q(\blk00000003/sig000005fe )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005c0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000200 ),
+ .Q(\blk00000003/sig000005fd ),
+ .Q15(\NLW_blk00000003/blk000005c0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005bf (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005fb ),
+ .Q(\blk00000003/sig000005fc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005be (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fe ),
+ .Q(\blk00000003/sig000005fb ),
+ .Q15(\NLW_blk00000003/blk000005be_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005bd (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005f9 ),
+ .Q(\blk00000003/sig000005fa )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005bc (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fd ),
+ .Q(\blk00000003/sig000005f9 ),
+ .Q15(\NLW_blk00000003/blk000005bc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005bb (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005f7 ),
+ .Q(\blk00000003/sig000005f8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ba (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ff ),
+ .Q(\blk00000003/sig000005f7 ),
+ .Q15(\NLW_blk00000003/blk000005ba_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005f5 ),
+ .Q(\blk00000003/sig000005f6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fb ),
+ .Q(\blk00000003/sig000005f5 ),
+ .Q15(\NLW_blk00000003/blk000005b8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005f3 ),
+ .Q(\blk00000003/sig000005f4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fa ),
+ .Q(\blk00000003/sig000005f3 ),
+ .Q15(\NLW_blk00000003/blk000005b6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005f1 ),
+ .Q(\blk00000003/sig000005f2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fc ),
+ .Q(\blk00000003/sig000005f1 ),
+ .Q15(\NLW_blk00000003/blk000005b4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005ef ),
+ .Q(\blk00000003/sig000005f0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f8 ),
+ .Q(\blk00000003/sig000005ef ),
+ .Q15(\NLW_blk00000003/blk000005b2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005b1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005ed ),
+ .Q(\blk00000003/sig000005ee )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005b0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f7 ),
+ .Q(\blk00000003/sig000005ed ),
+ .Q15(\NLW_blk00000003/blk000005b0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005af (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005eb ),
+ .Q(\blk00000003/sig000005ec )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ae (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f9 ),
+ .Q(\blk00000003/sig000005eb ),
+ .Q15(\NLW_blk00000003/blk000005ae_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ad (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005e9 ),
+ .Q(\blk00000003/sig000005ea )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005ac (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f6 ),
+ .Q(\blk00000003/sig000005e9 ),
+ .Q15(\NLW_blk00000003/blk000005ac_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005ab (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005e7 ),
+ .Q(\blk00000003/sig000005e8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005aa (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f5 ),
+ .Q(\blk00000003/sig000005e7 ),
+ .Q15(\NLW_blk00000003/blk000005aa_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005a9 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005e5 ),
+ .Q(\blk00000003/sig000005e6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005a8 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f3 ),
+ .Q(\blk00000003/sig000005e5 ),
+ .Q15(\NLW_blk00000003/blk000005a8_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005a7 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005e3 ),
+ .Q(\blk00000003/sig000005e4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005a6 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f2 ),
+ .Q(\blk00000003/sig000005e3 ),
+ .Q15(\NLW_blk00000003/blk000005a6_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005a5 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005e1 ),
+ .Q(\blk00000003/sig000005e2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005a4 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f4 ),
+ .Q(\blk00000003/sig000005e1 ),
+ .Q15(\NLW_blk00000003/blk000005a4_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005a3 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005df ),
+ .Q(\blk00000003/sig000005e0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005a2 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f1 ),
+ .Q(\blk00000003/sig000005df ),
+ .Q15(\NLW_blk00000003/blk000005a2_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000005a1 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005dd ),
+ .Q(\blk00000003/sig000005de )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000005a0 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f0 ),
+ .Q(\blk00000003/sig000005dd ),
+ .Q15(\NLW_blk00000003/blk000005a0_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000059f (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005db ),
+ .Q(\blk00000003/sig000005dc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000059e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ee ),
+ .Q(\blk00000003/sig000005db ),
+ .Q15(\NLW_blk00000003/blk0000059e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000059d (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005d9 ),
+ .Q(\blk00000003/sig000005da )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000059c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ed ),
+ .Q(\blk00000003/sig000005d9 ),
+ .Q15(\NLW_blk00000003/blk0000059c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000059b (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005d7 ),
+ .Q(\blk00000003/sig000005d8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000059a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ef ),
+ .Q(\blk00000003/sig000005d7 ),
+ .Q15(\NLW_blk00000003/blk0000059a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000599 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005d5 ),
+ .Q(\blk00000003/sig000005d6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000598 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ec ),
+ .Q(\blk00000003/sig000005d5 ),
+ .Q15(\NLW_blk00000003/blk00000598_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000597 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005d3 ),
+ .Q(\blk00000003/sig000005d4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000596 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001eb ),
+ .Q(\blk00000003/sig000005d3 ),
+ .Q15(\NLW_blk00000003/blk00000596_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000595 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005d2 ),
+ .Q(\blk00000003/sig00000581 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000594 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000435 ),
+ .Q(\blk00000003/sig000005d2 ),
+ .Q15(\NLW_blk00000003/blk00000594_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000593 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005d1 ),
+ .Q(\blk00000003/sig00000480 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000592 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000219 ),
+ .Q(\blk00000003/sig000005d1 ),
+ .Q15(\NLW_blk00000003/blk00000592_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000591 (
+ .C(clk),
+ .CE(\blk00000003/sig00000582 ),
+ .D(\blk00000003/sig000005cf ),
+ .Q(\blk00000003/sig000005d0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000590 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig000000ae ),
+ .CE(\blk00000003/sig00000582 ),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ea ),
+ .Q(\blk00000003/sig000005cf ),
+ .Q15(\NLW_blk00000003/blk00000590_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000058f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ce ),
+ .Q(\blk00000003/sig0000047e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000058e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000217 ),
+ .Q(\blk00000003/sig000005ce ),
+ .Q15(\NLW_blk00000003/blk0000058e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000058d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005cd ),
+ .Q(\blk00000003/sig0000047d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000058c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000216 ),
+ .Q(\blk00000003/sig000005cd ),
+ .Q15(\NLW_blk00000003/blk0000058c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000058b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005cc ),
+ .Q(\blk00000003/sig0000047f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000058a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000218 ),
+ .Q(\blk00000003/sig000005cc ),
+ .Q15(\NLW_blk00000003/blk0000058a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000589 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005cb ),
+ .Q(\blk00000003/sig0000047b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000588 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000214 ),
+ .Q(\blk00000003/sig000005cb ),
+ .Q15(\NLW_blk00000003/blk00000588_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000587 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ca ),
+ .Q(\blk00000003/sig0000047a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000586 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000213 ),
+ .Q(\blk00000003/sig000005ca ),
+ .Q15(\NLW_blk00000003/blk00000586_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000585 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c9 ),
+ .Q(\blk00000003/sig0000047c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000584 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000215 ),
+ .Q(\blk00000003/sig000005c9 ),
+ .Q15(\NLW_blk00000003/blk00000584_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000583 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c8 ),
+ .Q(\blk00000003/sig00000479 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000582 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000212 ),
+ .Q(\blk00000003/sig000005c8 ),
+ .Q15(\NLW_blk00000003/blk00000582_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000581 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c7 ),
+ .Q(\blk00000003/sig00000478 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000580 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000211 ),
+ .Q(\blk00000003/sig000005c7 ),
+ .Q15(\NLW_blk00000003/blk00000580_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000057f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c6 ),
+ .Q(\blk00000003/sig00000476 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000057e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020f ),
+ .Q(\blk00000003/sig000005c6 ),
+ .Q15(\NLW_blk00000003/blk0000057e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000057d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c5 ),
+ .Q(\blk00000003/sig00000475 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000057c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020e ),
+ .Q(\blk00000003/sig000005c5 ),
+ .Q15(\NLW_blk00000003/blk0000057c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000057b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c4 ),
+ .Q(\blk00000003/sig00000477 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000057a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000210 ),
+ .Q(\blk00000003/sig000005c4 ),
+ .Q15(\NLW_blk00000003/blk0000057a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000579 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c3 ),
+ .Q(\blk00000003/sig00000473 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000578 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020c ),
+ .Q(\blk00000003/sig000005c3 ),
+ .Q15(\NLW_blk00000003/blk00000578_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000577 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c2 ),
+ .Q(\blk00000003/sig00000472 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000576 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020b ),
+ .Q(\blk00000003/sig000005c2 ),
+ .Q15(\NLW_blk00000003/blk00000576_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000575 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c1 ),
+ .Q(\blk00000003/sig00000474 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000574 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020d ),
+ .Q(\blk00000003/sig000005c1 ),
+ .Q15(\NLW_blk00000003/blk00000574_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000573 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005c0 ),
+ .Q(\blk00000003/sig00000470 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000572 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000209 ),
+ .Q(\blk00000003/sig000005c0 ),
+ .Q15(\NLW_blk00000003/blk00000572_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000571 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005bf ),
+ .Q(\blk00000003/sig0000046f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000570 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000208 ),
+ .Q(\blk00000003/sig000005bf ),
+ .Q15(\NLW_blk00000003/blk00000570_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000056f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005be ),
+ .Q(\blk00000003/sig00000471 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000056e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig0000020a ),
+ .Q(\blk00000003/sig000005be ),
+ .Q15(\NLW_blk00000003/blk0000056e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000056d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005bd ),
+ .Q(\blk00000003/sig0000046e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000056c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000207 ),
+ .Q(\blk00000003/sig000005bd ),
+ .Q15(\NLW_blk00000003/blk0000056c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000056b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005bc ),
+ .Q(\blk00000003/sig0000046d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000056a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000206 ),
+ .Q(\blk00000003/sig000005bc ),
+ .Q15(\NLW_blk00000003/blk0000056a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000569 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005bb ),
+ .Q(\blk00000003/sig0000046b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000568 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000204 ),
+ .Q(\blk00000003/sig000005bb ),
+ .Q15(\NLW_blk00000003/blk00000568_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000567 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ba ),
+ .Q(\blk00000003/sig0000046a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000566 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000203 ),
+ .Q(\blk00000003/sig000005ba ),
+ .Q15(\NLW_blk00000003/blk00000566_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000565 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b9 ),
+ .Q(\blk00000003/sig0000046c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000564 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000205 ),
+ .Q(\blk00000003/sig000005b9 ),
+ .Q15(\NLW_blk00000003/blk00000564_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000563 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b8 ),
+ .Q(\blk00000003/sig000004b0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000562 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000201 ),
+ .Q(\blk00000003/sig000005b8 ),
+ .Q15(\NLW_blk00000003/blk00000562_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000561 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b7 ),
+ .Q(\blk00000003/sig000004af )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000560 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000200 ),
+ .Q(\blk00000003/sig000005b7 ),
+ .Q15(\NLW_blk00000003/blk00000560_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000055f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b6 ),
+ .Q(\blk00000003/sig00000469 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000055e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig00000202 ),
+ .Q(\blk00000003/sig000005b6 ),
+ .Q15(\NLW_blk00000003/blk0000055e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000055d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b5 ),
+ .Q(\blk00000003/sig000004ad )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000055c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fe ),
+ .Q(\blk00000003/sig000005b5 ),
+ .Q15(\NLW_blk00000003/blk0000055c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000055b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b4 ),
+ .Q(\blk00000003/sig000004ac )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000055a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fd ),
+ .Q(\blk00000003/sig000005b4 ),
+ .Q15(\NLW_blk00000003/blk0000055a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000559 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b3 ),
+ .Q(\blk00000003/sig000004ae )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000558 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ff ),
+ .Q(\blk00000003/sig000005b3 ),
+ .Q15(\NLW_blk00000003/blk00000558_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000557 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b2 ),
+ .Q(\blk00000003/sig000004ab )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000556 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fc ),
+ .Q(\blk00000003/sig000005b2 ),
+ .Q15(\NLW_blk00000003/blk00000556_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000555 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b1 ),
+ .Q(\blk00000003/sig000004aa )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000554 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fb ),
+ .Q(\blk00000003/sig000005b1 ),
+ .Q15(\NLW_blk00000003/blk00000554_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000553 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005b0 ),
+ .Q(\blk00000003/sig000004a8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000552 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f9 ),
+ .Q(\blk00000003/sig000005b0 ),
+ .Q15(\NLW_blk00000003/blk00000552_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000551 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005af ),
+ .Q(\blk00000003/sig000004a7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000550 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f8 ),
+ .Q(\blk00000003/sig000005af ),
+ .Q15(\NLW_blk00000003/blk00000550_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ae ),
+ .Q(\blk00000003/sig000004a9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001fa ),
+ .Q(\blk00000003/sig000005ae ),
+ .Q15(\NLW_blk00000003/blk0000054e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ad ),
+ .Q(\blk00000003/sig000004a6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f7 ),
+ .Q(\blk00000003/sig000005ad ),
+ .Q15(\NLW_blk00000003/blk0000054c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000054b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ac ),
+ .Q(\blk00000003/sig000004a5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000054a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f6 ),
+ .Q(\blk00000003/sig000005ac ),
+ .Q15(\NLW_blk00000003/blk0000054a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000549 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005ab ),
+ .Q(\blk00000003/sig000004a3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000548 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f4 ),
+ .Q(\blk00000003/sig000005ab ),
+ .Q15(\NLW_blk00000003/blk00000548_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000547 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005aa ),
+ .Q(\blk00000003/sig000004a2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000546 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f3 ),
+ .Q(\blk00000003/sig000005aa ),
+ .Q15(\NLW_blk00000003/blk00000546_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000545 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a9 ),
+ .Q(\blk00000003/sig000004a4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000544 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f5 ),
+ .Q(\blk00000003/sig000005a9 ),
+ .Q15(\NLW_blk00000003/blk00000544_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000543 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a8 ),
+ .Q(\blk00000003/sig000004a1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000542 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f2 ),
+ .Q(\blk00000003/sig000005a8 ),
+ .Q15(\NLW_blk00000003/blk00000542_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000541 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a7 ),
+ .Q(\blk00000003/sig000004a0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000540 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f1 ),
+ .Q(\blk00000003/sig000005a7 ),
+ .Q15(\NLW_blk00000003/blk00000540_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000053f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a6 ),
+ .Q(\blk00000003/sig0000049e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000053e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ef ),
+ .Q(\blk00000003/sig000005a6 ),
+ .Q15(\NLW_blk00000003/blk0000053e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000053d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a5 ),
+ .Q(\blk00000003/sig0000049d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000053c (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ee ),
+ .Q(\blk00000003/sig000005a5 ),
+ .Q15(\NLW_blk00000003/blk0000053c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000053b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a4 ),
+ .Q(\blk00000003/sig0000049f )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000053a (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001f0 ),
+ .Q(\blk00000003/sig000005a4 ),
+ .Q15(\NLW_blk00000003/blk0000053a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000539 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a3 ),
+ .Q(\blk00000003/sig0000049b )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000538 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ec ),
+ .Q(\blk00000003/sig000005a3 ),
+ .Q15(\NLW_blk00000003/blk00000538_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000537 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a2 ),
+ .Q(\blk00000003/sig0000049a )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000536 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001eb ),
+ .Q(\blk00000003/sig000005a2 ),
+ .Q15(\NLW_blk00000003/blk00000536_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000535 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a1 ),
+ .Q(\blk00000003/sig0000049c )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000534 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ed ),
+ .Q(\blk00000003/sig000005a1 ),
+ .Q15(\NLW_blk00000003/blk00000534_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000533 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000005a0 ),
+ .Q(\blk00000003/sig000002de )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000532 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d6 ),
+ .Q(\blk00000003/sig000005a0 ),
+ .Q15(\NLW_blk00000003/blk00000532_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000531 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000059f ),
+ .Q(\blk00000003/sig000002df )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000530 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000002b9 ),
+ .Q(\blk00000003/sig0000059f ),
+ .Q15(\NLW_blk00000003/blk00000530_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000059e ),
+ .Q(\blk00000003/sig00000499 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000052e (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001ea ),
+ .Q(\blk00000003/sig0000059e ),
+ .Q15(\NLW_blk00000003/blk0000052e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000059d ),
+ .Q(\blk00000003/sig00000580 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000052c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig000000ae ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d2 ),
+ .Q(\blk00000003/sig0000059d ),
+ .Q15(\NLW_blk00000003/blk0000052c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000052b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000059c ),
+ .Q(\blk00000003/sig000004da )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000052a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[0]),
+ .Q(\blk00000003/sig0000059c ),
+ .Q15(\NLW_blk00000003/blk0000052a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000529 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000059b ),
+ .Q(\blk00000003/sig000004d8 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000528 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[2]),
+ .Q(\blk00000003/sig0000059b ),
+ .Q15(\NLW_blk00000003/blk00000528_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000527 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000059a ),
+ .Q(\blk00000003/sig000004d7 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000526 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[3]),
+ .Q(\blk00000003/sig0000059a ),
+ .Q15(\NLW_blk00000003/blk00000526_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000525 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000599 ),
+ .Q(\blk00000003/sig000004d9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000524 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[1]),
+ .Q(\blk00000003/sig00000599 ),
+ .Q15(\NLW_blk00000003/blk00000524_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000523 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000598 ),
+ .Q(\blk00000003/sig000004d5 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000522 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[5]),
+ .Q(\blk00000003/sig00000598 ),
+ .Q15(\NLW_blk00000003/blk00000522_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000521 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000597 ),
+ .Q(\blk00000003/sig000004d4 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000520 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[6]),
+ .Q(\blk00000003/sig00000597 ),
+ .Q15(\NLW_blk00000003/blk00000520_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000596 ),
+ .Q(\blk00000003/sig000004d6 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[4]),
+ .Q(\blk00000003/sig00000596 ),
+ .Q15(\NLW_blk00000003/blk0000051e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000595 ),
+ .Q(\blk00000003/sig000004d2 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[8]),
+ .Q(\blk00000003/sig00000595 ),
+ .Q15(\NLW_blk00000003/blk0000051c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000051b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000594 ),
+ .Q(\blk00000003/sig000004d1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000051a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[9]),
+ .Q(\blk00000003/sig00000594 ),
+ .Q15(\NLW_blk00000003/blk0000051a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000519 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000593 ),
+ .Q(\blk00000003/sig000004d3 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000518 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[7]),
+ .Q(\blk00000003/sig00000593 ),
+ .Q15(\NLW_blk00000003/blk00000518_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000517 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000592 ),
+ .Q(\blk00000003/sig000004d0 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000516 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[10]),
+ .Q(\blk00000003/sig00000592 ),
+ .Q15(\NLW_blk00000003/blk00000516_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000515 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000591 ),
+ .Q(\blk00000003/sig000004cf )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000514 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[11]),
+ .Q(\blk00000003/sig00000591 ),
+ .Q15(\NLW_blk00000003/blk00000514_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000513 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000590 ),
+ .Q(\blk00000003/sig000004cd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000512 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[13]),
+ .Q(\blk00000003/sig00000590 ),
+ .Q15(\NLW_blk00000003/blk00000512_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000511 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000058f ),
+ .Q(\blk00000003/sig000004cc )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000510 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[14]),
+ .Q(\blk00000003/sig0000058f ),
+ .Q15(\NLW_blk00000003/blk00000510_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000058e ),
+ .Q(\blk00000003/sig000004ce )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000050e (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[12]),
+ .Q(\blk00000003/sig0000058e ),
+ .Q15(\NLW_blk00000003/blk0000050e_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000058d ),
+ .Q(\blk00000003/sig000004ca )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000050c (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[16]),
+ .Q(\blk00000003/sig0000058d ),
+ .Q15(\NLW_blk00000003/blk0000050c_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000050b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000058c ),
+ .Q(\blk00000003/sig000004c9 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000050a (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[17]),
+ .Q(\blk00000003/sig0000058c ),
+ .Q15(\NLW_blk00000003/blk0000050a_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000509 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000058b ),
+ .Q(\blk00000003/sig000004cb )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000508 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(coef_din_0[15]),
+ .Q(\blk00000003/sig0000058b ),
+ .Q15(\NLW_blk00000003/blk00000508_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000507 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000058a ),
+ .Q(\blk00000003/sig000001e1 )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000506 (
+ .A0(\blk00000003/sig000000ae ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig00000049 ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001e3 ),
+ .Q(\blk00000003/sig0000058a ),
+ .Q15(\NLW_blk00000003/blk00000506_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000505 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000589 ),
+ .Q(\blk00000003/sig0000042e )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000504 (
+ .A0(\blk00000003/sig00000049 ),
+ .A1(\blk00000003/sig00000049 ),
+ .A2(\blk00000003/sig000000ae ),
+ .A3(\blk00000003/sig00000049 ),
+ .CE(ce),
+ .CLK(clk),
+ .D(\blk00000003/sig000001d8 ),
+ .Q(\blk00000003/sig00000589 ),
+ .Q15(\NLW_blk00000003/blk00000504_Q15_UNCONNECTED )
+ );
+ INV \blk00000003/blk00000503 (
+ .I(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig00000288 )
+ );
+ INV \blk00000003/blk00000502 (
+ .I(\blk00000003/sig00000291 ),
+ .O(\blk00000003/sig00000281 )
+ );
+ INV \blk00000003/blk00000501 (
+ .I(\blk00000003/sig000001cf ),
+ .O(\blk00000003/sig00000296 )
+ );
+ INV \blk00000003/blk00000500 (
+ .I(\blk00000003/sig00000298 ),
+ .O(\blk00000003/sig00000287 )
+ );
+ INV \blk00000003/blk000004ff (
+ .I(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig00000578 )
+ );
+ INV \blk00000003/blk000004fe (
+ .I(\blk00000003/sig00000244 ),
+ .O(\blk00000003/sig00000299 )
+ );
+ INV \blk00000003/blk000004fd (
+ .I(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig00000282 )
+ );
+ INV \blk00000003/blk000004fc (
+ .I(\blk00000003/sig0000021d ),
+ .O(\blk00000003/sig00000245 )
+ );
+ INV \blk00000003/blk000004fb (
+ .I(\blk00000003/sig00000267 ),
+ .O(\blk00000003/sig00000227 )
+ );
+ INV \blk00000003/blk000004fa (
+ .I(\blk00000003/sig000001cd ),
+ .O(\blk00000003/sig000000b9 )
+ );
+ INV \blk00000003/blk000004f9 (
+ .I(\blk00000003/sig000000b6 ),
+ .O(\blk00000003/sig000000b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000502 ),
+ .Q(\blk00000003/sig0000057c )
+ );
+ LUT3 #(
+ .INIT ( 8'h08 ))
+ \blk00000003/blk000004f7 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig00000236 ),
+ .I2(\blk00000003/sig0000024d ),
+ .O(\blk00000003/sig00000249 )
+ );
+ LUT5 #(
+ .INIT ( 32'h4F444444 ))
+ \blk00000003/blk000004f6 (
+ .I0(\blk00000003/sig0000024a ),
+ .I1(\blk00000003/sig0000023e ),
+ .I2(\blk00000003/sig0000024d ),
+ .I3(coef_ld),
+ .I4(\blk00000003/sig00000236 ),
+ .O(\blk00000003/sig00000241 )
+ );
+ LUT4 #(
+ .INIT ( 16'h1000 ))
+ \blk00000003/blk000004f5 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig00000238 ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig00000236 ),
+ .O(\blk00000003/sig00000248 )
+ );
+ LUT5 #(
+ .INIT ( 32'h20AA2020 ))
+ \blk00000003/blk000004f4 (
+ .I0(\blk00000003/sig00000236 ),
+ .I1(\blk00000003/sig00000238 ),
+ .I2(coef_we),
+ .I3(\blk00000003/sig0000024d ),
+ .I4(coef_ld),
+ .O(\blk00000003/sig00000247 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk000004f3 (
+ .I0(\blk00000003/sig00000291 ),
+ .I1(ce),
+ .I2(\blk00000003/sig0000023e ),
+ .I3(\blk00000003/sig0000021b ),
+ .O(\blk00000003/sig00000588 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk000004f2 (
+ .I0(\blk00000003/sig00000298 ),
+ .I1(ce),
+ .I2(\blk00000003/sig0000023c ),
+ .I3(\blk00000003/sig00000289 ),
+ .O(\blk00000003/sig00000587 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEA2A ))
+ \blk00000003/blk000004f1 (
+ .I0(\blk00000003/sig0000057b ),
+ .I1(ce),
+ .I2(\blk00000003/sig000001d6 ),
+ .I3(\blk00000003/sig000001d8 ),
+ .O(\blk00000003/sig00000585 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk000004f0 (
+ .I0(ce),
+ .I1(sclr),
+ .I2(\blk00000003/sig0000057f ),
+ .O(\blk00000003/sig00000584 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk000004ef (
+ .I0(ce),
+ .I1(\blk00000003/sig0000024d ),
+ .I2(\blk00000003/sig0000057d ),
+ .O(\blk00000003/sig00000583 )
+ );
+ LUT5 #(
+ .INIT ( 32'h6AAAAAAA ))
+ \blk00000003/blk000004ee (
+ .I0(\blk00000003/sig0000057e ),
+ .I1(\blk00000003/sig000002a2 ),
+ .I2(ce),
+ .I3(nd),
+ .I4(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig00000586 )
+ );
+ FD #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004ed (
+ .C(clk),
+ .D(\blk00000003/sig00000588 ),
+ .Q(\blk00000003/sig00000291 )
+ );
+ FD #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004ec (
+ .C(clk),
+ .D(\blk00000003/sig00000587 ),
+ .Q(\blk00000003/sig00000298 )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004eb (
+ .C(clk),
+ .D(\blk00000003/sig00000586 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057e )
+ );
+ FDR #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ea (
+ .C(clk),
+ .D(\blk00000003/sig00000585 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000057b )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e9 (
+ .I0(\blk00000003/sig00000500 ),
+ .O(\blk00000003/sig000004fb )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e8 (
+ .I0(\blk00000003/sig000004ff ),
+ .O(\blk00000003/sig000004f8 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e7 (
+ .I0(\blk00000003/sig000004fe ),
+ .O(\blk00000003/sig000004f5 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e6 (
+ .I0(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig000004f2 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e5 (
+ .I0(\blk00000003/sig000002da ),
+ .O(\blk00000003/sig000002db )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e4 (
+ .I0(\blk00000003/sig000002d7 ),
+ .O(\blk00000003/sig000002d8 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e3 (
+ .I0(\blk00000003/sig000002d3 ),
+ .O(\blk00000003/sig000002d4 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e2 (
+ .I0(\blk00000003/sig000002b7 ),
+ .O(\blk00000003/sig000002b1 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e1 (
+ .I0(\blk00000003/sig0000057e ),
+ .O(\blk00000003/sig000002a7 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004e0 (
+ .I0(\blk00000003/sig0000029f ),
+ .O(\blk00000003/sig0000029d )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004df (
+ .I0(\blk00000003/sig00000271 ),
+ .O(\blk00000003/sig00000272 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004de (
+ .I0(\blk00000003/sig0000026e ),
+ .O(\blk00000003/sig0000026f )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004dd (
+ .I0(\blk00000003/sig0000026a ),
+ .O(\blk00000003/sig0000026b )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004dc (
+ .I0(\blk00000003/sig00000260 ),
+ .O(\blk00000003/sig0000025d )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004db (
+ .I0(\blk00000003/sig0000025f ),
+ .O(\blk00000003/sig0000025a )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004da (
+ .I0(\blk00000003/sig00000255 ),
+ .O(\blk00000003/sig00000252 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004d9 (
+ .I0(\blk00000003/sig00000254 ),
+ .O(\blk00000003/sig0000024f )
+ );
+ LUT3 #(
+ .INIT ( 8'h08 ))
+ \blk00000003/blk000004d8 (
+ .I0(\blk00000003/sig00000254 ),
+ .I1(\blk00000003/sig00000255 ),
+ .I2(\blk00000003/sig00000258 ),
+ .O(\blk00000003/sig0000022f )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004d7 (
+ .I0(\blk00000003/sig00000267 ),
+ .O(\blk00000003/sig00000229 )
+ );
+ LUT1 #(
+ .INIT ( 2'h2 ))
+ \blk00000003/blk000004d6 (
+ .I0(\blk00000003/sig000001cd ),
+ .O(\blk00000003/sig000000ba )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000572 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000577 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000056f ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000576 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000056c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000575 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000569 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000574 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000566 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000573 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f0 ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000501 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004fc ),
+ .S(sclr),
+ .Q(\blk00000003/sig00000500 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ff )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004fe )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000004f3 ),
+ .S(sclr),
+ .Q(\blk00000003/sig000004fd )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002dd ),
+ .R(\blk00000003/sig000002e0 ),
+ .Q(\blk00000003/sig000002da )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002dc ),
+ .R(\blk00000003/sig000002e0 ),
+ .Q(\blk00000003/sig000002d7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002d6 ),
+ .R(\blk00000003/sig000002e0 ),
+ .Q(\blk00000003/sig000002d3 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c8 ),
+ .S(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002d1 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002ce ),
+ .S(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002d0 )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002cb ),
+ .S(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002cf )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002bc ),
+ .R(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002c6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c2 ),
+ .R(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002c5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002bf ),
+ .R(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002c4 )
+ );
+ FDR \blk00000003/blk000004c2 (
+ .C(clk),
+ .D(\blk00000003/sig00000584 ),
+ .R(ce),
+ .Q(\blk00000003/sig0000057f )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000004c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b2 ),
+ .S(sclr),
+ .Q(\blk00000003/sig000002b7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000002b6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002ac ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002af ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029b ),
+ .R(sclr),
+ .Q(\blk00000003/sig000002a0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000029e ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000029f )
+ );
+ FDR \blk00000003/blk000004bb (
+ .C(clk),
+ .D(\blk00000003/sig00000583 ),
+ .R(ce),
+ .Q(\blk00000003/sig0000057d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000274 ),
+ .R(\blk00000003/sig00000277 ),
+ .Q(\blk00000003/sig00000271 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000273 ),
+ .R(\blk00000003/sig00000277 ),
+ .Q(\blk00000003/sig0000026e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026d ),
+ .R(\blk00000003/sig00000277 ),
+ .Q(\blk00000003/sig0000026a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000266 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000267 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000262 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000263 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000025e ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000260 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000025b ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000025f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000257 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000258 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000253 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000255 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000004b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000250 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000254 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000004b0 (
+ .I0(\blk00000003/sig00000573 ),
+ .I1(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig00000565 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000004af (
+ .I0(\blk00000003/sig00000574 ),
+ .I1(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig00000568 )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000004ae (
+ .I0(\blk00000003/sig00000575 ),
+ .I1(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig0000056b )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk000004ad (
+ .I0(\blk00000003/sig00000576 ),
+ .I1(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig0000056e )
+ );
+ LUT3 #(
+ .INIT ( 8'hDE ))
+ \blk00000003/blk000004ac (
+ .I0(\blk00000003/sig00000577 ),
+ .I1(\blk00000003/sig000004fd ),
+ .I2(\blk00000003/sig000001df ),
+ .O(\blk00000003/sig00000571 )
+ );
+ LUT3 #(
+ .INIT ( 8'h04 ))
+ \blk00000003/blk000004ab (
+ .I0(\blk00000003/sig000001df ),
+ .I1(\blk00000003/sig0000004a ),
+ .I2(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig00000563 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004aa (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000104 ),
+ .I3(NlwRenamedSig_OI_dout_2[47]),
+ .O(\blk00000003/sig00000562 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a9 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000105 ),
+ .I3(NlwRenamedSig_OI_dout_2[46]),
+ .O(\blk00000003/sig00000561 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a8 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000107 ),
+ .I3(NlwRenamedSig_OI_dout_2[44]),
+ .O(\blk00000003/sig0000055f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a7 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000106 ),
+ .I3(NlwRenamedSig_OI_dout_2[45]),
+ .O(\blk00000003/sig00000560 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a6 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000108 ),
+ .I3(NlwRenamedSig_OI_dout_2[43]),
+ .O(\blk00000003/sig0000055e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a5 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000010a ),
+ .I3(NlwRenamedSig_OI_dout_2[41]),
+ .O(\blk00000003/sig0000055c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a4 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000109 ),
+ .I3(NlwRenamedSig_OI_dout_2[42]),
+ .O(\blk00000003/sig0000055d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a3 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000010b ),
+ .I3(NlwRenamedSig_OI_dout_2[40]),
+ .O(\blk00000003/sig0000055b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a2 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000010d ),
+ .I3(NlwRenamedSig_OI_dout_2[38]),
+ .O(\blk00000003/sig00000559 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a1 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000010c ),
+ .I3(NlwRenamedSig_OI_dout_2[39]),
+ .O(\blk00000003/sig0000055a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk000004a0 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000010e ),
+ .I3(NlwRenamedSig_OI_dout_2[37]),
+ .O(\blk00000003/sig00000558 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000049f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000110 ),
+ .I3(NlwRenamedSig_OI_dout_2[35]),
+ .O(\blk00000003/sig00000556 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000049e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000010f ),
+ .I3(NlwRenamedSig_OI_dout_2[36]),
+ .O(\blk00000003/sig00000557 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000049d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000111 ),
+ .I3(NlwRenamedSig_OI_dout_2[34]),
+ .O(\blk00000003/sig00000555 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000049c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000113 ),
+ .I3(NlwRenamedSig_OI_dout_2[32]),
+ .O(\blk00000003/sig00000553 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000049b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000112 ),
+ .I3(NlwRenamedSig_OI_dout_2[33]),
+ .O(\blk00000003/sig00000554 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000049a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000114 ),
+ .I3(NlwRenamedSig_OI_dout_2[31]),
+ .O(\blk00000003/sig00000552 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000499 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000116 ),
+ .I3(NlwRenamedSig_OI_dout_2[29]),
+ .O(\blk00000003/sig00000550 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000498 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000115 ),
+ .I3(NlwRenamedSig_OI_dout_2[30]),
+ .O(\blk00000003/sig00000551 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000497 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000117 ),
+ .I3(NlwRenamedSig_OI_dout_2[28]),
+ .O(\blk00000003/sig0000054f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000496 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000119 ),
+ .I3(NlwRenamedSig_OI_dout_2[26]),
+ .O(\blk00000003/sig0000054d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000495 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000118 ),
+ .I3(NlwRenamedSig_OI_dout_2[27]),
+ .O(\blk00000003/sig0000054e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000494 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000011a ),
+ .I3(NlwRenamedSig_OI_dout_2[25]),
+ .O(\blk00000003/sig0000054c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000493 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000011c ),
+ .I3(NlwRenamedSig_OI_dout_2[23]),
+ .O(\blk00000003/sig0000054a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000492 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000011b ),
+ .I3(NlwRenamedSig_OI_dout_2[24]),
+ .O(\blk00000003/sig0000054b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000491 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000011d ),
+ .I3(NlwRenamedSig_OI_dout_2[22]),
+ .O(\blk00000003/sig00000549 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000490 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000011f ),
+ .I3(NlwRenamedSig_OI_dout_2[20]),
+ .O(\blk00000003/sig00000547 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000048f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000011e ),
+ .I3(NlwRenamedSig_OI_dout_2[21]),
+ .O(\blk00000003/sig00000548 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000048e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000120 ),
+ .I3(NlwRenamedSig_OI_dout_2[19]),
+ .O(\blk00000003/sig00000546 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000048d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000122 ),
+ .I3(NlwRenamedSig_OI_dout_2[17]),
+ .O(\blk00000003/sig00000544 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000048c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000121 ),
+ .I3(NlwRenamedSig_OI_dout_2[18]),
+ .O(\blk00000003/sig00000545 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000048b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000123 ),
+ .I3(NlwRenamedSig_OI_dout_2[16]),
+ .O(\blk00000003/sig00000543 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000048a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000125 ),
+ .I3(NlwRenamedSig_OI_dout_2[14]),
+ .O(\blk00000003/sig00000541 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000489 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000124 ),
+ .I3(NlwRenamedSig_OI_dout_2[15]),
+ .O(\blk00000003/sig00000542 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000488 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000126 ),
+ .I3(NlwRenamedSig_OI_dout_2[13]),
+ .O(\blk00000003/sig00000540 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000487 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000128 ),
+ .I3(NlwRenamedSig_OI_dout_2[11]),
+ .O(\blk00000003/sig0000053e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000486 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000127 ),
+ .I3(NlwRenamedSig_OI_dout_2[12]),
+ .O(\blk00000003/sig0000053f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000485 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000129 ),
+ .I3(NlwRenamedSig_OI_dout_2[10]),
+ .O(\blk00000003/sig0000053d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000484 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000012b ),
+ .I3(NlwRenamedSig_OI_dout_2[8]),
+ .O(\blk00000003/sig0000053b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000483 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000012a ),
+ .I3(NlwRenamedSig_OI_dout_2[9]),
+ .O(\blk00000003/sig0000053c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000482 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000012c ),
+ .I3(NlwRenamedSig_OI_dout_2[7]),
+ .O(\blk00000003/sig0000053a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000481 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000012e ),
+ .I3(NlwRenamedSig_OI_dout_2[5]),
+ .O(\blk00000003/sig00000538 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000480 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000012d ),
+ .I3(NlwRenamedSig_OI_dout_2[6]),
+ .O(\blk00000003/sig00000539 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000047f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000012f ),
+ .I3(NlwRenamedSig_OI_dout_2[4]),
+ .O(\blk00000003/sig00000537 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000047e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000131 ),
+ .I3(NlwRenamedSig_OI_dout_2[2]),
+ .O(\blk00000003/sig00000535 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000047d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000130 ),
+ .I3(NlwRenamedSig_OI_dout_2[3]),
+ .O(\blk00000003/sig00000536 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000047c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000132 ),
+ .I3(NlwRenamedSig_OI_dout_2[1]),
+ .O(\blk00000003/sig00000534 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000047b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000133 ),
+ .I3(NlwRenamedSig_OI_dout_2[0]),
+ .O(\blk00000003/sig00000533 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000047a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000017c ),
+ .I3(NlwRenamedSig_OI_dout_1[47]),
+ .O(\blk00000003/sig00000532 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000479 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000017e ),
+ .I3(NlwRenamedSig_OI_dout_1[45]),
+ .O(\blk00000003/sig00000530 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000478 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000017d ),
+ .I3(NlwRenamedSig_OI_dout_1[46]),
+ .O(\blk00000003/sig00000531 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000477 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000017f ),
+ .I3(NlwRenamedSig_OI_dout_1[44]),
+ .O(\blk00000003/sig0000052f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000476 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000181 ),
+ .I3(NlwRenamedSig_OI_dout_1[42]),
+ .O(\blk00000003/sig0000052d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000475 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000180 ),
+ .I3(NlwRenamedSig_OI_dout_1[43]),
+ .O(\blk00000003/sig0000052e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000474 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000182 ),
+ .I3(NlwRenamedSig_OI_dout_1[41]),
+ .O(\blk00000003/sig0000052c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000473 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000184 ),
+ .I3(NlwRenamedSig_OI_dout_1[39]),
+ .O(\blk00000003/sig0000052a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000472 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000183 ),
+ .I3(NlwRenamedSig_OI_dout_1[40]),
+ .O(\blk00000003/sig0000052b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000471 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000185 ),
+ .I3(NlwRenamedSig_OI_dout_1[38]),
+ .O(\blk00000003/sig00000529 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000470 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000187 ),
+ .I3(NlwRenamedSig_OI_dout_1[36]),
+ .O(\blk00000003/sig00000527 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000046f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000186 ),
+ .I3(NlwRenamedSig_OI_dout_1[37]),
+ .O(\blk00000003/sig00000528 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000046e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000188 ),
+ .I3(NlwRenamedSig_OI_dout_1[35]),
+ .O(\blk00000003/sig00000526 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000046d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000018a ),
+ .I3(NlwRenamedSig_OI_dout_1[33]),
+ .O(\blk00000003/sig00000524 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000046c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000189 ),
+ .I3(NlwRenamedSig_OI_dout_1[34]),
+ .O(\blk00000003/sig00000525 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000046b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000018b ),
+ .I3(NlwRenamedSig_OI_dout_1[32]),
+ .O(\blk00000003/sig00000523 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000046a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000018d ),
+ .I3(NlwRenamedSig_OI_dout_1[30]),
+ .O(\blk00000003/sig00000521 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000469 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000018c ),
+ .I3(NlwRenamedSig_OI_dout_1[31]),
+ .O(\blk00000003/sig00000522 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000468 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000018e ),
+ .I3(NlwRenamedSig_OI_dout_1[29]),
+ .O(\blk00000003/sig00000520 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000467 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000190 ),
+ .I3(NlwRenamedSig_OI_dout_1[27]),
+ .O(\blk00000003/sig0000051e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000466 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000018f ),
+ .I3(NlwRenamedSig_OI_dout_1[28]),
+ .O(\blk00000003/sig0000051f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000465 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000191 ),
+ .I3(NlwRenamedSig_OI_dout_1[26]),
+ .O(\blk00000003/sig0000051d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000464 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000193 ),
+ .I3(NlwRenamedSig_OI_dout_1[24]),
+ .O(\blk00000003/sig0000051b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000463 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000192 ),
+ .I3(NlwRenamedSig_OI_dout_1[25]),
+ .O(\blk00000003/sig0000051c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000462 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000194 ),
+ .I3(NlwRenamedSig_OI_dout_1[23]),
+ .O(\blk00000003/sig0000051a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000461 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000196 ),
+ .I3(NlwRenamedSig_OI_dout_1[21]),
+ .O(\blk00000003/sig00000518 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000460 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000195 ),
+ .I3(NlwRenamedSig_OI_dout_1[22]),
+ .O(\blk00000003/sig00000519 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000045f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000197 ),
+ .I3(NlwRenamedSig_OI_dout_1[20]),
+ .O(\blk00000003/sig00000517 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000045e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000199 ),
+ .I3(NlwRenamedSig_OI_dout_1[18]),
+ .O(\blk00000003/sig00000515 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000045d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig00000198 ),
+ .I3(NlwRenamedSig_OI_dout_1[19]),
+ .O(\blk00000003/sig00000516 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000045c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000019a ),
+ .I3(NlwRenamedSig_OI_dout_1[17]),
+ .O(\blk00000003/sig00000514 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000045b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000019c ),
+ .I3(NlwRenamedSig_OI_dout_1[15]),
+ .O(\blk00000003/sig00000512 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000045a (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000019b ),
+ .I3(NlwRenamedSig_OI_dout_1[16]),
+ .O(\blk00000003/sig00000513 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000459 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000019d ),
+ .I3(NlwRenamedSig_OI_dout_1[14]),
+ .O(\blk00000003/sig00000511 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000458 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000019f ),
+ .I3(NlwRenamedSig_OI_dout_1[12]),
+ .O(\blk00000003/sig0000050f )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000457 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig0000019e ),
+ .I3(NlwRenamedSig_OI_dout_1[13]),
+ .O(\blk00000003/sig00000510 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000456 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a0 ),
+ .I3(NlwRenamedSig_OI_dout_1[11]),
+ .O(\blk00000003/sig0000050e )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000455 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a2 ),
+ .I3(NlwRenamedSig_OI_dout_1[9]),
+ .O(\blk00000003/sig0000050c )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000454 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a1 ),
+ .I3(NlwRenamedSig_OI_dout_1[10]),
+ .O(\blk00000003/sig0000050d )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000453 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a3 ),
+ .I3(NlwRenamedSig_OI_dout_1[8]),
+ .O(\blk00000003/sig0000050b )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000452 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a5 ),
+ .I3(NlwRenamedSig_OI_dout_1[6]),
+ .O(\blk00000003/sig00000509 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000451 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a4 ),
+ .I3(NlwRenamedSig_OI_dout_1[7]),
+ .O(\blk00000003/sig0000050a )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk00000450 (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a6 ),
+ .I3(NlwRenamedSig_OI_dout_1[5]),
+ .O(\blk00000003/sig00000508 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000044f (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a8 ),
+ .I3(NlwRenamedSig_OI_dout_1[3]),
+ .O(\blk00000003/sig00000506 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000044e (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a7 ),
+ .I3(NlwRenamedSig_OI_dout_1[4]),
+ .O(\blk00000003/sig00000507 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000044d (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001a9 ),
+ .I3(NlwRenamedSig_OI_dout_1[2]),
+ .O(\blk00000003/sig00000505 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000044c (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001ab ),
+ .I3(NlwRenamedSig_OI_dout_1[0]),
+ .O(\blk00000003/sig00000503 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5140 ))
+ \blk00000003/blk0000044b (
+ .I0(\blk00000003/sig000001cd ),
+ .I1(\blk00000003/sig000001df ),
+ .I2(\blk00000003/sig000001aa ),
+ .I3(NlwRenamedSig_OI_dout_1[1]),
+ .O(\blk00000003/sig00000504 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000044a (
+ .I0(\blk00000003/sig00000501 ),
+ .I1(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig000004ef )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000449 (
+ .I0(ce),
+ .I1(\blk00000003/sig000001e1 ),
+ .O(\blk00000003/sig00000582 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000448 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000042f ),
+ .O(\blk00000003/sig000004ee )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000447 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000581 ),
+ .O(\blk00000003/sig000004ed )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000446 (
+ .I0(\blk00000003/sig000002cf ),
+ .I1(\blk00000003/sig000002de ),
+ .O(\blk00000003/sig000002ca )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000445 (
+ .I0(\blk00000003/sig000002de ),
+ .I1(\blk00000003/sig000002d1 ),
+ .O(\blk00000003/sig000002c7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000444 (
+ .I0(\blk00000003/sig000002de ),
+ .I1(\blk00000003/sig000002d0 ),
+ .O(\blk00000003/sig000002cd )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000443 (
+ .I0(\blk00000003/sig000002de ),
+ .I1(\blk00000003/sig00000580 ),
+ .O(\blk00000003/sig000002c3 )
+ );
+ LUT3 #(
+ .INIT ( 8'hEA ))
+ \blk00000003/blk00000442 (
+ .I0(\blk00000003/sig000002c4 ),
+ .I1(\blk00000003/sig000002de ),
+ .I2(\blk00000003/sig00000580 ),
+ .O(\blk00000003/sig000002be )
+ );
+ LUT3 #(
+ .INIT ( 8'hF8 ))
+ \blk00000003/blk00000441 (
+ .I0(\blk00000003/sig00000580 ),
+ .I1(\blk00000003/sig000002de ),
+ .I2(\blk00000003/sig000002c5 ),
+ .O(\blk00000003/sig000002c1 )
+ );
+ LUT3 #(
+ .INIT ( 8'hBC ))
+ \blk00000003/blk00000440 (
+ .I0(\blk00000003/sig00000580 ),
+ .I1(\blk00000003/sig000002de ),
+ .I2(\blk00000003/sig000002c6 ),
+ .O(\blk00000003/sig000002bb )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk0000043f (
+ .I0(sclr),
+ .I1(\blk00000003/sig0000057f ),
+ .O(\blk00000003/sig000002b8 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000043e (
+ .I0(\blk00000003/sig000002b6 ),
+ .I1(\blk00000003/sig000001d8 ),
+ .O(\blk00000003/sig000002b4 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk0000043d (
+ .I0(nd),
+ .I1(\blk00000003/sig000002a9 ),
+ .I2(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig000002b0 )
+ );
+ LUT4 #(
+ .INIT ( 16'hEAAA ))
+ \blk00000003/blk0000043c (
+ .I0(\blk00000003/sig000001e8 ),
+ .I1(nd),
+ .I2(NlwRenamedSig_OI_rfd),
+ .I3(\blk00000003/sig000002a9 ),
+ .O(\blk00000003/sig000002ae )
+ );
+ LUT4 #(
+ .INIT ( 16'hDFA0 ))
+ \blk00000003/blk0000043b (
+ .I0(nd),
+ .I1(\blk00000003/sig000002a9 ),
+ .I2(NlwRenamedSig_OI_rfd),
+ .I3(\blk00000003/sig000001e9 ),
+ .O(\blk00000003/sig000002ab )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000043a (
+ .I0(nd),
+ .I1(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig000002a4 )
+ );
+ LUT3 #(
+ .INIT ( 8'h09 ))
+ \blk00000003/blk00000439 (
+ .I0(\blk00000003/sig0000057e ),
+ .I1(\blk00000003/sig000001e8 ),
+ .I2(\blk00000003/sig000001e9 ),
+ .O(\blk00000003/sig000002a6 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000438 (
+ .I0(\blk00000003/sig000002a0 ),
+ .I1(\blk00000003/sig000001c7 ),
+ .O(\blk00000003/sig0000029a )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000437 (
+ .I0(\blk00000003/sig0000023d ),
+ .I1(\blk00000003/sig00000244 ),
+ .O(\blk00000003/sig00000297 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000436 (
+ .I0(\blk00000003/sig00000244 ),
+ .I1(\blk00000003/sig0000023c ),
+ .O(\blk00000003/sig00000294 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000435 (
+ .I0(\blk00000003/sig00000244 ),
+ .I1(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig00000292 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000434 (
+ .I0(\blk00000003/sig00000242 ),
+ .I1(\blk00000003/sig0000024a ),
+ .I2(\blk00000003/sig00000244 ),
+ .O(\blk00000003/sig0000028d )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk00000433 (
+ .I0(\blk00000003/sig00000242 ),
+ .I1(\blk00000003/sig00000240 ),
+ .I2(\blk00000003/sig00000244 ),
+ .O(\blk00000003/sig0000028f )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000432 (
+ .I0(\blk00000003/sig0000023d ),
+ .I1(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig00000286 )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk00000431 (
+ .I0(\blk00000003/sig0000023c ),
+ .I1(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig00000284 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000430 (
+ .I0(\blk00000003/sig0000023a ),
+ .I1(\blk00000003/sig00000244 ),
+ .I2(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig0000027f )
+ );
+ LUT2 #(
+ .INIT ( 4'h7 ))
+ \blk00000003/blk0000042f (
+ .I0(\blk00000003/sig0000023f ),
+ .I1(\blk00000003/sig00000240 ),
+ .O(\blk00000003/sig0000027b )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk0000042e (
+ .I0(\blk00000003/sig0000023e ),
+ .I1(\blk00000003/sig00000240 ),
+ .I2(\blk00000003/sig0000024a ),
+ .O(\blk00000003/sig0000027d )
+ );
+ LUT2 #(
+ .INIT ( 4'hE ))
+ \blk00000003/blk0000042d (
+ .I0(\blk00000003/sig0000024d ),
+ .I1(\blk00000003/sig0000057d ),
+ .O(\blk00000003/sig00000276 )
+ );
+ LUT3 #(
+ .INIT ( 8'h7F ))
+ \blk00000003/blk0000042c (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000224 ),
+ .I2(\blk00000003/sig0000022c ),
+ .O(\blk00000003/sig00000268 )
+ );
+ LUT4 #(
+ .INIT ( 16'hDAAA ))
+ \blk00000003/blk0000042b (
+ .I0(\blk00000003/sig00000267 ),
+ .I1(\blk00000003/sig00000224 ),
+ .I2(\blk00000003/sig0000022c ),
+ .I3(coef_we),
+ .O(\blk00000003/sig00000265 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk0000042a (
+ .I0(\blk00000003/sig00000263 ),
+ .I1(\blk00000003/sig000001c4 ),
+ .O(\blk00000003/sig00000261 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000429 (
+ .I0(\blk00000003/sig00000258 ),
+ .I1(coef_we),
+ .O(\blk00000003/sig00000256 )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk00000428 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig0000024d ),
+ .O(\blk00000003/sig00000243 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000427 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig0000022c ),
+ .O(\blk00000003/sig00000225 )
+ );
+ LUT3 #(
+ .INIT ( 8'h08 ))
+ \blk00000003/blk00000426 (
+ .I0(\blk00000003/sig00000238 ),
+ .I1(coef_we),
+ .I2(coef_ld),
+ .O(\blk00000003/sig0000024b )
+ );
+ LUT3 #(
+ .INIT ( 8'h08 ))
+ \blk00000003/blk00000425 (
+ .I0(\blk00000003/sig00000254 ),
+ .I1(\blk00000003/sig00000255 ),
+ .I2(\blk00000003/sig00000258 ),
+ .O(\blk00000003/sig0000022e )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000424 (
+ .I0(coef_ld),
+ .I1(\blk00000003/sig0000024d ),
+ .I2(\blk00000003/sig00000236 ),
+ .O(\blk00000003/sig00000222 )
+ );
+ LUT3 #(
+ .INIT ( 8'hDF ))
+ \blk00000003/blk00000423 (
+ .I0(coef_we),
+ .I1(\blk00000003/sig00000238 ),
+ .I2(\blk00000003/sig00000236 ),
+ .O(\blk00000003/sig0000021f )
+ );
+ LUT5 #(
+ .INIT ( 32'hFFFF2AAA ))
+ \blk00000003/blk00000422 (
+ .I0(\blk00000003/sig00000238 ),
+ .I1(coef_we),
+ .I2(\blk00000003/sig0000022c ),
+ .I3(\blk00000003/sig00000224 ),
+ .I4(coef_ld),
+ .O(\blk00000003/sig00000237 )
+ );
+ LUT4 #(
+ .INIT ( 16'hFF8A ))
+ \blk00000003/blk00000421 (
+ .I0(\blk00000003/sig00000236 ),
+ .I1(\blk00000003/sig00000238 ),
+ .I2(coef_we),
+ .I3(coef_ld),
+ .O(\blk00000003/sig00000235 )
+ );
+ LUT3 #(
+ .INIT ( 8'h80 ))
+ \blk00000003/blk00000420 (
+ .I0(nd),
+ .I1(\blk00000003/sig000002a2 ),
+ .I2(NlwRenamedSig_OI_rfd),
+ .O(\blk00000003/sig000001e7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000041f (
+ .I0(\blk00000003/sig000000be ),
+ .I1(\blk00000003/sig000001df ),
+ .O(\blk00000003/sig000001e5 )
+ );
+ LUT3 #(
+ .INIT ( 8'h10 ))
+ \blk00000003/blk0000041e (
+ .I0(\blk00000003/sig000000be ),
+ .I1(\blk00000003/sig000004fd ),
+ .I2(\blk00000003/sig0000057c ),
+ .O(\blk00000003/sig000000bf )
+ );
+ LUT3 #(
+ .INIT ( 8'hEA ))
+ \blk00000003/blk0000041d (
+ .I0(sclr),
+ .I1(ce),
+ .I2(\blk00000003/sig000004fd ),
+ .O(\blk00000003/sig000001e0 )
+ );
+ LUT2 #(
+ .INIT ( 4'hD ))
+ \blk00000003/blk0000041c (
+ .I0(NlwRenamedSig_OI_rfd),
+ .I1(nd),
+ .O(\blk00000003/sig000001cb )
+ );
+ LUT2 #(
+ .INIT ( 4'h2 ))
+ \blk00000003/blk0000041b (
+ .I0(\blk00000003/sig0000029f ),
+ .I1(\blk00000003/sig000002a0 ),
+ .O(\blk00000003/sig000001c8 )
+ );
+ LUT3 #(
+ .INIT ( 8'h08 ))
+ \blk00000003/blk0000041a (
+ .I0(\blk00000003/sig0000025f ),
+ .I1(\blk00000003/sig00000260 ),
+ .I2(\blk00000003/sig00000263 ),
+ .O(\blk00000003/sig000001c5 )
+ );
+ LUT5 #(
+ .INIT ( 32'h00008000 ))
+ \blk00000003/blk00000419 (
+ .I0(\blk00000003/sig00000573 ),
+ .I1(\blk00000003/sig00000574 ),
+ .I2(\blk00000003/sig00000575 ),
+ .I3(\blk00000003/sig00000576 ),
+ .I4(\blk00000003/sig00000577 ),
+ .O(\blk00000003/sig000000c1 )
+ );
+ LUT3 #(
+ .INIT ( 8'hF4 ))
+ \blk00000003/blk00000418 (
+ .I0(\blk00000003/sig000001d8 ),
+ .I1(\blk00000003/sig000001c4 ),
+ .I2(\blk00000003/sig000001e6 ),
+ .O(\blk00000003/sig000001d7 )
+ );
+ LUT2 #(
+ .INIT ( 4'h6 ))
+ \blk00000003/blk00000417 (
+ .I0(\blk00000003/sig000002b7 ),
+ .I1(\blk00000003/sig000001e4 ),
+ .O(\blk00000003/sig000001dd )
+ );
+ LUT3 #(
+ .INIT ( 8'hD8 ))
+ \blk00000003/blk00000416 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000579 ),
+ .I2(\blk00000003/sig000000b4 ),
+ .O(\blk00000003/sig000000b3 )
+ );
+ LUT3 #(
+ .INIT ( 8'h72 ))
+ \blk00000003/blk00000415 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000579 ),
+ .I2(\blk00000003/sig000000b2 ),
+ .O(\blk00000003/sig000000b1 )
+ );
+ LUT4 #(
+ .INIT ( 16'h8F88 ))
+ \blk00000003/blk00000414 (
+ .I0(NlwRenamedSig_OI_rfd),
+ .I1(nd),
+ .I2(\blk00000003/sig000001da ),
+ .I3(\blk00000003/sig000001c7 ),
+ .O(\blk00000003/sig000001d9 )
+ );
+ LUT5 #(
+ .INIT ( 32'hCEEE8AAA ))
+ \blk00000003/blk00000413 (
+ .I0(\blk00000003/sig000001c4 ),
+ .I1(\blk00000003/sig000001e6 ),
+ .I2(\blk00000003/sig000001d6 ),
+ .I3(\blk00000003/sig000001d8 ),
+ .I4(\blk00000003/sig000001d4 ),
+ .O(\blk00000003/sig000001d5 )
+ );
+ LUT4 #(
+ .INIT ( 16'h8808 ))
+ \blk00000003/blk00000412 (
+ .I0(\blk00000003/sig000001d6 ),
+ .I1(\blk00000003/sig0000057b ),
+ .I2(\blk00000003/sig000001d8 ),
+ .I3(\blk00000003/sig000001e6 ),
+ .O(\blk00000003/sig000001d1 )
+ );
+ LUT4 #(
+ .INIT ( 16'h5540 ))
+ \blk00000003/blk00000411 (
+ .I0(\blk00000003/sig000001e6 ),
+ .I1(\blk00000003/sig000001d6 ),
+ .I2(\blk00000003/sig000001d8 ),
+ .I3(\blk00000003/sig000001d4 ),
+ .O(\blk00000003/sig000001d3 )
+ );
+ LUT3 #(
+ .INIT ( 8'h9A ))
+ \blk00000003/blk00000410 (
+ .I0(\blk00000003/sig000002b6 ),
+ .I1(\blk00000003/sig000002b7 ),
+ .I2(\blk00000003/sig000001e4 ),
+ .O(\blk00000003/sig000001db )
+ );
+ LUT4 #(
+ .INIT ( 16'hFDA8 ))
+ \blk00000003/blk0000040f (
+ .I0(ce),
+ .I1(\blk00000003/sig00000579 ),
+ .I2(\blk00000003/sig0000057a ),
+ .I3(\blk00000003/sig000000b0 ),
+ .O(\blk00000003/sig000000af )
+ );
+ MUXCY \blk00000003/blk0000040e (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ae ),
+ .S(\blk00000003/sig00000578 ),
+ .O(\blk00000003/sig00000570 )
+ );
+ MUXCY_L \blk00000003/blk0000040d (
+ .CI(\blk00000003/sig00000570 ),
+ .DI(\blk00000003/sig00000577 ),
+ .S(\blk00000003/sig00000571 ),
+ .LO(\blk00000003/sig0000056d )
+ );
+ MUXCY_L \blk00000003/blk0000040c (
+ .CI(\blk00000003/sig0000056d ),
+ .DI(\blk00000003/sig00000576 ),
+ .S(\blk00000003/sig0000056e ),
+ .LO(\blk00000003/sig0000056a )
+ );
+ MUXCY_L \blk00000003/blk0000040b (
+ .CI(\blk00000003/sig0000056a ),
+ .DI(\blk00000003/sig00000575 ),
+ .S(\blk00000003/sig0000056b ),
+ .LO(\blk00000003/sig00000567 )
+ );
+ MUXCY_L \blk00000003/blk0000040a (
+ .CI(\blk00000003/sig00000567 ),
+ .DI(\blk00000003/sig00000574 ),
+ .S(\blk00000003/sig00000568 ),
+ .LO(\blk00000003/sig00000564 )
+ );
+ MUXCY_D \blk00000003/blk00000409 (
+ .CI(\blk00000003/sig00000564 ),
+ .DI(\blk00000003/sig00000573 ),
+ .S(\blk00000003/sig00000565 ),
+ .O(\NLW_blk00000003/blk00000409_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk00000409_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk00000408 (
+ .CI(\blk00000003/sig00000570 ),
+ .LI(\blk00000003/sig00000571 ),
+ .O(\blk00000003/sig00000572 )
+ );
+ XORCY \blk00000003/blk00000407 (
+ .CI(\blk00000003/sig0000056d ),
+ .LI(\blk00000003/sig0000056e ),
+ .O(\blk00000003/sig0000056f )
+ );
+ XORCY \blk00000003/blk00000406 (
+ .CI(\blk00000003/sig0000056a ),
+ .LI(\blk00000003/sig0000056b ),
+ .O(\blk00000003/sig0000056c )
+ );
+ XORCY \blk00000003/blk00000405 (
+ .CI(\blk00000003/sig00000567 ),
+ .LI(\blk00000003/sig00000568 ),
+ .O(\blk00000003/sig00000569 )
+ );
+ XORCY \blk00000003/blk00000404 (
+ .CI(\blk00000003/sig00000564 ),
+ .LI(\blk00000003/sig00000565 ),
+ .O(\blk00000003/sig00000566 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000403 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000563 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000004a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000402 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000562 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[47])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000401 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000561 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[46])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000400 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000560 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[45])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ff (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000055f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[44])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000055e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[43])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000055d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[42])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000055c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[41])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000055b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[40])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000055a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[39])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000559 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[38])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000558 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[37])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000557 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[36])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000556 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[35])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000555 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[34])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000554 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[33])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000553 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[32])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000552 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[31])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000551 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[30])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000550 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[29])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000054f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[28])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000054e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[27])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000054d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[26])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000054c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[25])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000054b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[24])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000054a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[23])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000549 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[22])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000548 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[21])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000547 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[20])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000546 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[19])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000545 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[18])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000544 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[17])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000543 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[16])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000542 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[15])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000541 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[14])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003e0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000540 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[13])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003df (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000053f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[12])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003de (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000053e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[11])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003dd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000053d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[10])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003dc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000053c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[9])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003db (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000053b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[8])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003da (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000053a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[7])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000539 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[6])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000538 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[5])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000537 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[4])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000536 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[3])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000535 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[2])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000534 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[1])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000533 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_2[0])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000532 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[47])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000531 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[46])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000530 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[45])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000052f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[44])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000052e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[43])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000052d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[42])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000052c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[41])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000052b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[40])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000052a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[39])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000529 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[38])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000528 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[37])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000527 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[36])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000526 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[35])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000525 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[34])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000524 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[33])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000523 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[32])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000522 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[31])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000521 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[30])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000520 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[29])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000051f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[28])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000051e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[27])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000051d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[26])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000051c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[25])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000051b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[24])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000051a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[23])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000519 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[22])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000518 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[21])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000517 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[20])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000516 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[19])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000515 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[18])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000514 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[17])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000513 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[16])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000512 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[15])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000511 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[14])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003b0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000510 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[13])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003af (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000050f ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[12])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ae (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000050e ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[11])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000050d ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[10])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000050c ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[9])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000050b ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[8])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000050a ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[7])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000509 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[6])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000508 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[5])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000507 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[4])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000506 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[3])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000505 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[2])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000504 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[1])
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000503 ),
+ .R(sclr),
+ .Q(NlwRenamedSig_OI_dout_1[0])
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000003a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000502 ),
+ .Q(\blk00000003/sig000001df )
+ );
+ MUXCY_L \blk00000003/blk000003a1 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000501 ),
+ .S(\blk00000003/sig000004ef ),
+ .LO(\blk00000003/sig000004fa )
+ );
+ MUXCY_L \blk00000003/blk000003a0 (
+ .CI(\blk00000003/sig000004fa ),
+ .DI(\blk00000003/sig00000500 ),
+ .S(\blk00000003/sig000004fb ),
+ .LO(\blk00000003/sig000004f7 )
+ );
+ MUXCY_L \blk00000003/blk0000039f (
+ .CI(\blk00000003/sig000004f7 ),
+ .DI(\blk00000003/sig000004ff ),
+ .S(\blk00000003/sig000004f8 ),
+ .LO(\blk00000003/sig000004f4 )
+ );
+ MUXCY_L \blk00000003/blk0000039e (
+ .CI(\blk00000003/sig000004f4 ),
+ .DI(\blk00000003/sig000004fe ),
+ .S(\blk00000003/sig000004f5 ),
+ .LO(\blk00000003/sig000004f1 )
+ );
+ MUXCY_D \blk00000003/blk0000039d (
+ .CI(\blk00000003/sig000004f1 ),
+ .DI(\blk00000003/sig000004fd ),
+ .S(\blk00000003/sig000004f2 ),
+ .O(\NLW_blk00000003/blk0000039d_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk0000039d_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk0000039c (
+ .CI(\blk00000003/sig000004fa ),
+ .LI(\blk00000003/sig000004fb ),
+ .O(\blk00000003/sig000004fc )
+ );
+ XORCY \blk00000003/blk0000039b (
+ .CI(\blk00000003/sig000004f7 ),
+ .LI(\blk00000003/sig000004f8 ),
+ .O(\blk00000003/sig000004f9 )
+ );
+ XORCY \blk00000003/blk0000039a (
+ .CI(\blk00000003/sig000004f4 ),
+ .LI(\blk00000003/sig000004f5 ),
+ .O(\blk00000003/sig000004f6 )
+ );
+ XORCY \blk00000003/blk00000399 (
+ .CI(\blk00000003/sig000004f1 ),
+ .LI(\blk00000003/sig000004f2 ),
+ .O(\blk00000003/sig000004f3 )
+ );
+ XORCY \blk00000003/blk00000398 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000004ef ),
+ .O(\blk00000003/sig000004f0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000370 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003dd ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000450 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000036f (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003dc ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000044f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000036e (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003db ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000044e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000036d (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003da ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000044d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000036c (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000044c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000036b (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000044b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000036a (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000044a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000369 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000449 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000368 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000448 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000367 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000447 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000366 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000446 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000365 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000445 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000364 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000444 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000363 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003d0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000443 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000362 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003cf ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000442 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000361 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003ce ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000441 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000360 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003cd ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000440 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000035f (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003cc ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000043f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000035e (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003cb ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000043e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000035d (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003ca ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000043d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000035c (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003c9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000043c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000035b (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003c8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000043b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000035a (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003c7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000043a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000359 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003c6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000439 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000358 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig0000040d ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000468 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000357 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig0000040c ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000467 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000356 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig0000040b ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000466 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000355 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig0000040a ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000465 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000354 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000409 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000464 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000353 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000408 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000463 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000352 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000407 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000462 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000351 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000406 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000461 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000350 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000405 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000460 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000034f (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000404 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000045f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000034e (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000403 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000045e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000034d (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000402 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000045d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000034c (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000401 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000045c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000034b (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig00000400 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000045b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000034a (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003ff ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000045a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000349 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003fe ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000459 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000348 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003fd ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000458 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000347 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003fc ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000457 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000346 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003fb ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000456 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000345 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003fa ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000455 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000344 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003f9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000454 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000343 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003f8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000453 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000342 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003f7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000452 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000341 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ee ),
+ .D(\blk00000003/sig000003f6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000451 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000340 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003f5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000498 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000033f (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003f4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000497 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000033e (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003f3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000496 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000033d (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003f2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000495 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000033c (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003f1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000494 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000033b (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003f0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000493 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000033a (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003ef ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000492 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000339 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003ee ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000491 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000338 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003ed ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000490 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000337 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003ec ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000048f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000336 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003eb ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000048e )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000335 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003ea ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000048d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000334 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000048c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000333 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000048b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000332 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000048a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000331 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000489 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000330 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000488 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000032f (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000487 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000032e (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000486 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000032d (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e2 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000485 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000032c (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000484 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000032b (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003e0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000483 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000032a (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003df ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000482 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000329 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig000003de ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000481 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000328 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000425 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000327 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000424 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000326 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000423 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000325 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000422 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000324 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000421 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000323 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000420 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000322 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000041f ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000321 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000041e ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000320 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000041d ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004c0 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031f (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000041c ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004bf )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031e (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000041b ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004be )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031d (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000041a ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004bd )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031c (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000419 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004bc )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031b (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000418 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004bb )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000031a (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000417 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004ba )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000319 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000416 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b9 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000318 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000415 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000317 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000414 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000316 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000413 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000315 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000412 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b5 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000314 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000411 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000313 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig00000410 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000312 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000040f ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000311 (
+ .C(clk),
+ .CE(\blk00000003/sig000004ed ),
+ .D(\blk00000003/sig0000040e ),
+ .R(sclr),
+ .Q(\blk00000003/sig000004b1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000118 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002d1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000438 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000117 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002d0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000437 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000116 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002cf ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000436 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000115 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000434 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000435 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000114 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002d3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000433 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000113 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002d7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000432 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000112 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002da ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000431 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000111 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002e1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig00000430 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000110 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000042e ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000042f )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000042d )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000042c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002c4 ),
+ .R(sclr),
+ .Q(\blk00000003/sig0000042b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000234 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000042a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000275 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000429 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000010a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000271 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000428 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000109 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000427 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000108 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000026a ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000426 )
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000107 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000107_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000107_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000107_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000107_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000107_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000107_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000107_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ae }),
+ .PCIN({\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 ,
+\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d ,
+\blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 ,
+\blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , \blk00000003/sig00000397 ,
+\blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , \blk00000003/sig0000039c ,
+\blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , \blk00000003/sig000003a1 ,
+\blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 ,
+\blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab ,
+\blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 ,
+\blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000107_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 ,
+\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd ,
+\blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 ,
+\blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 }),
+ .BCOUT({\NLW_blk00000003/blk00000107_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000107_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000003f6 , \blk00000003/sig000003f6 , \blk00000003/sig000003f7 , \blk00000003/sig000003f8 , \blk00000003/sig000003f9 ,
+\blk00000003/sig000003fa , \blk00000003/sig000003fb , \blk00000003/sig000003fc , \blk00000003/sig000003fd , \blk00000003/sig000003fe ,
+\blk00000003/sig000003ff , \blk00000003/sig00000400 , \blk00000003/sig00000401 , \blk00000003/sig00000402 , \blk00000003/sig00000403 ,
+\blk00000003/sig00000404 , \blk00000003/sig00000405 , \blk00000003/sig00000406 , \blk00000003/sig00000407 , \blk00000003/sig00000408 ,
+\blk00000003/sig00000409 , \blk00000003/sig0000040a , \blk00000003/sig0000040b , \blk00000003/sig0000040c , \blk00000003/sig0000040d }),
+ .P({\NLW_blk00000003/blk00000107_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000107_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk00000107_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040e ,
+\blk00000003/sig0000040e , \blk00000003/sig0000040e , \blk00000003/sig0000040f , \blk00000003/sig00000410 , \blk00000003/sig00000411 ,
+\blk00000003/sig00000412 , \blk00000003/sig00000413 , \blk00000003/sig00000414 , \blk00000003/sig00000415 , \blk00000003/sig00000416 ,
+\blk00000003/sig00000417 , \blk00000003/sig00000418 , \blk00000003/sig00000419 , \blk00000003/sig0000041a , \blk00000003/sig0000041b ,
+\blk00000003/sig0000041c , \blk00000003/sig0000041d , \blk00000003/sig0000041e , \blk00000003/sig0000041f , \blk00000003/sig00000420 ,
+\blk00000003/sig00000421 , \blk00000003/sig00000422 , \blk00000003/sig00000423 , \blk00000003/sig00000424 , \blk00000003/sig00000425 }),
+ .PCOUT({\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 ,
+\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb ,
+\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 ,
+\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 ,
+\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da ,
+\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df ,
+\blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 ,
+\blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 ,
+\blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee ,
+\blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000106 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000106_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000106_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000106_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000106_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000106_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000106_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000106_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ae }),
+ .PCIN({\blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 ,
+\blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d ,
+\blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 ,
+\blk00000003/sig00000333 , \blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 ,
+\blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c ,
+\blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 ,
+\blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 ,
+\blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b ,
+\blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 ,
+\blk00000003/sig00000351 , \blk00000003/sig00000352 , \blk00000003/sig00000353 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000106_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000003b4 , \blk00000003/sig000003b5 , \blk00000003/sig000003b6 , \blk00000003/sig000003b7 , \blk00000003/sig000003b8 ,
+\blk00000003/sig000003b9 , \blk00000003/sig000003ba , \blk00000003/sig000003bb , \blk00000003/sig000003bc , \blk00000003/sig000003bd ,
+\blk00000003/sig000003be , \blk00000003/sig000003bf , \blk00000003/sig000003c0 , \blk00000003/sig000003c1 , \blk00000003/sig000003c2 ,
+\blk00000003/sig000003c3 , \blk00000003/sig000003c4 , \blk00000003/sig000003c5 }),
+ .BCOUT({\NLW_blk00000003/blk00000106_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000106_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000003c6 , \blk00000003/sig000003c6 , \blk00000003/sig000003c7 , \blk00000003/sig000003c8 , \blk00000003/sig000003c9 ,
+\blk00000003/sig000003ca , \blk00000003/sig000003cb , \blk00000003/sig000003cc , \blk00000003/sig000003cd , \blk00000003/sig000003ce ,
+\blk00000003/sig000003cf , \blk00000003/sig000003d0 , \blk00000003/sig000003d1 , \blk00000003/sig000003d2 , \blk00000003/sig000003d3 ,
+\blk00000003/sig000003d4 , \blk00000003/sig000003d5 , \blk00000003/sig000003d6 , \blk00000003/sig000003d7 , \blk00000003/sig000003d8 ,
+\blk00000003/sig000003d9 , \blk00000003/sig000003da , \blk00000003/sig000003db , \blk00000003/sig000003dc , \blk00000003/sig000003dd }),
+ .P({\NLW_blk00000003/blk00000106_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000106_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk00000106_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003de ,
+\blk00000003/sig000003de , \blk00000003/sig000003de , \blk00000003/sig000003df , \blk00000003/sig000003e0 , \blk00000003/sig000003e1 ,
+\blk00000003/sig000003e2 , \blk00000003/sig000003e3 , \blk00000003/sig000003e4 , \blk00000003/sig000003e5 , \blk00000003/sig000003e6 ,
+\blk00000003/sig000003e7 , \blk00000003/sig000003e8 , \blk00000003/sig000003e9 , \blk00000003/sig000003ea , \blk00000003/sig000003eb ,
+\blk00000003/sig000003ec , \blk00000003/sig000003ed , \blk00000003/sig000003ee , \blk00000003/sig000003ef , \blk00000003/sig000003f0 ,
+\blk00000003/sig000003f1 , \blk00000003/sig000003f2 , \blk00000003/sig000003f3 , \blk00000003/sig000003f4 , \blk00000003/sig000003f5 }),
+ .PCOUT({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 ,
+\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 ,
+\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a ,
+\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f ,
+\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 ,
+\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 ,
+\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e ,
+\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 ,
+\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 ,
+\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000105 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000105_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000105_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000105_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000105_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000105_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000105_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000105_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ae }),
+ .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000105_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 ,
+\blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb ,
+\blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 ,
+\blk00000003/sig000002f1 , \blk00000003/sig000002f2 , \blk00000003/sig000002f3 }),
+ .BCOUT({\NLW_blk00000003/blk00000105_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000105_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000354 , \blk00000003/sig00000354 , \blk00000003/sig00000355 , \blk00000003/sig00000356 , \blk00000003/sig00000357 ,
+\blk00000003/sig00000358 , \blk00000003/sig00000359 , \blk00000003/sig0000035a , \blk00000003/sig0000035b , \blk00000003/sig0000035c ,
+\blk00000003/sig0000035d , \blk00000003/sig0000035e , \blk00000003/sig0000035f , \blk00000003/sig00000360 , \blk00000003/sig00000361 ,
+\blk00000003/sig00000362 , \blk00000003/sig00000363 , \blk00000003/sig00000364 , \blk00000003/sig00000365 , \blk00000003/sig00000366 ,
+\blk00000003/sig00000367 , \blk00000003/sig00000368 , \blk00000003/sig00000369 , \blk00000003/sig0000036a , \blk00000003/sig0000036b }),
+ .P({\NLW_blk00000003/blk00000105_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000105_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk00000105_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036c ,
+\blk00000003/sig0000036c , \blk00000003/sig0000036c , \blk00000003/sig0000036d , \blk00000003/sig0000036e , \blk00000003/sig0000036f ,
+\blk00000003/sig00000370 , \blk00000003/sig00000371 , \blk00000003/sig00000372 , \blk00000003/sig00000373 , \blk00000003/sig00000374 ,
+\blk00000003/sig00000375 , \blk00000003/sig00000376 , \blk00000003/sig00000377 , \blk00000003/sig00000378 , \blk00000003/sig00000379 ,
+\blk00000003/sig0000037a , \blk00000003/sig0000037b , \blk00000003/sig0000037c , \blk00000003/sig0000037d , \blk00000003/sig0000037e ,
+\blk00000003/sig0000037f , \blk00000003/sig00000380 , \blk00000003/sig00000381 , \blk00000003/sig00000382 , \blk00000003/sig00000383 }),
+ .PCOUT({\blk00000003/sig00000384 , \blk00000003/sig00000385 , \blk00000003/sig00000386 , \blk00000003/sig00000387 , \blk00000003/sig00000388 ,
+\blk00000003/sig00000389 , \blk00000003/sig0000038a , \blk00000003/sig0000038b , \blk00000003/sig0000038c , \blk00000003/sig0000038d ,
+\blk00000003/sig0000038e , \blk00000003/sig0000038f , \blk00000003/sig00000390 , \blk00000003/sig00000391 , \blk00000003/sig00000392 ,
+\blk00000003/sig00000393 , \blk00000003/sig00000394 , \blk00000003/sig00000395 , \blk00000003/sig00000396 , \blk00000003/sig00000397 ,
+\blk00000003/sig00000398 , \blk00000003/sig00000399 , \blk00000003/sig0000039a , \blk00000003/sig0000039b , \blk00000003/sig0000039c ,
+\blk00000003/sig0000039d , \blk00000003/sig0000039e , \blk00000003/sig0000039f , \blk00000003/sig000003a0 , \blk00000003/sig000003a1 ,
+\blk00000003/sig000003a2 , \blk00000003/sig000003a3 , \blk00000003/sig000003a4 , \blk00000003/sig000003a5 , \blk00000003/sig000003a6 ,
+\blk00000003/sig000003a7 , \blk00000003/sig000003a8 , \blk00000003/sig000003a9 , \blk00000003/sig000003aa , \blk00000003/sig000003ab ,
+\blk00000003/sig000003ac , \blk00000003/sig000003ad , \blk00000003/sig000003ae , \blk00000003/sig000003af , \blk00000003/sig000003b0 ,
+\blk00000003/sig000003b1 , \blk00000003/sig000003b2 , \blk00000003/sig000003b3 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 1 ),
+ .ADREG ( 1 ),
+ .ALUMODEREG ( 0 ),
+ .AREG ( 1 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 1 ),
+ .BREG ( 1 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 1 ),
+ .INMODEREG ( 1 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 0 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "TRUE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk00000104 (
+ .PATTERNBDETECT(\NLW_blk00000003/blk00000104_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(\blk00000003/sig00000049 ),
+ .CEAD(ce),
+ .MULTSIGNOUT(\NLW_blk00000003/blk00000104_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk00000104_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk00000104_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk00000104_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(ce),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(\blk00000003/sig00000049 ),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk00000104_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk00000104_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig000000ae ,
+\blk00000003/sig00000049 , \blk00000003/sig000000ae }),
+ .PCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk00000104_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000002e2 , \blk00000003/sig000002e3 , \blk00000003/sig000002e4 , \blk00000003/sig000002e5 , \blk00000003/sig000002e6 ,
+\blk00000003/sig000002e7 , \blk00000003/sig000002e8 , \blk00000003/sig000002e9 , \blk00000003/sig000002ea , \blk00000003/sig000002eb ,
+\blk00000003/sig000002ec , \blk00000003/sig000002ed , \blk00000003/sig000002ee , \blk00000003/sig000002ef , \blk00000003/sig000002f0 ,
+\blk00000003/sig000002f1 , \blk00000003/sig000002f2 , \blk00000003/sig000002f3 }),
+ .BCOUT({\NLW_blk00000003/blk00000104_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk00000104_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig000002f4 , \blk00000003/sig000002f4 , \blk00000003/sig000002f5 , \blk00000003/sig000002f6 , \blk00000003/sig000002f7 ,
+\blk00000003/sig000002f8 , \blk00000003/sig000002f9 , \blk00000003/sig000002fa , \blk00000003/sig000002fb , \blk00000003/sig000002fc ,
+\blk00000003/sig000002fd , \blk00000003/sig000002fe , \blk00000003/sig000002ff , \blk00000003/sig00000300 , \blk00000003/sig00000301 ,
+\blk00000003/sig00000302 , \blk00000003/sig00000303 , \blk00000003/sig00000304 , \blk00000003/sig00000305 , \blk00000003/sig00000306 ,
+\blk00000003/sig00000307 , \blk00000003/sig00000308 , \blk00000003/sig00000309 , \blk00000003/sig0000030a , \blk00000003/sig0000030b }),
+ .P({\NLW_blk00000003/blk00000104_P<47>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<46>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<45>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<44>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<43>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<42>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<41>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<40>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<39>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<38>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<37>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<36>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<35>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<34>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<33>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<32>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<31>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<30>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<29>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<28>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<27>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<26>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<25>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<24>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<23>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<22>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<21>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<20>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<19>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<18>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<17>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<16>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<15>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<14>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<13>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<12>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<11>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<10>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<9>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<8>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<7>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<6>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<5>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<4>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<3>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<2>_UNCONNECTED , \NLW_blk00000003/blk00000104_P<1>_UNCONNECTED ,
+\NLW_blk00000003/blk00000104_P<0>_UNCONNECTED }),
+ .A({\blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030c ,
+\blk00000003/sig0000030c , \blk00000003/sig0000030c , \blk00000003/sig0000030d , \blk00000003/sig0000030e , \blk00000003/sig0000030f ,
+\blk00000003/sig00000310 , \blk00000003/sig00000311 , \blk00000003/sig00000312 , \blk00000003/sig00000313 , \blk00000003/sig00000314 ,
+\blk00000003/sig00000315 , \blk00000003/sig00000316 , \blk00000003/sig00000317 , \blk00000003/sig00000318 , \blk00000003/sig00000319 ,
+\blk00000003/sig0000031a , \blk00000003/sig0000031b , \blk00000003/sig0000031c , \blk00000003/sig0000031d , \blk00000003/sig0000031e ,
+\blk00000003/sig0000031f , \blk00000003/sig00000320 , \blk00000003/sig00000321 , \blk00000003/sig00000322 , \blk00000003/sig00000323 }),
+ .PCOUT({\blk00000003/sig00000324 , \blk00000003/sig00000325 , \blk00000003/sig00000326 , \blk00000003/sig00000327 , \blk00000003/sig00000328 ,
+\blk00000003/sig00000329 , \blk00000003/sig0000032a , \blk00000003/sig0000032b , \blk00000003/sig0000032c , \blk00000003/sig0000032d ,
+\blk00000003/sig0000032e , \blk00000003/sig0000032f , \blk00000003/sig00000330 , \blk00000003/sig00000331 , \blk00000003/sig00000332 ,
+\blk00000003/sig00000333 , \blk00000003/sig00000334 , \blk00000003/sig00000335 , \blk00000003/sig00000336 , \blk00000003/sig00000337 ,
+\blk00000003/sig00000338 , \blk00000003/sig00000339 , \blk00000003/sig0000033a , \blk00000003/sig0000033b , \blk00000003/sig0000033c ,
+\blk00000003/sig0000033d , \blk00000003/sig0000033e , \blk00000003/sig0000033f , \blk00000003/sig00000340 , \blk00000003/sig00000341 ,
+\blk00000003/sig00000342 , \blk00000003/sig00000343 , \blk00000003/sig00000344 , \blk00000003/sig00000345 , \blk00000003/sig00000346 ,
+\blk00000003/sig00000347 , \blk00000003/sig00000348 , \blk00000003/sig00000349 , \blk00000003/sig0000034a , \blk00000003/sig0000034b ,
+\blk00000003/sig0000034c , \blk00000003/sig0000034d , \blk00000003/sig0000034e , \blk00000003/sig0000034f , \blk00000003/sig00000350 ,
+\blk00000003/sig00000351 , \blk00000003/sig00000352 , \blk00000003/sig00000353 }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000103 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001ce ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000002e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000102 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002df ),
+ .Q(\blk00000003/sig000002e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000101 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002de ),
+ .Q(\blk00000003/sig000002d2 )
+ );
+ XORCY \blk00000003/blk00000100 (
+ .CI(\blk00000003/sig000002d9 ),
+ .LI(\blk00000003/sig000002db ),
+ .O(\blk00000003/sig000002dd )
+ );
+ XORCY \blk00000003/blk000000ff (
+ .CI(\blk00000003/sig000002d5 ),
+ .LI(\blk00000003/sig000002d8 ),
+ .O(\blk00000003/sig000002dc )
+ );
+ MUXCY_D \blk00000003/blk000000fe (
+ .CI(\blk00000003/sig000002d9 ),
+ .DI(\blk00000003/sig000002da ),
+ .S(\blk00000003/sig000002db ),
+ .O(\NLW_blk00000003/blk000000fe_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000fe_LO_UNCONNECTED )
+ );
+ MUXCY_L \blk00000003/blk000000fd (
+ .CI(\blk00000003/sig000002d5 ),
+ .DI(\blk00000003/sig000002d7 ),
+ .S(\blk00000003/sig000002d8 ),
+ .LO(\blk00000003/sig000002d9 )
+ );
+ XORCY \blk00000003/blk000000fc (
+ .CI(\blk00000003/sig000002d2 ),
+ .LI(\blk00000003/sig000002d4 ),
+ .O(\blk00000003/sig000002d6 )
+ );
+ MUXCY_L \blk00000003/blk000000fb (
+ .CI(\blk00000003/sig000002d2 ),
+ .DI(\blk00000003/sig000002d3 ),
+ .S(\blk00000003/sig000002d4 ),
+ .LO(\blk00000003/sig000002d5 )
+ );
+ MUXCY_L \blk00000003/blk000000fa (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000002d1 ),
+ .S(\blk00000003/sig000002c7 ),
+ .LO(\blk00000003/sig000002cc )
+ );
+ MUXCY_L \blk00000003/blk000000f9 (
+ .CI(\blk00000003/sig000002cc ),
+ .DI(\blk00000003/sig000002d0 ),
+ .S(\blk00000003/sig000002cd ),
+ .LO(\blk00000003/sig000002c9 )
+ );
+ MUXCY_D \blk00000003/blk000000f8 (
+ .CI(\blk00000003/sig000002c9 ),
+ .DI(\blk00000003/sig000002cf ),
+ .S(\blk00000003/sig000002ca ),
+ .O(\NLW_blk00000003/blk000000f8_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000f8_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000f7 (
+ .CI(\blk00000003/sig000002cc ),
+ .LI(\blk00000003/sig000002cd ),
+ .O(\blk00000003/sig000002ce )
+ );
+ XORCY \blk00000003/blk000000f6 (
+ .CI(\blk00000003/sig000002c9 ),
+ .LI(\blk00000003/sig000002ca ),
+ .O(\blk00000003/sig000002cb )
+ );
+ XORCY \blk00000003/blk000000f5 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000002c7 ),
+ .O(\blk00000003/sig000002c8 )
+ );
+ MUXCY_L \blk00000003/blk000000f4 (
+ .CI(\blk00000003/sig000002ba ),
+ .DI(\blk00000003/sig000002c6 ),
+ .S(\blk00000003/sig000002bb ),
+ .LO(\blk00000003/sig000002c0 )
+ );
+ MUXCY_L \blk00000003/blk000000f3 (
+ .CI(\blk00000003/sig000002c0 ),
+ .DI(\blk00000003/sig000002c5 ),
+ .S(\blk00000003/sig000002c1 ),
+ .LO(\blk00000003/sig000002bd )
+ );
+ MUXCY_D \blk00000003/blk000000f2 (
+ .CI(\blk00000003/sig000002bd ),
+ .DI(\blk00000003/sig000002c4 ),
+ .S(\blk00000003/sig000002be ),
+ .O(\NLW_blk00000003/blk000000f2_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000f2_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000f1 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ae ),
+ .S(\blk00000003/sig000002c3 ),
+ .O(\blk00000003/sig000002ba )
+ );
+ XORCY \blk00000003/blk000000f0 (
+ .CI(\blk00000003/sig000002c0 ),
+ .LI(\blk00000003/sig000002c1 ),
+ .O(\blk00000003/sig000002c2 )
+ );
+ XORCY \blk00000003/blk000000ef (
+ .CI(\blk00000003/sig000002bd ),
+ .LI(\blk00000003/sig000002be ),
+ .O(\blk00000003/sig000002bf )
+ );
+ XORCY \blk00000003/blk000000ee (
+ .CI(\blk00000003/sig000002ba ),
+ .LI(\blk00000003/sig000002bb ),
+ .O(\blk00000003/sig000002bc )
+ );
+ FDE \blk00000003/blk000000ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002b8 ),
+ .Q(\blk00000003/sig000002b9 )
+ );
+ MUXCY_L \blk00000003/blk000000ec (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000002b7 ),
+ .S(\blk00000003/sig000002b1 ),
+ .LO(\blk00000003/sig000002b3 )
+ );
+ MUXCY_D \blk00000003/blk000000eb (
+ .CI(\blk00000003/sig000002b3 ),
+ .DI(\blk00000003/sig000002b6 ),
+ .S(\blk00000003/sig000002b4 ),
+ .O(\NLW_blk00000003/blk000000eb_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000eb_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000ea (
+ .CI(\blk00000003/sig000002b3 ),
+ .LI(\blk00000003/sig000002b4 ),
+ .O(\blk00000003/sig000002b5 )
+ );
+ XORCY \blk00000003/blk000000e9 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig000002b1 ),
+ .O(\blk00000003/sig000002b2 )
+ );
+ MUXCY_L \blk00000003/blk000000e8 (
+ .CI(\blk00000003/sig000002aa ),
+ .DI(\blk00000003/sig000001e9 ),
+ .S(\blk00000003/sig000002ab ),
+ .LO(\blk00000003/sig000002ad )
+ );
+ MUXCY_D \blk00000003/blk000000e7 (
+ .CI(\blk00000003/sig000002ad ),
+ .DI(\blk00000003/sig000001e8 ),
+ .S(\blk00000003/sig000002ae ),
+ .O(\NLW_blk00000003/blk000000e7_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000e7_LO_UNCONNECTED )
+ );
+ MUXCY \blk00000003/blk000000e6 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ae ),
+ .S(\blk00000003/sig000002b0 ),
+ .O(\blk00000003/sig000002aa )
+ );
+ XORCY \blk00000003/blk000000e5 (
+ .CI(\blk00000003/sig000002ad ),
+ .LI(\blk00000003/sig000002ae ),
+ .O(\blk00000003/sig000002af )
+ );
+ XORCY \blk00000003/blk000000e4 (
+ .CI(\blk00000003/sig000002aa ),
+ .LI(\blk00000003/sig000002ab ),
+ .O(\blk00000003/sig000002ac )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000e3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a8 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000002a9 )
+ );
+ MUXCY_D \blk00000003/blk000000e2 (
+ .CI(\blk00000003/sig000002a5 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000002a7 ),
+ .O(\NLW_blk00000003/blk000000e2_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000002a8 )
+ );
+ MUXCY_D \blk00000003/blk000000e1 (
+ .CI(\blk00000003/sig000000ae ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000002a6 ),
+ .O(\blk00000003/sig000002a3 ),
+ .LO(\NLW_blk00000003/blk000000e1_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000e0 (
+ .CI(\blk00000003/sig000002a3 ),
+ .DI(\blk00000003/sig000002a2 ),
+ .S(\blk00000003/sig000002a4 ),
+ .O(\blk00000003/sig000002a5 ),
+ .LO(\blk00000003/sig000002a1 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000df (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000002a1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000002a2 )
+ );
+ MUXCY_L \blk00000003/blk000000de (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000002a0 ),
+ .S(\blk00000003/sig0000029a ),
+ .LO(\blk00000003/sig0000029c )
+ );
+ MUXCY_D \blk00000003/blk000000dd (
+ .CI(\blk00000003/sig0000029c ),
+ .DI(\blk00000003/sig0000029f ),
+ .S(\blk00000003/sig0000029d ),
+ .O(\NLW_blk00000003/blk000000dd_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000dd_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000dc (
+ .CI(\blk00000003/sig0000029c ),
+ .LI(\blk00000003/sig0000029d ),
+ .O(\blk00000003/sig0000029e )
+ );
+ XORCY \blk00000003/blk000000db (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig0000029a ),
+ .O(\blk00000003/sig0000029b )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000000da (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000028b ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000221 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000d9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000028a ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021e )
+ );
+ MUXCY_D \blk00000003/blk000000d8 (
+ .CI(\blk00000003/sig0000021e ),
+ .DI(\blk00000003/sig00000298 ),
+ .S(\blk00000003/sig00000299 ),
+ .O(\blk00000003/sig00000295 ),
+ .LO(\NLW_blk00000003/blk000000d8_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d7 (
+ .CI(\blk00000003/sig00000295 ),
+ .DI(\blk00000003/sig00000296 ),
+ .S(\blk00000003/sig00000297 ),
+ .O(\blk00000003/sig00000293 ),
+ .LO(\NLW_blk00000003/blk000000d7_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d6 (
+ .CI(\blk00000003/sig00000293 ),
+ .DI(\blk00000003/sig00000289 ),
+ .S(\blk00000003/sig00000294 ),
+ .O(\blk00000003/sig00000290 ),
+ .LO(\NLW_blk00000003/blk000000d6_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d5 (
+ .CI(\blk00000003/sig00000290 ),
+ .DI(\blk00000003/sig00000291 ),
+ .S(\blk00000003/sig00000292 ),
+ .O(\blk00000003/sig0000028e ),
+ .LO(\NLW_blk00000003/blk000000d5_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d4 (
+ .CI(\blk00000003/sig0000028e ),
+ .DI(\blk00000003/sig00000246 ),
+ .S(\blk00000003/sig0000028f ),
+ .O(\blk00000003/sig0000028c ),
+ .LO(\NLW_blk00000003/blk000000d4_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000d3 (
+ .CI(\blk00000003/sig0000028c ),
+ .DI(\blk00000003/sig0000021b ),
+ .S(\blk00000003/sig0000028d ),
+ .O(\NLW_blk00000003/blk000000d3_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000028a )
+ );
+ XORCY \blk00000003/blk000000d2 (
+ .CI(\blk00000003/sig0000028a ),
+ .LI(\blk00000003/sig000000ae ),
+ .O(\blk00000003/sig0000028b )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk000000d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000279 ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000289 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000278 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig000001ce )
+ );
+ MUXCY_D \blk00000003/blk000000cf (
+ .CI(\blk00000003/sig000001ce ),
+ .DI(\blk00000003/sig00000287 ),
+ .S(\blk00000003/sig00000288 ),
+ .O(\blk00000003/sig00000285 ),
+ .LO(\NLW_blk00000003/blk000000cf_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000ce (
+ .CI(\blk00000003/sig00000285 ),
+ .DI(\blk00000003/sig000001cf ),
+ .S(\blk00000003/sig00000286 ),
+ .O(\blk00000003/sig00000283 ),
+ .LO(\NLW_blk00000003/blk000000ce_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cd (
+ .CI(\blk00000003/sig00000283 ),
+ .DI(\blk00000003/sig000001ce ),
+ .S(\blk00000003/sig00000284 ),
+ .O(\blk00000003/sig00000280 ),
+ .LO(\NLW_blk00000003/blk000000cd_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cc (
+ .CI(\blk00000003/sig00000280 ),
+ .DI(\blk00000003/sig00000281 ),
+ .S(\blk00000003/sig00000282 ),
+ .O(\blk00000003/sig0000027e ),
+ .LO(\NLW_blk00000003/blk000000cc_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000cb (
+ .CI(\blk00000003/sig0000027e ),
+ .DI(\blk00000003/sig00000221 ),
+ .S(\blk00000003/sig0000027f ),
+ .O(\blk00000003/sig0000027a ),
+ .LO(\NLW_blk00000003/blk000000cb_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk000000ca (
+ .CI(\blk00000003/sig0000027c ),
+ .DI(\blk00000003/sig00000221 ),
+ .S(\blk00000003/sig0000027d ),
+ .O(\NLW_blk00000003/blk000000ca_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000278 )
+ );
+ MUXCY_D \blk00000003/blk000000c9 (
+ .CI(\blk00000003/sig0000027a ),
+ .DI(\blk00000003/sig0000023b ),
+ .S(\blk00000003/sig0000027b ),
+ .O(\blk00000003/sig0000027c ),
+ .LO(\NLW_blk00000003/blk000000c9_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000c8 (
+ .CI(\blk00000003/sig00000278 ),
+ .LI(\blk00000003/sig000000ae ),
+ .O(\blk00000003/sig00000279 )
+ );
+ FDE \blk00000003/blk000000c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000276 ),
+ .Q(\blk00000003/sig00000277 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000275 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024c ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000269 )
+ );
+ XORCY \blk00000003/blk000000c4 (
+ .CI(\blk00000003/sig00000270 ),
+ .LI(\blk00000003/sig00000272 ),
+ .O(\blk00000003/sig00000274 )
+ );
+ XORCY \blk00000003/blk000000c3 (
+ .CI(\blk00000003/sig0000026c ),
+ .LI(\blk00000003/sig0000026f ),
+ .O(\blk00000003/sig00000273 )
+ );
+ MUXCY_D \blk00000003/blk000000c2 (
+ .CI(\blk00000003/sig00000270 ),
+ .DI(\blk00000003/sig00000271 ),
+ .S(\blk00000003/sig00000272 ),
+ .O(\NLW_blk00000003/blk000000c2_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000c2_LO_UNCONNECTED )
+ );
+ MUXCY_L \blk00000003/blk000000c1 (
+ .CI(\blk00000003/sig0000026c ),
+ .DI(\blk00000003/sig0000026e ),
+ .S(\blk00000003/sig0000026f ),
+ .LO(\blk00000003/sig00000270 )
+ );
+ XORCY \blk00000003/blk000000c0 (
+ .CI(\blk00000003/sig00000269 ),
+ .LI(\blk00000003/sig0000026b ),
+ .O(\blk00000003/sig0000026d )
+ );
+ MUXCY_L \blk00000003/blk000000bf (
+ .CI(\blk00000003/sig00000269 ),
+ .DI(\blk00000003/sig0000026a ),
+ .S(\blk00000003/sig0000026b ),
+ .LO(\blk00000003/sig0000026c )
+ );
+ MUXCY \blk00000003/blk000000be (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig000000ae ),
+ .S(\blk00000003/sig00000268 ),
+ .O(\blk00000003/sig00000264 )
+ );
+ MUXCY_D \blk00000003/blk000000bd (
+ .CI(\blk00000003/sig00000264 ),
+ .DI(\blk00000003/sig00000267 ),
+ .S(\blk00000003/sig00000265 ),
+ .O(\NLW_blk00000003/blk000000bd_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000bd_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000bc (
+ .CI(\blk00000003/sig00000264 ),
+ .LI(\blk00000003/sig00000265 ),
+ .O(\blk00000003/sig00000266 )
+ );
+ MUXCY_L \blk00000003/blk000000bb (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000263 ),
+ .S(\blk00000003/sig00000261 ),
+ .LO(\blk00000003/sig0000025c )
+ );
+ XORCY \blk00000003/blk000000ba (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000261 ),
+ .O(\blk00000003/sig00000262 )
+ );
+ MUXCY_L \blk00000003/blk000000b9 (
+ .CI(\blk00000003/sig0000025c ),
+ .DI(\blk00000003/sig00000260 ),
+ .S(\blk00000003/sig0000025d ),
+ .LO(\blk00000003/sig00000259 )
+ );
+ MUXCY_D \blk00000003/blk000000b8 (
+ .CI(\blk00000003/sig00000259 ),
+ .DI(\blk00000003/sig0000025f ),
+ .S(\blk00000003/sig0000025a ),
+ .O(\NLW_blk00000003/blk000000b8_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000b8_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000b7 (
+ .CI(\blk00000003/sig0000025c ),
+ .LI(\blk00000003/sig0000025d ),
+ .O(\blk00000003/sig0000025e )
+ );
+ XORCY \blk00000003/blk000000b6 (
+ .CI(\blk00000003/sig00000259 ),
+ .LI(\blk00000003/sig0000025a ),
+ .O(\blk00000003/sig0000025b )
+ );
+ MUXCY_L \blk00000003/blk000000b5 (
+ .CI(\blk00000003/sig00000049 ),
+ .DI(\blk00000003/sig00000258 ),
+ .S(\blk00000003/sig00000256 ),
+ .LO(\blk00000003/sig00000251 )
+ );
+ XORCY \blk00000003/blk000000b4 (
+ .CI(\blk00000003/sig00000049 ),
+ .LI(\blk00000003/sig00000256 ),
+ .O(\blk00000003/sig00000257 )
+ );
+ MUXCY_L \blk00000003/blk000000b3 (
+ .CI(\blk00000003/sig00000251 ),
+ .DI(\blk00000003/sig00000255 ),
+ .S(\blk00000003/sig00000252 ),
+ .LO(\blk00000003/sig0000024e )
+ );
+ MUXCY_D \blk00000003/blk000000b2 (
+ .CI(\blk00000003/sig0000024e ),
+ .DI(\blk00000003/sig00000254 ),
+ .S(\blk00000003/sig0000024f ),
+ .O(\NLW_blk00000003/blk000000b2_O_UNCONNECTED ),
+ .LO(\NLW_blk00000003/blk000000b2_LO_UNCONNECTED )
+ );
+ XORCY \blk00000003/blk000000b1 (
+ .CI(\blk00000003/sig00000251 ),
+ .LI(\blk00000003/sig00000252 ),
+ .O(\blk00000003/sig00000253 )
+ );
+ XORCY \blk00000003/blk000000b0 (
+ .CI(\blk00000003/sig0000024e ),
+ .LI(\blk00000003/sig0000024f ),
+ .O(\blk00000003/sig00000250 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000af (
+ .C(clk),
+ .CE(ce),
+ .D(coef_ld),
+ .Q(\blk00000003/sig0000024d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ae (
+ .C(clk),
+ .CE(ce),
+ .D(coef_we),
+ .Q(\blk00000003/sig0000024c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ad (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e2 ),
+ .Q(\blk00000003/sig00000240 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ac (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000024b ),
+ .Q(\blk00000003/sig00000233 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000ab (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000249 ),
+ .Q(\blk00000003/sig0000024a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000aa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000248 ),
+ .Q(\blk00000003/sig00000231 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000247 ),
+ .Q(\blk00000003/sig0000023e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000245 ),
+ .Q(\blk00000003/sig00000246 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000243 ),
+ .Q(\blk00000003/sig00000244 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000241 ),
+ .Q(\blk00000003/sig00000242 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000240 ),
+ .Q(\blk00000003/sig0000023c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023e ),
+ .Q(\blk00000003/sig0000023f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000023c ),
+ .Q(\blk00000003/sig0000023d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021d ),
+ .Q(\blk00000003/sig0000023b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000236 ),
+ .Q(\blk00000003/sig0000023a )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000000a0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000230 ),
+ .R(coef_ld),
+ .Q(\NLW_blk00000003/blk000000a0_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000022d ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig0000022c )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000022a ),
+ .R(coef_ld),
+ .Q(\NLW_blk00000003/blk0000009e_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000226 ),
+ .R(coef_ld),
+ .Q(\blk00000003/sig00000224 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021e ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000239 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000237 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000238 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000009a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000235 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000236 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000099 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000233 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000234 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000098 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig00000231 ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig00000232 )
+ );
+ MUXCY_D \blk00000003/blk00000097 (
+ .CI(coef_we),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig0000022f ),
+ .O(\blk00000003/sig00000228 ),
+ .LO(\blk00000003/sig00000230 )
+ );
+ MUXCY_D \blk00000003/blk00000096 (
+ .CI(\blk00000003/sig000000ae ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig0000022e ),
+ .O(\blk00000003/sig0000022b ),
+ .LO(\NLW_blk00000003/blk00000096_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000095 (
+ .CI(\blk00000003/sig0000022b ),
+ .DI(\blk00000003/sig0000022c ),
+ .S(coef_we),
+ .O(\NLW_blk00000003/blk00000095_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000022d )
+ );
+ MUXCY_D \blk00000003/blk00000094 (
+ .CI(\blk00000003/sig00000228 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000229 ),
+ .O(\NLW_blk00000003/blk00000094_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000022a )
+ );
+ MUXCY_D \blk00000003/blk00000093 (
+ .CI(\blk00000003/sig000000ae ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig00000227 ),
+ .O(\blk00000003/sig00000223 ),
+ .LO(\NLW_blk00000003/blk00000093_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk00000092 (
+ .CI(\blk00000003/sig00000223 ),
+ .DI(\blk00000003/sig00000224 ),
+ .S(\blk00000003/sig00000225 ),
+ .O(\NLW_blk00000003/blk00000092_O_UNCONNECTED ),
+ .LO(\blk00000003/sig00000226 )
+ );
+ XORCY \blk00000003/blk00000091 (
+ .CI(\blk00000003/sig0000021c ),
+ .LI(\blk00000003/sig000000ae ),
+ .O(\blk00000003/sig0000021a )
+ );
+ MUXCY_D \blk00000003/blk00000090 (
+ .CI(\blk00000003/sig00000220 ),
+ .DI(\blk00000003/sig00000221 ),
+ .S(\blk00000003/sig00000222 ),
+ .O(\NLW_blk00000003/blk00000090_O_UNCONNECTED ),
+ .LO(\blk00000003/sig0000021c )
+ );
+ MUXCY_D \blk00000003/blk0000008f (
+ .CI(\blk00000003/sig0000021d ),
+ .DI(\blk00000003/sig0000021e ),
+ .S(\blk00000003/sig0000021f ),
+ .O(\blk00000003/sig00000220 ),
+ .LO(\NLW_blk00000003/blk0000008f_LO_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000008e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021c ),
+ .R(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021d )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk0000008d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig0000021a ),
+ .S(\blk00000003/sig00000049 ),
+ .Q(\blk00000003/sig0000021b )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000029 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e6 )
+ );
+ FDR #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000028 (
+ .C(clk),
+ .D(\blk00000003/sig000000b6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000b6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000027 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e3 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000026 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e5 ),
+ .R(\blk00000003/sig000001e0 ),
+ .Q(data_valid)
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000025 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e4 )
+ );
+ FDRE \blk00000003/blk00000024 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001e1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001e2 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000023 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001df ),
+ .R(\blk00000003/sig000001e0 ),
+ .Q(rdy)
+ );
+ FDSE \blk00000003/blk00000022 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001dd ),
+ .S(sclr),
+ .Q(\blk00000003/sig000001de )
+ );
+ FDRE \blk00000003/blk00000021 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001db ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001dc )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000020 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cc ),
+ .S(sclr),
+ .Q(NlwRenamedSig_OI_rfd)
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001ca ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001da )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d9 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001c7 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001c6 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d8 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d7 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001c4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d5 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d6 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000001a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d3 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d4 )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000019 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d1 ),
+ .R(sclr),
+ .Q(\NLW_blk00000003/blk00000019_Q_UNCONNECTED )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000018 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001d1 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000001d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000017 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001cf ),
+ .Q(\blk00000003/sig000001d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000016 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000001ce ),
+ .Q(\blk00000003/sig000001cf )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000015 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000c0 ),
+ .R(sclr),
+ .Q(\blk00000003/sig000000be )
+ );
+ FDRE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000014 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000bb ),
+ .R(sclr),
+ .Q(\NLW_blk00000003/blk00000014_Q_UNCONNECTED )
+ );
+ FDSE #(
+ .INIT ( 1'b1 ))
+ \blk00000003/blk00000013 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/sig000000bc ),
+ .S(sclr),
+ .Q(\blk00000003/sig000001cd )
+ );
+ MUXCY \blk00000003/blk00000012 (
+ .CI(\blk00000003/sig000001c9 ),
+ .DI(\blk00000003/sig000000ae ),
+ .S(\blk00000003/sig000001cb ),
+ .O(\blk00000003/sig000001cc )
+ );
+ MUXCY_D \blk00000003/blk00000011 (
+ .CI(\blk00000003/sig000001c7 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000001c8 ),
+ .O(\blk00000003/sig000001c9 ),
+ .LO(\blk00000003/sig000001ca )
+ );
+ MUXCY_D \blk00000003/blk00000010 (
+ .CI(\blk00000003/sig000001c4 ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000001c5 ),
+ .O(\NLW_blk00000003/blk00000010_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000001c6 )
+ );
+ DSP48E1 #(
+ .ACASCREG ( 2 ),
+ .ADREG ( 0 ),
+ .ALUMODEREG ( 1 ),
+ .AREG ( 2 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 2 ),
+ .BREG ( 2 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 0 ),
+ .INMODEREG ( 0 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 1 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "FALSE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk0000000f (
+ .PATTERNBDETECT(\NLW_blk00000003/blk0000000f_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(ce),
+ .CEAD(\blk00000003/sig00000049 ),
+ .MULTSIGNOUT(\NLW_blk00000003/blk0000000f_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk0000000f_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk0000000f_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk0000000f_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(\blk00000003/sig00000049 ),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(ce),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk0000000f_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk0000000f_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b0 , \blk00000003/sig00000049 , \blk00000003/sig000000b4 ,
+\blk00000003/sig000000b2 , \blk00000003/sig000000b4 }),
+ .PCIN({\blk00000003/sig0000014c , \blk00000003/sig0000014d , \blk00000003/sig0000014e , \blk00000003/sig0000014f , \blk00000003/sig00000150 ,
+\blk00000003/sig00000151 , \blk00000003/sig00000152 , \blk00000003/sig00000153 , \blk00000003/sig00000154 , \blk00000003/sig00000155 ,
+\blk00000003/sig00000156 , \blk00000003/sig00000157 , \blk00000003/sig00000158 , \blk00000003/sig00000159 , \blk00000003/sig0000015a ,
+\blk00000003/sig0000015b , \blk00000003/sig0000015c , \blk00000003/sig0000015d , \blk00000003/sig0000015e , \blk00000003/sig0000015f ,
+\blk00000003/sig00000160 , \blk00000003/sig00000161 , \blk00000003/sig00000162 , \blk00000003/sig00000163 , \blk00000003/sig00000164 ,
+\blk00000003/sig00000165 , \blk00000003/sig00000166 , \blk00000003/sig00000167 , \blk00000003/sig00000168 , \blk00000003/sig00000169 ,
+\blk00000003/sig0000016a , \blk00000003/sig0000016b , \blk00000003/sig0000016c , \blk00000003/sig0000016d , \blk00000003/sig0000016e ,
+\blk00000003/sig0000016f , \blk00000003/sig00000170 , \blk00000003/sig00000171 , \blk00000003/sig00000172 , \blk00000003/sig00000173 ,
+\blk00000003/sig00000174 , \blk00000003/sig00000175 , \blk00000003/sig00000176 , \blk00000003/sig00000177 , \blk00000003/sig00000178 ,
+\blk00000003/sig00000179 , \blk00000003/sig0000017a , \blk00000003/sig0000017b }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk0000000f_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 ,
+\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb ,
+\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 ,
+\blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 }),
+ .BCOUT({\NLW_blk00000003/blk0000000f_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .P({\blk00000003/sig0000017c , \blk00000003/sig0000017d , \blk00000003/sig0000017e , \blk00000003/sig0000017f , \blk00000003/sig00000180 ,
+\blk00000003/sig00000181 , \blk00000003/sig00000182 , \blk00000003/sig00000183 , \blk00000003/sig00000184 , \blk00000003/sig00000185 ,
+\blk00000003/sig00000186 , \blk00000003/sig00000187 , \blk00000003/sig00000188 , \blk00000003/sig00000189 , \blk00000003/sig0000018a ,
+\blk00000003/sig0000018b , \blk00000003/sig0000018c , \blk00000003/sig0000018d , \blk00000003/sig0000018e , \blk00000003/sig0000018f ,
+\blk00000003/sig00000190 , \blk00000003/sig00000191 , \blk00000003/sig00000192 , \blk00000003/sig00000193 , \blk00000003/sig00000194 ,
+\blk00000003/sig00000195 , \blk00000003/sig00000196 , \blk00000003/sig00000197 , \blk00000003/sig00000198 , \blk00000003/sig00000199 ,
+\blk00000003/sig0000019a , \blk00000003/sig0000019b , \blk00000003/sig0000019c , \blk00000003/sig0000019d , \blk00000003/sig0000019e ,
+\blk00000003/sig0000019f , \blk00000003/sig000001a0 , \blk00000003/sig000001a1 , \blk00000003/sig000001a2 , \blk00000003/sig000001a3 ,
+\blk00000003/sig000001a4 , \blk00000003/sig000001a5 , \blk00000003/sig000001a6 , \blk00000003/sig000001a7 , \blk00000003/sig000001a8 ,
+\blk00000003/sig000001a9 , \blk00000003/sig000001aa , \blk00000003/sig000001ab }),
+ .A({\blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ac ,
+\blk00000003/sig000001ac , \blk00000003/sig000001ac , \blk00000003/sig000001ad , \blk00000003/sig000001ae , \blk00000003/sig000001af ,
+\blk00000003/sig000001b0 , \blk00000003/sig000001b1 , \blk00000003/sig000001b2 , \blk00000003/sig000001b3 , \blk00000003/sig000001b4 ,
+\blk00000003/sig000001b5 , \blk00000003/sig000001b6 , \blk00000003/sig000001b7 , \blk00000003/sig000001b8 , \blk00000003/sig000001b9 ,
+\blk00000003/sig000001ba , \blk00000003/sig000001bb , \blk00000003/sig000001bc , \blk00000003/sig000001bd , \blk00000003/sig000001be ,
+\blk00000003/sig000001bf , \blk00000003/sig000001c0 , \blk00000003/sig000001c1 , \blk00000003/sig000001c2 , \blk00000003/sig000001c3 }),
+ .PCOUT({\NLW_blk00000003/blk0000000f_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<46>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<44>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<42>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<40>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<38>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<36>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<34>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<32>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<30>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000f_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000f_PCOUT<0>_UNCONNECTED }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ DSP48E1 #(
+ .ACASCREG ( 2 ),
+ .ADREG ( 0 ),
+ .ALUMODEREG ( 1 ),
+ .AREG ( 2 ),
+ .AUTORESET_PATDET ( "NO_RESET" ),
+ .A_INPUT ( "DIRECT" ),
+ .BCASCREG ( 2 ),
+ .BREG ( 2 ),
+ .B_INPUT ( "DIRECT" ),
+ .CARRYINREG ( 1 ),
+ .CARRYINSELREG ( 1 ),
+ .CREG ( 1 ),
+ .DREG ( 0 ),
+ .INMODEREG ( 0 ),
+ .MASK ( 48'hFFFFFFFFFFFE ),
+ .MREG ( 1 ),
+ .OPMODEREG ( 1 ),
+ .PATTERN ( 48'h000000000000 ),
+ .PREG ( 1 ),
+ .SEL_MASK ( "MASK" ),
+ .SEL_PATTERN ( "PATTERN" ),
+ .USE_DPORT ( "FALSE" ),
+ .USE_MULT ( "MULTIPLY" ),
+ .USE_PATTERN_DETECT ( "NO_PATDET" ),
+ .USE_SIMD ( "ONE48" ))
+ \blk00000003/blk0000000e (
+ .PATTERNBDETECT(\NLW_blk00000003/blk0000000e_PATTERNBDETECT_UNCONNECTED ),
+ .RSTC(\blk00000003/sig00000049 ),
+ .CEB1(ce),
+ .CEAD(\blk00000003/sig00000049 ),
+ .MULTSIGNOUT(\NLW_blk00000003/blk0000000e_MULTSIGNOUT_UNCONNECTED ),
+ .CEC(ce),
+ .RSTM(\blk00000003/sig00000049 ),
+ .MULTSIGNIN(\blk00000003/sig00000049 ),
+ .CEB2(ce),
+ .RSTCTRL(\blk00000003/sig00000049 ),
+ .CEP(ce),
+ .CARRYCASCOUT(\NLW_blk00000003/blk0000000e_CARRYCASCOUT_UNCONNECTED ),
+ .RSTA(\blk00000003/sig00000049 ),
+ .CECARRYIN(ce),
+ .UNDERFLOW(\NLW_blk00000003/blk0000000e_UNDERFLOW_UNCONNECTED ),
+ .PATTERNDETECT(\NLW_blk00000003/blk0000000e_PATTERNDETECT_UNCONNECTED ),
+ .RSTALUMODE(\blk00000003/sig00000049 ),
+ .RSTALLCARRYIN(\blk00000003/sig00000049 ),
+ .CED(\blk00000003/sig00000049 ),
+ .RSTD(\blk00000003/sig00000049 ),
+ .CEALUMODE(ce),
+ .CEA2(ce),
+ .CLK(clk),
+ .CEA1(ce),
+ .RSTB(\blk00000003/sig00000049 ),
+ .OVERFLOW(\NLW_blk00000003/blk0000000e_OVERFLOW_UNCONNECTED ),
+ .CECTRL(ce),
+ .CEM(ce),
+ .CARRYIN(\blk00000003/sig00000049 ),
+ .CARRYCASCIN(\blk00000003/sig00000049 ),
+ .RSTINMODE(\blk00000003/sig00000049 ),
+ .CEINMODE(ce),
+ .RSTP(\blk00000003/sig00000049 ),
+ .ACOUT({\NLW_blk00000003/blk0000000e_ACOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_ACOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_ACOUT<0>_UNCONNECTED }),
+ .OPMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000b0 , \blk00000003/sig00000049 , \blk00000003/sig000000b4 ,
+\blk00000003/sig000000b2 , \blk00000003/sig000000b4 }),
+ .PCIN({\blk00000003/sig000000c2 , \blk00000003/sig000000c3 , \blk00000003/sig000000c4 , \blk00000003/sig000000c5 , \blk00000003/sig000000c6 ,
+\blk00000003/sig000000c7 , \blk00000003/sig000000c8 , \blk00000003/sig000000c9 , \blk00000003/sig000000ca , \blk00000003/sig000000cb ,
+\blk00000003/sig000000cc , \blk00000003/sig000000cd , \blk00000003/sig000000ce , \blk00000003/sig000000cf , \blk00000003/sig000000d0 ,
+\blk00000003/sig000000d1 , \blk00000003/sig000000d2 , \blk00000003/sig000000d3 , \blk00000003/sig000000d4 , \blk00000003/sig000000d5 ,
+\blk00000003/sig000000d6 , \blk00000003/sig000000d7 , \blk00000003/sig000000d8 , \blk00000003/sig000000d9 , \blk00000003/sig000000da ,
+\blk00000003/sig000000db , \blk00000003/sig000000dc , \blk00000003/sig000000dd , \blk00000003/sig000000de , \blk00000003/sig000000df ,
+\blk00000003/sig000000e0 , \blk00000003/sig000000e1 , \blk00000003/sig000000e2 , \blk00000003/sig000000e3 , \blk00000003/sig000000e4 ,
+\blk00000003/sig000000e5 , \blk00000003/sig000000e6 , \blk00000003/sig000000e7 , \blk00000003/sig000000e8 , \blk00000003/sig000000e9 ,
+\blk00000003/sig000000ea , \blk00000003/sig000000eb , \blk00000003/sig000000ec , \blk00000003/sig000000ed , \blk00000003/sig000000ee ,
+\blk00000003/sig000000ef , \blk00000003/sig000000f0 , \blk00000003/sig000000f1 }),
+ .ALUMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .C({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYOUT({\NLW_blk00000003/blk0000000e_CARRYOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_CARRYOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_CARRYOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_CARRYOUT<0>_UNCONNECTED }),
+ .INMODE({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig000000ae , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .BCIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .B({\blk00000003/sig000000f2 , \blk00000003/sig000000f3 , \blk00000003/sig000000f4 , \blk00000003/sig000000f5 , \blk00000003/sig000000f6 ,
+\blk00000003/sig000000f7 , \blk00000003/sig000000f8 , \blk00000003/sig000000f9 , \blk00000003/sig000000fa , \blk00000003/sig000000fb ,
+\blk00000003/sig000000fc , \blk00000003/sig000000fd , \blk00000003/sig000000fe , \blk00000003/sig000000ff , \blk00000003/sig00000100 ,
+\blk00000003/sig00000101 , \blk00000003/sig00000102 , \blk00000003/sig00000103 }),
+ .BCOUT({\NLW_blk00000003/blk0000000e_BCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_BCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_BCOUT<0>_UNCONNECTED }),
+ .D({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .P({\blk00000003/sig00000104 , \blk00000003/sig00000105 , \blk00000003/sig00000106 , \blk00000003/sig00000107 , \blk00000003/sig00000108 ,
+\blk00000003/sig00000109 , \blk00000003/sig0000010a , \blk00000003/sig0000010b , \blk00000003/sig0000010c , \blk00000003/sig0000010d ,
+\blk00000003/sig0000010e , \blk00000003/sig0000010f , \blk00000003/sig00000110 , \blk00000003/sig00000111 , \blk00000003/sig00000112 ,
+\blk00000003/sig00000113 , \blk00000003/sig00000114 , \blk00000003/sig00000115 , \blk00000003/sig00000116 , \blk00000003/sig00000117 ,
+\blk00000003/sig00000118 , \blk00000003/sig00000119 , \blk00000003/sig0000011a , \blk00000003/sig0000011b , \blk00000003/sig0000011c ,
+\blk00000003/sig0000011d , \blk00000003/sig0000011e , \blk00000003/sig0000011f , \blk00000003/sig00000120 , \blk00000003/sig00000121 ,
+\blk00000003/sig00000122 , \blk00000003/sig00000123 , \blk00000003/sig00000124 , \blk00000003/sig00000125 , \blk00000003/sig00000126 ,
+\blk00000003/sig00000127 , \blk00000003/sig00000128 , \blk00000003/sig00000129 , \blk00000003/sig0000012a , \blk00000003/sig0000012b ,
+\blk00000003/sig0000012c , \blk00000003/sig0000012d , \blk00000003/sig0000012e , \blk00000003/sig0000012f , \blk00000003/sig00000130 ,
+\blk00000003/sig00000131 , \blk00000003/sig00000132 , \blk00000003/sig00000133 }),
+ .A({\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000134 ,
+\blk00000003/sig00000134 , \blk00000003/sig00000134 , \blk00000003/sig00000135 , \blk00000003/sig00000136 , \blk00000003/sig00000137 ,
+\blk00000003/sig00000138 , \blk00000003/sig00000139 , \blk00000003/sig0000013a , \blk00000003/sig0000013b , \blk00000003/sig0000013c ,
+\blk00000003/sig0000013d , \blk00000003/sig0000013e , \blk00000003/sig0000013f , \blk00000003/sig00000140 , \blk00000003/sig00000141 ,
+\blk00000003/sig00000142 , \blk00000003/sig00000143 , \blk00000003/sig00000144 , \blk00000003/sig00000145 , \blk00000003/sig00000146 ,
+\blk00000003/sig00000147 , \blk00000003/sig00000148 , \blk00000003/sig00000149 , \blk00000003/sig0000014a , \blk00000003/sig0000014b }),
+ .PCOUT({\NLW_blk00000003/blk0000000e_PCOUT<47>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<46>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<45>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<44>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<43>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<42>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<41>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<40>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<39>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<38>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<37>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<36>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<35>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<34>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<33>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<32>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<31>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<30>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<29>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<28>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<27>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<26>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<25>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<24>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<23>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<22>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<21>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<20>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<19>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<18>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<17>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<16>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<15>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<14>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<13>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<12>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<11>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<10>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<9>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<8>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<7>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<6>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<5>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<4>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<3>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<2>_UNCONNECTED ,
+\NLW_blk00000003/blk0000000e_PCOUT<1>_UNCONNECTED , \NLW_blk00000003/blk0000000e_PCOUT<0>_UNCONNECTED }),
+ .ACIN({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 ,
+\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 }),
+ .CARRYINSEL({\blk00000003/sig00000049 , \blk00000003/sig00000049 , \blk00000003/sig00000049 })
+ );
+ MUXCY_D \blk00000003/blk0000000d (
+ .CI(\blk00000003/sig000000ae ),
+ .DI(\blk00000003/sig00000049 ),
+ .S(\blk00000003/sig000000c1 ),
+ .O(\blk00000003/sig000000bd ),
+ .LO(\NLW_blk00000003/blk0000000d_LO_UNCONNECTED )
+ );
+ MUXCY_D \blk00000003/blk0000000c (
+ .CI(\blk00000003/sig000000bd ),
+ .DI(\blk00000003/sig000000be ),
+ .S(\blk00000003/sig000000bf ),
+ .O(\blk00000003/sig000000b5 ),
+ .LO(\blk00000003/sig000000c0 )
+ );
+ XORCY \blk00000003/blk0000000b (
+ .CI(\blk00000003/sig000000bb ),
+ .LI(\blk00000003/sig000000ae ),
+ .O(\blk00000003/sig000000bc )
+ );
+ MUXCY_D \blk00000003/blk0000000a (
+ .CI(\blk00000003/sig000000b8 ),
+ .DI(\blk00000003/sig000000b9 ),
+ .S(\blk00000003/sig000000ba ),
+ .O(\NLW_blk00000003/blk0000000a_O_UNCONNECTED ),
+ .LO(\blk00000003/sig000000bb )
+ );
+ MUXCY_D \blk00000003/blk00000009 (
+ .CI(\blk00000003/sig000000b5 ),
+ .DI(\blk00000003/sig000000b6 ),
+ .S(\blk00000003/sig000000b7 ),
+ .O(\blk00000003/sig000000b8 ),
+ .LO(\NLW_blk00000003/blk00000009_LO_UNCONNECTED )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000008 (
+ .C(clk),
+ .D(\blk00000003/sig000000b3 ),
+ .Q(\blk00000003/sig000000b4 )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000007 (
+ .C(clk),
+ .D(\blk00000003/sig000000b1 ),
+ .Q(\blk00000003/sig000000b2 )
+ );
+ FD #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000006 (
+ .C(clk),
+ .D(\blk00000003/sig000000af ),
+ .Q(\blk00000003/sig000000b0 )
+ );
+ VCC \blk00000003/blk00000005 (
+ .P(\blk00000003/sig000000ae )
+ );
+ GND \blk00000003/blk00000004 (
+ .G(\blk00000003/sig00000049 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000002a/blk0000008c (
+ .I0(nd),
+ .I1(ce),
+ .O(\blk00000003/blk0000002a/sig000006fd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000008b (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[22]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000008b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006fb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000008a (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[21]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000008a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006fa )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000089 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[23]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000089_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006fc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000088 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[19]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000088_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000087 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[18]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000087_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000086 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[20]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000086_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000085 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[16]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000085_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000084 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[15]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000084_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000083 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[17]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000083_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000082 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[13]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000082_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000081 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[12]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000081_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000080 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[14]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000080_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000007f (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[10]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000007f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006ef )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000007e (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[9]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000007e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006ee )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000007d (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[11]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000007d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006f0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000007c (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[7]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000007c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006ec )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000007b (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[6]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000007b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006eb )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000007a (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[8]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000007a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006ed )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000079 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[4]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000079_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000078 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[3]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000078_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000077 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[5]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000077_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006ea )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000076 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[1]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000076_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000075 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[0]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000075_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000074 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_2_2[2]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000074_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000073 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[22]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000073_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000072 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[21]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000072_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000071 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[23]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000071_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000070 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[19]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000070_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000006f (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[18]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000006f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006df )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000006e (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[20]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000006e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006e1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000006d (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[16]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000006d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006dd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000006c (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[15]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000006c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006dc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000006b (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[17]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000006b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006de )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000006a (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[13]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000006a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006da )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000069 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[12]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000069_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000068 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[14]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000068_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006db )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000067 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[10]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000067_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000066 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[9]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000066_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000065 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[11]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000065_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000064 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[7]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000064_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000063 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[6]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000063_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000062 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[8]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000062_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000061 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[4]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000061_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk00000060 (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[3]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk00000060_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000005f (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[5]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000005f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006d2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000005e (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[1]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000005e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006ce )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000005d (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[0]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000005d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006cd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk0000002a/blk0000005c (
+ .A0(\blk00000003/sig000001e9 ),
+ .A1(\blk00000003/sig000001e8 ),
+ .A2(\blk00000003/blk0000002a/sig000006cc ),
+ .A3(\blk00000003/blk0000002a/sig000006cc ),
+ .A4(\blk00000003/blk0000002a/sig000006cc ),
+ .D(din_1_1[2]),
+ .DPRA0(\blk00000003/sig000001de ),
+ .DPRA1(\blk00000003/sig000001dc ),
+ .DPRA2(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA3(\blk00000003/blk0000002a/sig000006cc ),
+ .DPRA4(\blk00000003/blk0000002a/sig000006cc ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk0000002a/sig000006fd ),
+ .SPO(\NLW_blk00000003/blk0000002a/blk0000005c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk0000002a/sig000006cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000005b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006fc ),
+ .Q(\blk00000003/sig000001ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000005a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006fb ),
+ .Q(\blk00000003/sig000001eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000059 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006fa ),
+ .Q(\blk00000003/sig000001ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000058 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f9 ),
+ .Q(\blk00000003/sig000001ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000057 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f8 ),
+ .Q(\blk00000003/sig000001ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000056 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f7 ),
+ .Q(\blk00000003/sig000001ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000055 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f6 ),
+ .Q(\blk00000003/sig000001f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000054 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f5 ),
+ .Q(\blk00000003/sig000001f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000053 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f4 ),
+ .Q(\blk00000003/sig000001f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000052 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f3 ),
+ .Q(\blk00000003/sig000001f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000051 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f2 ),
+ .Q(\blk00000003/sig000001f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000050 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f1 ),
+ .Q(\blk00000003/sig000001f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000004f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006f0 ),
+ .Q(\blk00000003/sig000001f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000004e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006ef ),
+ .Q(\blk00000003/sig000001f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000004d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006ee ),
+ .Q(\blk00000003/sig000001f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000004c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006ed ),
+ .Q(\blk00000003/sig000001f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000004b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006ec ),
+ .Q(\blk00000003/sig000001fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000004a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006eb ),
+ .Q(\blk00000003/sig000001fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000049 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006ea ),
+ .Q(\blk00000003/sig000001fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000048 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e9 ),
+ .Q(\blk00000003/sig000001fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000047 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e8 ),
+ .Q(\blk00000003/sig000001fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000046 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e7 ),
+ .Q(\blk00000003/sig000001ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000045 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e6 ),
+ .Q(\blk00000003/sig00000200 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000044 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e5 ),
+ .Q(\blk00000003/sig00000201 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000043 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e4 ),
+ .Q(\blk00000003/sig00000202 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000042 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e3 ),
+ .Q(\blk00000003/sig00000203 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000041 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e2 ),
+ .Q(\blk00000003/sig00000204 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000040 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e1 ),
+ .Q(\blk00000003/sig00000205 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000003f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006e0 ),
+ .Q(\blk00000003/sig00000206 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000003e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006df ),
+ .Q(\blk00000003/sig00000207 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000003d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006de ),
+ .Q(\blk00000003/sig00000208 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000003c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006dd ),
+ .Q(\blk00000003/sig00000209 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000003b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006dc ),
+ .Q(\blk00000003/sig0000020a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000003a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006db ),
+ .Q(\blk00000003/sig0000020b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000039 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006da ),
+ .Q(\blk00000003/sig0000020c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000038 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d9 ),
+ .Q(\blk00000003/sig0000020d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000037 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d8 ),
+ .Q(\blk00000003/sig0000020e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000036 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d7 ),
+ .Q(\blk00000003/sig0000020f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000035 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d6 ),
+ .Q(\blk00000003/sig00000210 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000034 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d5 ),
+ .Q(\blk00000003/sig00000211 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000033 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d4 ),
+ .Q(\blk00000003/sig00000212 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000032 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d3 ),
+ .Q(\blk00000003/sig00000213 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000031 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d2 ),
+ .Q(\blk00000003/sig00000214 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk00000030 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d1 ),
+ .Q(\blk00000003/sig00000215 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000002f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006d0 ),
+ .Q(\blk00000003/sig00000216 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000002e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006cf ),
+ .Q(\blk00000003/sig00000217 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000002d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006ce ),
+ .Q(\blk00000003/sig00000218 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000002a/blk0000002c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000002a/sig000006cd ),
+ .Q(\blk00000003/sig00000219 )
+ );
+ GND \blk00000003/blk0000002a/blk0000002b (
+ .G(\blk00000003/blk0000002a/sig000006cc )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000119/blk0000014b (
+ .I0(ce),
+ .I1(\blk00000003/sig00000435 ),
+ .O(\blk00000003/blk00000119/sig0000074d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000014a (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002f5 ),
+ .Q(\blk00000003/blk00000119/sig0000074b ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000014a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000149 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002f6 ),
+ .Q(\blk00000003/blk00000119/sig0000074a ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000149_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000148 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002f4 ),
+ .Q(\blk00000003/blk00000119/sig0000074c ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000148_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000147 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002f8 ),
+ .Q(\blk00000003/blk00000119/sig00000748 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000147_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000146 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002f9 ),
+ .Q(\blk00000003/blk00000119/sig00000747 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000146_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000145 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002f7 ),
+ .Q(\blk00000003/blk00000119/sig00000749 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000145_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000144 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002fb ),
+ .Q(\blk00000003/blk00000119/sig00000745 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000144_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000143 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002fc ),
+ .Q(\blk00000003/blk00000119/sig00000744 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000143_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000142 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002fa ),
+ .Q(\blk00000003/blk00000119/sig00000746 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000142_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000141 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002fe ),
+ .Q(\blk00000003/blk00000119/sig00000742 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000141_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000140 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002ff ),
+ .Q(\blk00000003/blk00000119/sig00000741 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000140_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000013f (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000002fd ),
+ .Q(\blk00000003/blk00000119/sig00000743 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000013f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000013e (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000301 ),
+ .Q(\blk00000003/blk00000119/sig0000073f ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000013e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000013d (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000302 ),
+ .Q(\blk00000003/blk00000119/sig0000073e ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000013d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000013c (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000300 ),
+ .Q(\blk00000003/blk00000119/sig00000740 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000013c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000013b (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000304 ),
+ .Q(\blk00000003/blk00000119/sig0000073c ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000013b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk0000013a (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000305 ),
+ .Q(\blk00000003/blk00000119/sig0000073b ),
+ .Q15(\NLW_blk00000003/blk00000119/blk0000013a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000139 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000303 ),
+ .Q(\blk00000003/blk00000119/sig0000073d ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000139_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000138 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000307 ),
+ .Q(\blk00000003/blk00000119/sig00000739 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000138_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000137 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000308 ),
+ .Q(\blk00000003/blk00000119/sig00000738 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000137_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000136 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000306 ),
+ .Q(\blk00000003/blk00000119/sig0000073a ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000136_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000135 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000030a ),
+ .Q(\blk00000003/blk00000119/sig00000736 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000135_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000134 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000030b ),
+ .Q(\blk00000003/blk00000119/sig00000735 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000134_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000119/blk00000133 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk00000119/sig00000734 ),
+ .CE(\blk00000003/blk00000119/sig0000074d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000309 ),
+ .Q(\blk00000003/blk00000119/sig00000737 ),
+ .Q15(\NLW_blk00000003/blk00000119/blk00000133_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000132 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000074c ),
+ .Q(\blk00000003/sig000003c6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000131 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000074b ),
+ .Q(\blk00000003/sig000003c7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000130 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000074a ),
+ .Q(\blk00000003/sig000003c8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000012f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000749 ),
+ .Q(\blk00000003/sig000003c9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000012e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000748 ),
+ .Q(\blk00000003/sig000003ca )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000012d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000747 ),
+ .Q(\blk00000003/sig000003cb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000012c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000746 ),
+ .Q(\blk00000003/sig000003cc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000012b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000745 ),
+ .Q(\blk00000003/sig000003cd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000012a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000744 ),
+ .Q(\blk00000003/sig000003ce )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000129 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000743 ),
+ .Q(\blk00000003/sig000003cf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000128 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000742 ),
+ .Q(\blk00000003/sig000003d0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000127 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000741 ),
+ .Q(\blk00000003/sig000003d1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000126 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000740 ),
+ .Q(\blk00000003/sig000003d2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000125 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000073f ),
+ .Q(\blk00000003/sig000003d3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000124 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000073e ),
+ .Q(\blk00000003/sig000003d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000123 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000073d ),
+ .Q(\blk00000003/sig000003d5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000122 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000073c ),
+ .Q(\blk00000003/sig000003d6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000121 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000073b ),
+ .Q(\blk00000003/sig000003d7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk00000120 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig0000073a ),
+ .Q(\blk00000003/sig000003d8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000011f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000739 ),
+ .Q(\blk00000003/sig000003d9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000011e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000738 ),
+ .Q(\blk00000003/sig000003da )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000011d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000737 ),
+ .Q(\blk00000003/sig000003db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000011c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000736 ),
+ .Q(\blk00000003/sig000003dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000119/blk0000011b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000119/sig00000735 ),
+ .Q(\blk00000003/sig000003dd )
+ );
+ GND \blk00000003/blk00000119/blk0000011a (
+ .G(\blk00000003/blk00000119/sig00000734 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000014c/blk0000017e (
+ .I0(ce),
+ .I1(\blk00000003/sig0000042f ),
+ .O(\blk00000003/blk0000014c/sig0000079d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000017d (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000043a ),
+ .Q(\blk00000003/blk0000014c/sig0000079b ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000017d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000017c (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000043b ),
+ .Q(\blk00000003/blk0000014c/sig0000079a ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000017c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000017b (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000439 ),
+ .Q(\blk00000003/blk0000014c/sig0000079c ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000017b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000017a (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000043d ),
+ .Q(\blk00000003/blk0000014c/sig00000798 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000017a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000179 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000043e ),
+ .Q(\blk00000003/blk0000014c/sig00000797 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000179_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000178 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000043c ),
+ .Q(\blk00000003/blk0000014c/sig00000799 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000178_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000177 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000440 ),
+ .Q(\blk00000003/blk0000014c/sig00000795 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000177_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000176 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000441 ),
+ .Q(\blk00000003/blk0000014c/sig00000794 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000176_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000175 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000043f ),
+ .Q(\blk00000003/blk0000014c/sig00000796 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000175_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000174 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000443 ),
+ .Q(\blk00000003/blk0000014c/sig00000792 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000174_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000173 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000444 ),
+ .Q(\blk00000003/blk0000014c/sig00000791 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000173_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000172 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000442 ),
+ .Q(\blk00000003/blk0000014c/sig00000793 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000172_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000171 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000446 ),
+ .Q(\blk00000003/blk0000014c/sig0000078f ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000171_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000170 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000447 ),
+ .Q(\blk00000003/blk0000014c/sig0000078e ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000170_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000016f (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000445 ),
+ .Q(\blk00000003/blk0000014c/sig00000790 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000016f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000016e (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000449 ),
+ .Q(\blk00000003/blk0000014c/sig0000078c ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000016e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000016d (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000044a ),
+ .Q(\blk00000003/blk0000014c/sig0000078b ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000016d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000016c (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000448 ),
+ .Q(\blk00000003/blk0000014c/sig0000078d ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000016c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000016b (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000044c ),
+ .Q(\blk00000003/blk0000014c/sig00000789 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000016b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk0000016a (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000044d ),
+ .Q(\blk00000003/blk0000014c/sig00000788 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk0000016a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000169 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000044b ),
+ .Q(\blk00000003/blk0000014c/sig0000078a ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000169_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000168 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000044f ),
+ .Q(\blk00000003/blk0000014c/sig00000786 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000168_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000167 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000450 ),
+ .Q(\blk00000003/blk0000014c/sig00000785 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000167_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000014c/blk00000166 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk0000014c/sig00000784 ),
+ .CE(\blk00000003/blk0000014c/sig0000079d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000044e ),
+ .Q(\blk00000003/blk0000014c/sig00000787 ),
+ .Q15(\NLW_blk00000003/blk0000014c/blk00000166_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000165 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000079c ),
+ .Q(\blk00000003/sig000003de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000164 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000079b ),
+ .Q(\blk00000003/sig000003df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000163 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000079a ),
+ .Q(\blk00000003/sig000003e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000162 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000799 ),
+ .Q(\blk00000003/sig000003e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000161 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000798 ),
+ .Q(\blk00000003/sig000003e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000160 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000797 ),
+ .Q(\blk00000003/sig000003e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000015f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000796 ),
+ .Q(\blk00000003/sig000003e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000015e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000795 ),
+ .Q(\blk00000003/sig000003e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000015d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000794 ),
+ .Q(\blk00000003/sig000003e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000015c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000793 ),
+ .Q(\blk00000003/sig000003e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000015b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000792 ),
+ .Q(\blk00000003/sig000003e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000015a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000791 ),
+ .Q(\blk00000003/sig000003e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000159 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000790 ),
+ .Q(\blk00000003/sig000003ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000158 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000078f ),
+ .Q(\blk00000003/sig000003eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000157 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000078e ),
+ .Q(\blk00000003/sig000003ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000156 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000078d ),
+ .Q(\blk00000003/sig000003ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000155 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000078c ),
+ .Q(\blk00000003/sig000003ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000154 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000078b ),
+ .Q(\blk00000003/sig000003ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000153 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig0000078a ),
+ .Q(\blk00000003/sig000003f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000152 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000789 ),
+ .Q(\blk00000003/sig000003f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000151 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000788 ),
+ .Q(\blk00000003/sig000003f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk00000150 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000787 ),
+ .Q(\blk00000003/sig000003f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000014f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000786 ),
+ .Q(\blk00000003/sig000003f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000014c/blk0000014e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000014c/sig00000785 ),
+ .Q(\blk00000003/sig000003f5 )
+ );
+ GND \blk00000003/blk0000014c/blk0000014d (
+ .G(\blk00000003/blk0000014c/sig00000784 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000017f/blk000001b1 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000435 ),
+ .O(\blk00000003/blk0000017f/sig000007ed )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001b0 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000355 ),
+ .Q(\blk00000003/blk0000017f/sig000007eb ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001b0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001af (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000356 ),
+ .Q(\blk00000003/blk0000017f/sig000007ea ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001ae (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000354 ),
+ .Q(\blk00000003/blk0000017f/sig000007ec ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001ad (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000358 ),
+ .Q(\blk00000003/blk0000017f/sig000007e8 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001ac (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000359 ),
+ .Q(\blk00000003/blk0000017f/sig000007e7 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001ab (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000357 ),
+ .Q(\blk00000003/blk0000017f/sig000007e9 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001aa (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000035b ),
+ .Q(\blk00000003/blk0000017f/sig000007e5 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a9 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000035c ),
+ .Q(\blk00000003/blk0000017f/sig000007e4 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a8 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000035a ),
+ .Q(\blk00000003/blk0000017f/sig000007e6 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a7 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000035e ),
+ .Q(\blk00000003/blk0000017f/sig000007e2 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a6 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000035f ),
+ .Q(\blk00000003/blk0000017f/sig000007e1 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a5 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000035d ),
+ .Q(\blk00000003/blk0000017f/sig000007e3 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a4 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000361 ),
+ .Q(\blk00000003/blk0000017f/sig000007df ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a3 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000362 ),
+ .Q(\blk00000003/blk0000017f/sig000007de ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a2 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000360 ),
+ .Q(\blk00000003/blk0000017f/sig000007e0 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a1 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000364 ),
+ .Q(\blk00000003/blk0000017f/sig000007dc ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk000001a0 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000365 ),
+ .Q(\blk00000003/blk0000017f/sig000007db ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk000001a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk0000019f (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000363 ),
+ .Q(\blk00000003/blk0000017f/sig000007dd ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk0000019f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk0000019e (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000367 ),
+ .Q(\blk00000003/blk0000017f/sig000007d9 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk0000019e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk0000019d (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000368 ),
+ .Q(\blk00000003/blk0000017f/sig000007d8 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk0000019d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk0000019c (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000366 ),
+ .Q(\blk00000003/blk0000017f/sig000007da ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk0000019c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk0000019b (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000036a ),
+ .Q(\blk00000003/blk0000017f/sig000007d6 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk0000019b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk0000019a (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000036b ),
+ .Q(\blk00000003/blk0000017f/sig000007d5 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk0000019a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000017f/blk00000199 (
+ .A0(\blk00000003/sig0000042d ),
+ .A1(\blk00000003/sig0000042c ),
+ .A2(\blk00000003/sig0000042b ),
+ .A3(\blk00000003/blk0000017f/sig000007d4 ),
+ .CE(\blk00000003/blk0000017f/sig000007ed ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000369 ),
+ .Q(\blk00000003/blk0000017f/sig000007d7 ),
+ .Q15(\NLW_blk00000003/blk0000017f/blk00000199_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000198 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007ec ),
+ .Q(\blk00000003/sig000003f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000197 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007eb ),
+ .Q(\blk00000003/sig000003f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000196 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007ea ),
+ .Q(\blk00000003/sig000003f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000195 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e9 ),
+ .Q(\blk00000003/sig000003f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000194 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e8 ),
+ .Q(\blk00000003/sig000003fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000193 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e7 ),
+ .Q(\blk00000003/sig000003fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000192 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e6 ),
+ .Q(\blk00000003/sig000003fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000191 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e5 ),
+ .Q(\blk00000003/sig000003fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000190 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e4 ),
+ .Q(\blk00000003/sig000003fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk0000018f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e3 ),
+ .Q(\blk00000003/sig000003ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk0000018e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e2 ),
+ .Q(\blk00000003/sig00000400 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk0000018d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e1 ),
+ .Q(\blk00000003/sig00000401 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk0000018c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007e0 ),
+ .Q(\blk00000003/sig00000402 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk0000018b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007df ),
+ .Q(\blk00000003/sig00000403 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk0000018a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007de ),
+ .Q(\blk00000003/sig00000404 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000189 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007dd ),
+ .Q(\blk00000003/sig00000405 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000188 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007dc ),
+ .Q(\blk00000003/sig00000406 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000187 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007db ),
+ .Q(\blk00000003/sig00000407 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000186 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007da ),
+ .Q(\blk00000003/sig00000408 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000185 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007d9 ),
+ .Q(\blk00000003/sig00000409 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000184 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007d8 ),
+ .Q(\blk00000003/sig0000040a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000183 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007d7 ),
+ .Q(\blk00000003/sig0000040b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000182 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007d6 ),
+ .Q(\blk00000003/sig0000040c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000017f/blk00000181 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000017f/sig000007d5 ),
+ .Q(\blk00000003/sig0000040d )
+ );
+ GND \blk00000003/blk0000017f/blk00000180 (
+ .G(\blk00000003/blk0000017f/sig000007d4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000001b2/blk000001e4 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000042f ),
+ .O(\blk00000003/blk000001b2/sig0000083d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001e3 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000452 ),
+ .Q(\blk00000003/blk000001b2/sig0000083b ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001e3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001e2 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000453 ),
+ .Q(\blk00000003/blk000001b2/sig0000083a ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001e2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001e1 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000451 ),
+ .Q(\blk00000003/blk000001b2/sig0000083c ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001e1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001e0 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000455 ),
+ .Q(\blk00000003/blk000001b2/sig00000838 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001e0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001df (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000456 ),
+ .Q(\blk00000003/blk000001b2/sig00000837 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001df_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001de (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000454 ),
+ .Q(\blk00000003/blk000001b2/sig00000839 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001de_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001dd (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000458 ),
+ .Q(\blk00000003/blk000001b2/sig00000835 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001dd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001dc (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000459 ),
+ .Q(\blk00000003/blk000001b2/sig00000834 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001dc_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001db (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000457 ),
+ .Q(\blk00000003/blk000001b2/sig00000836 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001db_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001da (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045b ),
+ .Q(\blk00000003/blk000001b2/sig00000832 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001da_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d9 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045c ),
+ .Q(\blk00000003/blk000001b2/sig00000831 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d8 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045a ),
+ .Q(\blk00000003/blk000001b2/sig00000833 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d7 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045e ),
+ .Q(\blk00000003/blk000001b2/sig0000082f ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d6 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045f ),
+ .Q(\blk00000003/blk000001b2/sig0000082e ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d5 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000045d ),
+ .Q(\blk00000003/blk000001b2/sig00000830 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d4 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000461 ),
+ .Q(\blk00000003/blk000001b2/sig0000082c ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d3 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000462 ),
+ .Q(\blk00000003/blk000001b2/sig0000082b ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d2 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000460 ),
+ .Q(\blk00000003/blk000001b2/sig0000082d ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d1 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000464 ),
+ .Q(\blk00000003/blk000001b2/sig00000829 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001d0 (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000465 ),
+ .Q(\blk00000003/blk000001b2/sig00000828 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001d0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001cf (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000463 ),
+ .Q(\blk00000003/blk000001b2/sig0000082a ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001cf_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001ce (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000467 ),
+ .Q(\blk00000003/blk000001b2/sig00000826 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001ce_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001cd (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000468 ),
+ .Q(\blk00000003/blk000001b2/sig00000825 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001cd_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001b2/blk000001cc (
+ .A0(\blk00000003/sig00000438 ),
+ .A1(\blk00000003/sig00000437 ),
+ .A2(\blk00000003/sig00000436 ),
+ .A3(\blk00000003/blk000001b2/sig00000824 ),
+ .CE(\blk00000003/blk000001b2/sig0000083d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000466 ),
+ .Q(\blk00000003/blk000001b2/sig00000827 ),
+ .Q15(\NLW_blk00000003/blk000001b2/blk000001cc_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000083c ),
+ .Q(\blk00000003/sig0000040e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000083b ),
+ .Q(\blk00000003/sig0000040f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000083a ),
+ .Q(\blk00000003/sig00000410 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000839 ),
+ .Q(\blk00000003/sig00000411 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000838 ),
+ .Q(\blk00000003/sig00000412 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000837 ),
+ .Q(\blk00000003/sig00000413 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000836 ),
+ .Q(\blk00000003/sig00000414 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000835 ),
+ .Q(\blk00000003/sig00000415 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000834 ),
+ .Q(\blk00000003/sig00000416 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000833 ),
+ .Q(\blk00000003/sig00000417 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000832 ),
+ .Q(\blk00000003/sig00000418 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000831 ),
+ .Q(\blk00000003/sig00000419 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000830 ),
+ .Q(\blk00000003/sig0000041a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000082f ),
+ .Q(\blk00000003/sig0000041b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000082e ),
+ .Q(\blk00000003/sig0000041c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000082d ),
+ .Q(\blk00000003/sig0000041d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000082c ),
+ .Q(\blk00000003/sig0000041e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000082b ),
+ .Q(\blk00000003/sig0000041f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig0000082a ),
+ .Q(\blk00000003/sig00000420 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000829 ),
+ .Q(\blk00000003/sig00000421 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000828 ),
+ .Q(\blk00000003/sig00000422 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000827 ),
+ .Q(\blk00000003/sig00000423 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000826 ),
+ .Q(\blk00000003/sig00000424 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001b2/blk000001b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001b2/sig00000825 ),
+ .Q(\blk00000003/sig00000425 )
+ );
+ GND \blk00000003/blk000001b2/blk000001b3 (
+ .G(\blk00000003/blk000001b2/sig00000824 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000001e5/blk00000217 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000434 ),
+ .O(\blk00000003/blk000001e5/sig0000088d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000216 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046a ),
+ .Q(\blk00000003/blk000001e5/sig0000088b ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000216_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000215 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046b ),
+ .Q(\blk00000003/blk000001e5/sig0000088a ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000215_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000214 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000469 ),
+ .Q(\blk00000003/blk000001e5/sig0000088c ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000214_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000213 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046d ),
+ .Q(\blk00000003/blk000001e5/sig00000888 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000213_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000212 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046e ),
+ .Q(\blk00000003/blk000001e5/sig00000887 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000212_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000211 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046c ),
+ .Q(\blk00000003/blk000001e5/sig00000889 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000211_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000210 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000470 ),
+ .Q(\blk00000003/blk000001e5/sig00000885 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000210_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk0000020f (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000471 ),
+ .Q(\blk00000003/blk000001e5/sig00000884 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk0000020f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk0000020e (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000046f ),
+ .Q(\blk00000003/blk000001e5/sig00000886 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk0000020e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk0000020d (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000473 ),
+ .Q(\blk00000003/blk000001e5/sig00000882 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk0000020d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk0000020c (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000474 ),
+ .Q(\blk00000003/blk000001e5/sig00000881 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk0000020c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk0000020b (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000472 ),
+ .Q(\blk00000003/blk000001e5/sig00000883 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk0000020b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk0000020a (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000476 ),
+ .Q(\blk00000003/blk000001e5/sig0000087f ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk0000020a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000209 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000477 ),
+ .Q(\blk00000003/blk000001e5/sig0000087e ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000209_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000208 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000475 ),
+ .Q(\blk00000003/blk000001e5/sig00000880 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000208_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000207 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000479 ),
+ .Q(\blk00000003/blk000001e5/sig0000087c ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000207_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000206 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047a ),
+ .Q(\blk00000003/blk000001e5/sig0000087b ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000206_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000205 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000478 ),
+ .Q(\blk00000003/blk000001e5/sig0000087d ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000205_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000204 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047c ),
+ .Q(\blk00000003/blk000001e5/sig00000879 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000204_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000203 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047d ),
+ .Q(\blk00000003/blk000001e5/sig00000878 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000203_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000202 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047b ),
+ .Q(\blk00000003/blk000001e5/sig0000087a ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000202_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000201 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047f ),
+ .Q(\blk00000003/blk000001e5/sig00000876 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000201_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk00000200 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000480 ),
+ .Q(\blk00000003/blk000001e5/sig00000875 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk00000200_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk000001e5/blk000001ff (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk000001e5/sig00000874 ),
+ .CE(\blk00000003/blk000001e5/sig0000088d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000047e ),
+ .Q(\blk00000003/blk000001e5/sig00000877 ),
+ .Q15(\NLW_blk00000003/blk000001e5/blk000001ff_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001fe (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000088c ),
+ .Q(\blk00000003/sig000002f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000088b ),
+ .Q(\blk00000003/sig000002f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000088a ),
+ .Q(\blk00000003/sig000002f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000889 ),
+ .Q(\blk00000003/sig000002f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000888 ),
+ .Q(\blk00000003/sig000002f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000887 ),
+ .Q(\blk00000003/sig000002f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000886 ),
+ .Q(\blk00000003/sig000002fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000885 ),
+ .Q(\blk00000003/sig000002fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000884 ),
+ .Q(\blk00000003/sig000002fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000883 ),
+ .Q(\blk00000003/sig000002fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000882 ),
+ .Q(\blk00000003/sig000002fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000881 ),
+ .Q(\blk00000003/sig000002ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000880 ),
+ .Q(\blk00000003/sig00000300 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000087f ),
+ .Q(\blk00000003/sig00000301 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000087e ),
+ .Q(\blk00000003/sig00000302 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000087d ),
+ .Q(\blk00000003/sig00000303 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000087c ),
+ .Q(\blk00000003/sig00000304 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000087b ),
+ .Q(\blk00000003/sig00000305 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig0000087a ),
+ .Q(\blk00000003/sig00000306 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001eb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000879 ),
+ .Q(\blk00000003/sig00000307 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001ea (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000878 ),
+ .Q(\blk00000003/sig00000308 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001e9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000877 ),
+ .Q(\blk00000003/sig00000309 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001e8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000876 ),
+ .Q(\blk00000003/sig0000030a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000001e5/blk000001e7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000001e5/sig00000875 ),
+ .Q(\blk00000003/sig0000030b )
+ );
+ GND \blk00000003/blk000001e5/blk000001e6 (
+ .G(\blk00000003/blk000001e5/sig00000874 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000218/blk0000024a (
+ .I0(ce),
+ .I1(\blk00000003/sig0000042e ),
+ .O(\blk00000003/blk00000218/sig000008dd )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000249 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000482 ),
+ .Q(\blk00000003/blk00000218/sig000008db ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000249_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000248 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000483 ),
+ .Q(\blk00000003/blk00000218/sig000008da ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000248_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000247 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000481 ),
+ .Q(\blk00000003/blk00000218/sig000008dc ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000247_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000246 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000485 ),
+ .Q(\blk00000003/blk00000218/sig000008d8 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000246_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000245 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000486 ),
+ .Q(\blk00000003/blk00000218/sig000008d7 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000245_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000244 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000484 ),
+ .Q(\blk00000003/blk00000218/sig000008d9 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000244_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000243 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000488 ),
+ .Q(\blk00000003/blk00000218/sig000008d5 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000243_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000242 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000489 ),
+ .Q(\blk00000003/blk00000218/sig000008d4 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000242_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000241 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000487 ),
+ .Q(\blk00000003/blk00000218/sig000008d6 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000241_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000240 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048b ),
+ .Q(\blk00000003/blk00000218/sig000008d2 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000240_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk0000023f (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048c ),
+ .Q(\blk00000003/blk00000218/sig000008d1 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk0000023f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk0000023e (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048a ),
+ .Q(\blk00000003/blk00000218/sig000008d3 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk0000023e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk0000023d (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048e ),
+ .Q(\blk00000003/blk00000218/sig000008cf ),
+ .Q15(\NLW_blk00000003/blk00000218/blk0000023d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk0000023c (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048f ),
+ .Q(\blk00000003/blk00000218/sig000008ce ),
+ .Q15(\NLW_blk00000003/blk00000218/blk0000023c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk0000023b (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000048d ),
+ .Q(\blk00000003/blk00000218/sig000008d0 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk0000023b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk0000023a (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000491 ),
+ .Q(\blk00000003/blk00000218/sig000008cc ),
+ .Q15(\NLW_blk00000003/blk00000218/blk0000023a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000239 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000492 ),
+ .Q(\blk00000003/blk00000218/sig000008cb ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000239_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000238 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000490 ),
+ .Q(\blk00000003/blk00000218/sig000008cd ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000238_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000237 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000494 ),
+ .Q(\blk00000003/blk00000218/sig000008c9 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000237_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000236 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000495 ),
+ .Q(\blk00000003/blk00000218/sig000008c8 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000236_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000235 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000493 ),
+ .Q(\blk00000003/blk00000218/sig000008ca ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000235_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000234 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000497 ),
+ .Q(\blk00000003/blk00000218/sig000008c6 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000234_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000233 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000498 ),
+ .Q(\blk00000003/blk00000218/sig000008c5 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000233_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk00000218/blk00000232 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk00000218/sig000008c4 ),
+ .CE(\blk00000003/blk00000218/sig000008dd ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000496 ),
+ .Q(\blk00000003/blk00000218/sig000008c7 ),
+ .Q15(\NLW_blk00000003/blk00000218/blk00000232_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000231 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008dc ),
+ .Q(\blk00000003/sig0000030c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000230 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008db ),
+ .Q(\blk00000003/sig0000030d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000022f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008da ),
+ .Q(\blk00000003/sig0000030e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000022e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d9 ),
+ .Q(\blk00000003/sig0000030f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000022d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d8 ),
+ .Q(\blk00000003/sig00000310 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000022c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d7 ),
+ .Q(\blk00000003/sig00000311 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000022b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d6 ),
+ .Q(\blk00000003/sig00000312 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000022a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d5 ),
+ .Q(\blk00000003/sig00000313 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000229 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d4 ),
+ .Q(\blk00000003/sig00000314 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000228 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d3 ),
+ .Q(\blk00000003/sig00000315 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000227 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d2 ),
+ .Q(\blk00000003/sig00000316 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000226 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d1 ),
+ .Q(\blk00000003/sig00000317 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000225 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008d0 ),
+ .Q(\blk00000003/sig00000318 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000224 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008cf ),
+ .Q(\blk00000003/sig00000319 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000223 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008ce ),
+ .Q(\blk00000003/sig0000031a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000222 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008cd ),
+ .Q(\blk00000003/sig0000031b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000221 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008cc ),
+ .Q(\blk00000003/sig0000031c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk00000220 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008cb ),
+ .Q(\blk00000003/sig0000031d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000021f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008ca ),
+ .Q(\blk00000003/sig0000031e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000021e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008c9 ),
+ .Q(\blk00000003/sig0000031f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000021d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008c8 ),
+ .Q(\blk00000003/sig00000320 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000021c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008c7 ),
+ .Q(\blk00000003/sig00000321 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000021b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008c6 ),
+ .Q(\blk00000003/sig00000322 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000218/blk0000021a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000218/sig000008c5 ),
+ .Q(\blk00000003/sig00000323 )
+ );
+ GND \blk00000003/blk00000218/blk00000219 (
+ .G(\blk00000003/blk00000218/sig000008c4 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000024b/blk0000027d (
+ .I0(ce),
+ .I1(\blk00000003/sig00000434 ),
+ .O(\blk00000003/blk0000024b/sig0000092d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000027c (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000049a ),
+ .Q(\blk00000003/blk0000024b/sig0000092b ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000027c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000027b (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000049b ),
+ .Q(\blk00000003/blk0000024b/sig0000092a ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000027b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000027a (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig00000499 ),
+ .Q(\blk00000003/blk0000024b/sig0000092c ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000027a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000279 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000049d ),
+ .Q(\blk00000003/blk0000024b/sig00000928 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000279_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000278 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000049e ),
+ .Q(\blk00000003/blk0000024b/sig00000927 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000278_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000277 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000049c ),
+ .Q(\blk00000003/blk0000024b/sig00000929 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000277_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000276 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a0 ),
+ .Q(\blk00000003/blk0000024b/sig00000925 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000276_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000275 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a1 ),
+ .Q(\blk00000003/blk0000024b/sig00000924 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000275_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000274 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig0000049f ),
+ .Q(\blk00000003/blk0000024b/sig00000926 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000274_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000273 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a3 ),
+ .Q(\blk00000003/blk0000024b/sig00000922 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000273_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000272 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a4 ),
+ .Q(\blk00000003/blk0000024b/sig00000921 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000272_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000271 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a2 ),
+ .Q(\blk00000003/blk0000024b/sig00000923 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000271_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000270 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a6 ),
+ .Q(\blk00000003/blk0000024b/sig0000091f ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000270_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000026f (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a7 ),
+ .Q(\blk00000003/blk0000024b/sig0000091e ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000026f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000026e (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a5 ),
+ .Q(\blk00000003/blk0000024b/sig00000920 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000026e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000026d (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a9 ),
+ .Q(\blk00000003/blk0000024b/sig0000091c ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000026d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000026c (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004aa ),
+ .Q(\blk00000003/blk0000024b/sig0000091b ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000026c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000026b (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004a8 ),
+ .Q(\blk00000003/blk0000024b/sig0000091d ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000026b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk0000026a (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ac ),
+ .Q(\blk00000003/blk0000024b/sig00000919 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk0000026a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000269 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ad ),
+ .Q(\blk00000003/blk0000024b/sig00000918 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000269_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000268 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ab ),
+ .Q(\blk00000003/blk0000024b/sig0000091a ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000268_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000267 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004af ),
+ .Q(\blk00000003/blk0000024b/sig00000916 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000267_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000266 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b0 ),
+ .Q(\blk00000003/blk0000024b/sig00000915 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000266_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000024b/blk00000265 (
+ .A0(\blk00000003/sig000002c6 ),
+ .A1(\blk00000003/sig000002c5 ),
+ .A2(\blk00000003/sig000002c4 ),
+ .A3(\blk00000003/blk0000024b/sig00000914 ),
+ .CE(\blk00000003/blk0000024b/sig0000092d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ae ),
+ .Q(\blk00000003/blk0000024b/sig00000917 ),
+ .Q15(\NLW_blk00000003/blk0000024b/blk00000265_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000264 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000092c ),
+ .Q(\blk00000003/sig00000354 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000263 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000092b ),
+ .Q(\blk00000003/sig00000355 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000262 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000092a ),
+ .Q(\blk00000003/sig00000356 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000261 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000929 ),
+ .Q(\blk00000003/sig00000357 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000260 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000928 ),
+ .Q(\blk00000003/sig00000358 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000025f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000927 ),
+ .Q(\blk00000003/sig00000359 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000025e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000926 ),
+ .Q(\blk00000003/sig0000035a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000025d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000925 ),
+ .Q(\blk00000003/sig0000035b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000025c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000924 ),
+ .Q(\blk00000003/sig0000035c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000025b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000923 ),
+ .Q(\blk00000003/sig0000035d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000025a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000922 ),
+ .Q(\blk00000003/sig0000035e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000259 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000921 ),
+ .Q(\blk00000003/sig0000035f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000258 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000920 ),
+ .Q(\blk00000003/sig00000360 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000257 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000091f ),
+ .Q(\blk00000003/sig00000361 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000256 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000091e ),
+ .Q(\blk00000003/sig00000362 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000255 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000091d ),
+ .Q(\blk00000003/sig00000363 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000254 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000091c ),
+ .Q(\blk00000003/sig00000364 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000253 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000091b ),
+ .Q(\blk00000003/sig00000365 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000252 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig0000091a ),
+ .Q(\blk00000003/sig00000366 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000251 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000919 ),
+ .Q(\blk00000003/sig00000367 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk00000250 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000918 ),
+ .Q(\blk00000003/sig00000368 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000024f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000917 ),
+ .Q(\blk00000003/sig00000369 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000024e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000916 ),
+ .Q(\blk00000003/sig0000036a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000024b/blk0000024d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000024b/sig00000915 ),
+ .Q(\blk00000003/sig0000036b )
+ );
+ GND \blk00000003/blk0000024b/blk0000024c (
+ .G(\blk00000003/blk0000024b/sig00000914 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk0000027e/blk000002b0 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000042e ),
+ .O(\blk00000003/blk0000027e/sig0000097d )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002af (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b2 ),
+ .Q(\blk00000003/blk0000027e/sig0000097b ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002af_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002ae (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b3 ),
+ .Q(\blk00000003/blk0000027e/sig0000097a ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002ae_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002ad (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b1 ),
+ .Q(\blk00000003/blk0000027e/sig0000097c ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002ad_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002ac (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b5 ),
+ .Q(\blk00000003/blk0000027e/sig00000978 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002ac_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002ab (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b6 ),
+ .Q(\blk00000003/blk0000027e/sig00000977 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002ab_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002aa (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b4 ),
+ .Q(\blk00000003/blk0000027e/sig00000979 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002aa_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a9 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b8 ),
+ .Q(\blk00000003/blk0000027e/sig00000975 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a9_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a8 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b9 ),
+ .Q(\blk00000003/blk0000027e/sig00000974 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a8_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a7 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004b7 ),
+ .Q(\blk00000003/blk0000027e/sig00000976 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a7_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a6 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bb ),
+ .Q(\blk00000003/blk0000027e/sig00000972 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a6_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a5 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bc ),
+ .Q(\blk00000003/blk0000027e/sig00000971 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a5_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a4 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004ba ),
+ .Q(\blk00000003/blk0000027e/sig00000973 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a4_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a3 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004be ),
+ .Q(\blk00000003/blk0000027e/sig0000096f ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a3_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a2 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bf ),
+ .Q(\blk00000003/blk0000027e/sig0000096e ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a2_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a1 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004bd ),
+ .Q(\blk00000003/blk0000027e/sig00000970 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a1_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk000002a0 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c1 ),
+ .Q(\blk00000003/blk0000027e/sig0000096c ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk000002a0_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk0000029f (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c2 ),
+ .Q(\blk00000003/blk0000027e/sig0000096b ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk0000029f_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk0000029e (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c0 ),
+ .Q(\blk00000003/blk0000027e/sig0000096d ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk0000029e_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk0000029d (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c4 ),
+ .Q(\blk00000003/blk0000027e/sig00000969 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk0000029d_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk0000029c (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c5 ),
+ .Q(\blk00000003/blk0000027e/sig00000968 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk0000029c_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk0000029b (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c3 ),
+ .Q(\blk00000003/blk0000027e/sig0000096a ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk0000029b_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk0000029a (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c7 ),
+ .Q(\blk00000003/blk0000027e/sig00000966 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk0000029a_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk00000299 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c8 ),
+ .Q(\blk00000003/blk0000027e/sig00000965 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk00000299_Q15_UNCONNECTED )
+ );
+ SRLC16E #(
+ .INIT ( 16'h0000 ))
+ \blk00000003/blk0000027e/blk00000298 (
+ .A0(\blk00000003/sig000002d1 ),
+ .A1(\blk00000003/sig000002d0 ),
+ .A2(\blk00000003/sig000002cf ),
+ .A3(\blk00000003/blk0000027e/sig00000964 ),
+ .CE(\blk00000003/blk0000027e/sig0000097d ),
+ .CLK(clk),
+ .D(\blk00000003/sig000004c6 ),
+ .Q(\blk00000003/blk0000027e/sig00000967 ),
+ .Q15(\NLW_blk00000003/blk0000027e/blk00000298_Q15_UNCONNECTED )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000297 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000097c ),
+ .Q(\blk00000003/sig0000036c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000296 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000097b ),
+ .Q(\blk00000003/sig0000036d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000295 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000097a ),
+ .Q(\blk00000003/sig0000036e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000294 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000979 ),
+ .Q(\blk00000003/sig0000036f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000293 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000978 ),
+ .Q(\blk00000003/sig00000370 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000292 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000977 ),
+ .Q(\blk00000003/sig00000371 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000291 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000976 ),
+ .Q(\blk00000003/sig00000372 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000290 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000975 ),
+ .Q(\blk00000003/sig00000373 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk0000028f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000974 ),
+ .Q(\blk00000003/sig00000374 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk0000028e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000973 ),
+ .Q(\blk00000003/sig00000375 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk0000028d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000972 ),
+ .Q(\blk00000003/sig00000376 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk0000028c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000971 ),
+ .Q(\blk00000003/sig00000377 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk0000028b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000970 ),
+ .Q(\blk00000003/sig00000378 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk0000028a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000096f ),
+ .Q(\blk00000003/sig00000379 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000289 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000096e ),
+ .Q(\blk00000003/sig0000037a )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000288 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000096d ),
+ .Q(\blk00000003/sig0000037b )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000287 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000096c ),
+ .Q(\blk00000003/sig0000037c )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000286 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000096b ),
+ .Q(\blk00000003/sig0000037d )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000285 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig0000096a ),
+ .Q(\blk00000003/sig0000037e )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000284 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000969 ),
+ .Q(\blk00000003/sig0000037f )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000283 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000968 ),
+ .Q(\blk00000003/sig00000380 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000282 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000967 ),
+ .Q(\blk00000003/sig00000381 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000281 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000966 ),
+ .Q(\blk00000003/sig00000382 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk0000027e/blk00000280 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk0000027e/sig00000965 ),
+ .Q(\blk00000003/sig00000383 )
+ );
+ GND \blk00000003/blk0000027e/blk0000027f (
+ .G(\blk00000003/blk0000027e/sig00000964 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000002b1/blk000002e9 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000234 ),
+ .O(\blk00000003/blk000002b1/sig000009e4 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e8 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004c9 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009d1 ),
+ .DPO(\blk00000003/blk000002b1/sig000009e3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e7 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004ca ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009d0 ),
+ .DPO(\blk00000003/blk000002b1/sig000009e2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e6 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004cb ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009cf ),
+ .DPO(\blk00000003/blk000002b1/sig000009e1 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e5 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004cc ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009ce ),
+ .DPO(\blk00000003/blk000002b1/sig000009e0 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e4 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004cd ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009cd ),
+ .DPO(\blk00000003/blk000002b1/sig000009df )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e3 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004ce ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009cc ),
+ .DPO(\blk00000003/blk000002b1/sig000009de )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000095 ))
+ \blk00000003/blk000002b1/blk000002e2 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d0 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009ca ),
+ .DPO(\blk00000003/blk000002b1/sig000009dc )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000E5 ))
+ \blk00000003/blk000002b1/blk000002e1 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d1 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c9 ),
+ .DPO(\blk00000003/blk000002b1/sig000009db )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002b1/blk000002e0 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004cf ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009cb ),
+ .DPO(\blk00000003/blk000002b1/sig000009dd )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000BD ))
+ \blk00000003/blk000002b1/blk000002df (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d2 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c8 ),
+ .DPO(\blk00000003/blk000002b1/sig000009da )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000F1 ))
+ \blk00000003/blk000002b1/blk000002de (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d3 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c7 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d9 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000000B ))
+ \blk00000003/blk000002b1/blk000002dd (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d4 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c6 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d8 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000DC ))
+ \blk00000003/blk000002b1/blk000002dc (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d5 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c5 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d7 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000057 ))
+ \blk00000003/blk000002b1/blk000002db (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d6 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c4 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d6 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000037 ))
+ \blk00000003/blk000002b1/blk000002da (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d7 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c3 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d5 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000072 ))
+ \blk00000003/blk000002b1/blk000002d9 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d9 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c1 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d3 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000A7 ))
+ \blk00000003/blk000002b1/blk000002d8 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004da ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c0 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d2 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000047 ))
+ \blk00000003/blk000002b1/blk000002d7 (
+ .A0(\blk00000003/sig0000026a ),
+ .A1(\blk00000003/sig0000026e ),
+ .A2(\blk00000003/sig00000271 ),
+ .A3(\blk00000003/sig00000275 ),
+ .A4(\blk00000003/blk000002b1/sig000009bf ),
+ .D(\blk00000003/sig000004d8 ),
+ .DPRA0(\blk00000003/sig000002d3 ),
+ .DPRA1(\blk00000003/sig000002d7 ),
+ .DPRA2(\blk00000003/sig000002da ),
+ .DPRA3(\blk00000003/sig000002e1 ),
+ .DPRA4(\blk00000003/blk000002b1/sig000009bf ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002b1/sig000009e4 ),
+ .SPO(\blk00000003/blk000002b1/sig000009c2 ),
+ .DPO(\blk00000003/blk000002b1/sig000009d4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009e3 ),
+ .Q(\blk00000003/sig000002e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009e2 ),
+ .Q(\blk00000003/sig000002e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009e1 ),
+ .Q(\blk00000003/sig000002e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009e0 ),
+ .Q(\blk00000003/sig000002e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009df ),
+ .Q(\blk00000003/sig000002e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009de ),
+ .Q(\blk00000003/sig000002e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002d0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009dd ),
+ .Q(\blk00000003/sig000002e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002cf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009dc ),
+ .Q(\blk00000003/sig000002e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002ce (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009db ),
+ .Q(\blk00000003/sig000002ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002cd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009da ),
+ .Q(\blk00000003/sig000002eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002cc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d9 ),
+ .Q(\blk00000003/sig000002ec )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002cb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d8 ),
+ .Q(\blk00000003/sig000002ed )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002ca (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d7 ),
+ .Q(\blk00000003/sig000002ee )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d6 ),
+ .Q(\blk00000003/sig000002ef )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d5 ),
+ .Q(\blk00000003/sig000002f0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d4 ),
+ .Q(\blk00000003/sig000002f1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d3 ),
+ .Q(\blk00000003/sig000002f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d2 ),
+ .Q(\blk00000003/sig000002f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d1 ),
+ .Q(\blk00000003/sig000004db )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009d0 ),
+ .Q(\blk00000003/sig000004dc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009cf ),
+ .Q(\blk00000003/sig000004dd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009ce ),
+ .Q(\blk00000003/sig000004de )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002c0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009cd ),
+ .Q(\blk00000003/sig000004df )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002bf (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009cc ),
+ .Q(\blk00000003/sig000004e0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002be (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009cb ),
+ .Q(\blk00000003/sig000004e1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002bd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009ca ),
+ .Q(\blk00000003/sig000004e2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002bc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c9 ),
+ .Q(\blk00000003/sig000004e3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002bb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c8 ),
+ .Q(\blk00000003/sig000004e4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002ba (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c7 ),
+ .Q(\blk00000003/sig000004e5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c6 ),
+ .Q(\blk00000003/sig000004e6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c5 ),
+ .Q(\blk00000003/sig000004e7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c4 ),
+ .Q(\blk00000003/sig000004e8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c3 ),
+ .Q(\blk00000003/sig000004e9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c2 ),
+ .Q(\blk00000003/sig000004ea )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c1 ),
+ .Q(\blk00000003/sig000004eb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002b1/blk000002b3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002b1/sig000009c0 ),
+ .Q(\blk00000003/sig000004ec )
+ );
+ GND \blk00000003/blk000002b1/blk000002b2 (
+ .G(\blk00000003/blk000002b1/sig000009bf )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk000002ea/blk00000310 (
+ .I0(ce),
+ .I1(\blk00000003/sig0000042a ),
+ .O(\blk00000003/blk000002ea/sig00000a27 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002ea/blk0000030f (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004db ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk0000030f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a26 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000D5 ))
+ \blk00000003/blk000002ea/blk0000030e (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004dc ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk0000030e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a25 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000055 ))
+ \blk00000003/blk000002ea/blk0000030d (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004dd ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk0000030d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a24 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000095 ))
+ \blk00000003/blk000002ea/blk0000030c (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004de ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk0000030c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a23 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000025 ))
+ \blk00000003/blk000002ea/blk0000030b (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004df ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk0000030b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a22 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000079 ))
+ \blk00000003/blk000002ea/blk0000030a (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e0 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk0000030a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a21 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000FB ))
+ \blk00000003/blk000002ea/blk00000309 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e2 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000309_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a1f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000056 ))
+ \blk00000003/blk000002ea/blk00000308 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e3 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000308_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a1e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000002E ))
+ \blk00000003/blk000002ea/blk00000307 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e1 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000307_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a20 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000015 ))
+ \blk00000003/blk000002ea/blk00000306 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e4 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000306_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a1d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000B2 ))
+ \blk00000003/blk000002ea/blk00000305 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e5 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000305_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a1c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h0000009F ))
+ \blk00000003/blk000002ea/blk00000304 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e6 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000304_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a1b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000CE ))
+ \blk00000003/blk000002ea/blk00000303 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e7 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000303_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a1a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000C4 ))
+ \blk00000003/blk000002ea/blk00000302 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e8 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000302_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a19 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000094 ))
+ \blk00000003/blk000002ea/blk00000301 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004e9 ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000301_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a18 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000024 ))
+ \blk00000003/blk000002ea/blk00000300 (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004eb ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk00000300_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a16 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000047 ))
+ \blk00000003/blk000002ea/blk000002ff (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004ec ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk000002ff_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a15 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h000000E6 ))
+ \blk00000003/blk000002ea/blk000002fe (
+ .A0(\blk00000003/sig00000426 ),
+ .A1(\blk00000003/sig00000427 ),
+ .A2(\blk00000003/sig00000428 ),
+ .A3(\blk00000003/sig00000429 ),
+ .A4(\blk00000003/blk000002ea/sig00000a14 ),
+ .D(\blk00000003/sig000004ea ),
+ .DPRA0(\blk00000003/sig00000433 ),
+ .DPRA1(\blk00000003/sig00000432 ),
+ .DPRA2(\blk00000003/sig00000431 ),
+ .DPRA3(\blk00000003/sig00000430 ),
+ .DPRA4(\blk00000003/blk000002ea/sig00000a14 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk000002ea/sig00000a27 ),
+ .SPO(\NLW_blk00000003/blk000002ea/blk000002fe_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk000002ea/sig00000a17 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002fd (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a26 ),
+ .Q(\blk00000003/sig000003b4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002fc (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a25 ),
+ .Q(\blk00000003/sig000003b5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002fb (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a24 ),
+ .Q(\blk00000003/sig000003b6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002fa (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a23 ),
+ .Q(\blk00000003/sig000003b7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f9 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a22 ),
+ .Q(\blk00000003/sig000003b8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f8 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a21 ),
+ .Q(\blk00000003/sig000003b9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f7 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a20 ),
+ .Q(\blk00000003/sig000003ba )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f6 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a1f ),
+ .Q(\blk00000003/sig000003bb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f5 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a1e ),
+ .Q(\blk00000003/sig000003bc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f4 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a1d ),
+ .Q(\blk00000003/sig000003bd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f3 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a1c ),
+ .Q(\blk00000003/sig000003be )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f2 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a1b ),
+ .Q(\blk00000003/sig000003bf )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f1 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a1a ),
+ .Q(\blk00000003/sig000003c0 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002f0 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a19 ),
+ .Q(\blk00000003/sig000003c1 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002ef (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a18 ),
+ .Q(\blk00000003/sig000003c2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002ee (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a17 ),
+ .Q(\blk00000003/sig000003c3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002ed (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a16 ),
+ .Q(\blk00000003/sig000003c4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk000002ea/blk000002ec (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk000002ea/sig00000a15 ),
+ .Q(\blk00000003/sig000003c5 )
+ );
+ GND \blk00000003/blk000002ea/blk000002eb (
+ .G(\blk00000003/blk000002ea/sig00000a14 )
+ );
+ LUT2 #(
+ .INIT ( 4'h8 ))
+ \blk00000003/blk00000371/blk00000397 (
+ .I0(ce),
+ .I1(\blk00000003/sig00000232 ),
+ .O(\blk00000003/blk00000371/sig00000a64 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000000 ))
+ \blk00000003/blk00000371/blk00000396 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004c9 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000396_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a63 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000395 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004ca ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000395_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a62 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000394 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004cb ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000394_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a61 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000393 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004cc ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000393_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a60 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000392 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004cd ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000392_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a5f )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000391 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004ce ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000391_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a5e )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000390 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d0 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000390_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a5c )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk0000038f (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d1 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk0000038f_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a5b )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk0000038e (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004cf ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk0000038e_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a5d )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk0000038d (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d2 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk0000038d_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a5a )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk0000038c (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d3 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk0000038c_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a59 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk0000038b (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d4 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk0000038b_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a58 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk0000038a (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d5 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk0000038a_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a57 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000389 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d6 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000389_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a56 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000388 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d7 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000388_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a55 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000387 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d9 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000387_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a53 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000386 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004da ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000386_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a52 )
+ );
+ RAM32X1D #(
+ .INIT ( 32'h00000001 ))
+ \blk00000003/blk00000371/blk00000385 (
+ .A0(\blk00000003/sig00000239 ),
+ .A1(\blk00000003/blk00000371/sig00000a51 ),
+ .A2(\blk00000003/blk00000371/sig00000a51 ),
+ .A3(\blk00000003/blk00000371/sig00000a51 ),
+ .A4(\blk00000003/blk00000371/sig00000a51 ),
+ .D(\blk00000003/sig000004d8 ),
+ .DPRA0(\blk00000003/sig000001d0 ),
+ .DPRA1(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA2(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA3(\blk00000003/blk00000371/sig00000a51 ),
+ .DPRA4(\blk00000003/blk00000371/sig00000a51 ),
+ .WCLK(clk),
+ .WE(\blk00000003/blk00000371/sig00000a64 ),
+ .SPO(\NLW_blk00000003/blk00000371/blk00000385_SPO_UNCONNECTED ),
+ .DPO(\blk00000003/blk00000371/sig00000a54 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000384 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a63 ),
+ .Q(\blk00000003/sig000000f2 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000383 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a62 ),
+ .Q(\blk00000003/sig000000f3 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000382 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a61 ),
+ .Q(\blk00000003/sig000000f4 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000381 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a60 ),
+ .Q(\blk00000003/sig000000f5 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000380 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a5f ),
+ .Q(\blk00000003/sig000000f6 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk0000037f (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a5e ),
+ .Q(\blk00000003/sig000000f7 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk0000037e (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a5d ),
+ .Q(\blk00000003/sig000000f8 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk0000037d (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a5c ),
+ .Q(\blk00000003/sig000000f9 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk0000037c (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a5b ),
+ .Q(\blk00000003/sig000000fa )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk0000037b (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a5a ),
+ .Q(\blk00000003/sig000000fb )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk0000037a (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a59 ),
+ .Q(\blk00000003/sig000000fc )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000379 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a58 ),
+ .Q(\blk00000003/sig000000fd )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000378 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a57 ),
+ .Q(\blk00000003/sig000000fe )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000377 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a56 ),
+ .Q(\blk00000003/sig000000ff )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000376 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a55 ),
+ .Q(\blk00000003/sig00000100 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000375 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a54 ),
+ .Q(\blk00000003/sig00000101 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000374 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a53 ),
+ .Q(\blk00000003/sig00000102 )
+ );
+ FDE #(
+ .INIT ( 1'b0 ))
+ \blk00000003/blk00000371/blk00000373 (
+ .C(clk),
+ .CE(ce),
+ .D(\blk00000003/blk00000371/sig00000a52 ),
+ .Q(\blk00000003/sig00000103 )
+ );
+ GND \blk00000003/blk00000371/blk00000372 (
+ .G(\blk00000003/blk00000371/sig00000a51 )
+ );
+
+// synthesis translate_on
+
+endmodule
+
+// synthesis translate_off
+
+`ifndef GLBL
+`define GLBL
+
+`timescale 1 ps / 1 ps
+
+module glbl ();
+
+ parameter ROC_WIDTH = 100000;
+ parameter TOC_WIDTH = 0;
+
+//-------- STARTUP Globals --------------
+ wire GSR;
+ wire GTS;
+ wire GWE;
+ wire PRLD;
+ tri1 p_up_tmp;
+ tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
+
+ wire PROGB_GLBL;
+ wire CCLKO_GLBL;
+
+ reg GSR_int;
+ reg GTS_int;
+ reg PRLD_int;
+
+//-------- JTAG Globals --------------
+ wire JTAG_TDO_GLBL;
+ wire JTAG_TCK_GLBL;
+ wire JTAG_TDI_GLBL;
+ wire JTAG_TMS_GLBL;
+ wire JTAG_TRST_GLBL;
+
+ reg JTAG_CAPTURE_GLBL;
+ reg JTAG_RESET_GLBL;
+ reg JTAG_SHIFT_GLBL;
+ reg JTAG_UPDATE_GLBL;
+ reg JTAG_RUNTEST_GLBL;
+
+ reg JTAG_SEL1_GLBL = 0;
+ reg JTAG_SEL2_GLBL = 0 ;
+ reg JTAG_SEL3_GLBL = 0;
+ reg JTAG_SEL4_GLBL = 0;
+
+ reg JTAG_USER_TDO1_GLBL = 1'bz;
+ reg JTAG_USER_TDO2_GLBL = 1'bz;
+ reg JTAG_USER_TDO3_GLBL = 1'bz;
+ reg JTAG_USER_TDO4_GLBL = 1'bz;
+
+ assign (weak1, weak0) GSR = GSR_int;
+ assign (weak1, weak0) GTS = GTS_int;
+ assign (weak1, weak0) PRLD = PRLD_int;
+
+ initial begin
+ GSR_int = 1'b1;
+ PRLD_int = 1'b1;
+ #(ROC_WIDTH)
+ GSR_int = 1'b0;
+ PRLD_int = 1'b0;
+ end
+
+ initial begin
+ GTS_int = 1'b1;
+ #(TOC_WIDTH)
+ GTS_int = 1'b0;
+ end
+
+endmodule
+
+`endif
+
+// synthesis translate_on
diff --git a/fpga/usrp3/top/x400/coregen_dsp/hbdec3_stub.v b/fpga/usrp3/top/x400/coregen_dsp/hbdec3_stub.v
new file mode 100644
index 000000000..61389d4ba
--- /dev/null
+++ b/fpga/usrp3/top/x400/coregen_dsp/hbdec3_stub.v
@@ -0,0 +1,20 @@
+module hbdec3(
+ sclr, ce, rfd, rdy, data_valid, coef_we, nd, clk,
+ coef_ld, dout_1, dout_2, din_1, din_2, coef_din
+)
+/* synthesis syn_black_box black_box_pad_pin="sclr,ce,rfd,rdy,data_valid,coef_we,nd,clk,coef_ld,dout_1[47:0],dout_2[47:0],din_1[23:0],din_2[23:0],coef_din[17:0]" */;
+ input sclr;
+ input ce;
+ output rfd;
+ output rdy;
+ output data_valid;
+ input coef_we;
+ input nd;
+ input clk;
+ input coef_ld;
+ output [47:0]dout_1;
+ output [47:0]dout_2;
+ input [23:0]din_1;
+ input [23:0]din_2;
+ input [17:0]coef_din;
+endmodule
diff --git a/fpga/usrp3/top/x400/cpld_interface.v b/fpga/usrp3/top/x400/cpld_interface.v
new file mode 100644
index 000000000..a98fe7962
--- /dev/null
+++ b/fpga/usrp3/top/x400/cpld_interface.v
@@ -0,0 +1,638 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: cpld_interface.v
+//
+// Description:
+//
+// This module comprises the logic on the FPGA to connect with the
+// motherboard CPLD.
+//
+// As a first step each request source is transferred to PRC domain.
+// Timestamps are removed in the timer modules. All requests are bundled in
+// one combiner and then split / decoded across all targets. By inserting of
+// ctrlport termination modules a ctrlport answer will be available for all
+// addresses to avoid blocking the main ctrlport combiner.
+//
+
+`default_nettype none
+
+
+module cpld_interface (
+ // Clocks
+ input wire s_axi_aclk,
+ input wire s_axi_aresetn,
+ input wire pll_ref_clk,
+ input wire radio_clk,
+
+ // Reset (domain: pll_ref_clk)
+ input wire ctrlport_rst,
+
+ // Timestamp (domain: radio_clk)
+ input wire [63:0] radio_time,
+ input wire radio_time_stb,
+ input wire [ 3:0] time_ignore_bits,
+
+ // AXI4-Lite: Write address port (domain: s_axi_aclk)
+ input wire [16:0] s_axi_awaddr,
+ input wire s_axi_awvalid,
+ output wire s_axi_awready,
+ // AXI4-Lite: Write data port (domain: s_axi_aclk)
+ input wire [31:0] s_axi_wdata,
+ input wire [ 3:0] s_axi_wstrb,
+ input wire s_axi_wvalid,
+ output wire s_axi_wready,
+ // AXI4-Lite: Write response port (domain: s_axi_aclk)
+ output wire[ 1:0] s_axi_bresp,
+ output wire s_axi_bvalid,
+ input wire s_axi_bready,
+ // AXI4-Lite: Read address port (domain: s_axi_aclk)
+ input wire [16:0] s_axi_araddr,
+ input wire s_axi_arvalid,
+ output wire s_axi_arready,
+ // AXI4-Lite: Read data port (domain: s_axi_aclk)
+ output wire [31:0] s_axi_rdata,
+ output wire [ 1:0] s_axi_rresp,
+ output wire s_axi_rvalid,
+ input wire s_axi_rready,
+
+ // Control port from / to application (domain: radio_clk)
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+ input wire [ 3:0] s_ctrlport_req_byte_en,
+ input wire s_ctrlport_req_has_time,
+ input wire [63:0] s_ctrlport_req_time,
+ output wire s_ctrlport_resp_ack,
+ output wire [ 1:0] s_ctrlport_resp_status,
+ output wire [31:0] s_ctrlport_resp_data,
+
+ // SPI Bus to connect to MB CPLD
+ output wire [ 1:0] ss,
+ output wire sclk,
+ output wire mosi,
+ input wire miso,
+
+ // QSFP port LED (domain: any)
+ input wire [ 3:0] qsfp0_led_active,
+ input wire [ 3:0] qsfp0_led_link,
+ input wire [ 3:0] qsfp1_led_active,
+ input wire [ 3:0] qsfp1_led_link,
+
+ // iPass present signals
+ input wire [ 1:0] ipass_present_n,
+
+ // Version (Constant)
+ output wire [95:0] version_info
+);
+
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+ `include "regmap/pl_cpld_regmap_utils.vh"
+ `include "cpld/regmap/mb_cpld_pl_regmap_utils.vh"
+ `include "cpld/regmap/pl_cpld_base_regmap_utils.vh"
+
+ //---------------------------------------------------------------------------
+ // Internal clocks and resets
+ //---------------------------------------------------------------------------
+
+ wire s_axi_areset;
+ wire ctrlport_clk;
+ assign s_axi_areset = ~s_axi_aresetn;
+ assign ctrlport_clk = pll_ref_clk;
+
+ //---------------------------------------------------------------------------
+ // Timestamp synchronization
+ //---------------------------------------------------------------------------
+
+ reg [ 3:0] radio_time_stb_shift_reg;
+ wire radio_time_stb_prc;
+ reg [63:0] radio_time_prc;
+
+ // radio_clk and pll_ref_clk are synchronous clocks with an integer
+ // multiplier <= 4.
+ //
+ // A simple register can be used to capture the latest timestamp the strobe
+ // pulse is preserved for up to 4 clock cycles and used in pll_ref_clk domain
+ // to driver timers.
+ always @(posedge radio_clk) begin
+ radio_time_stb_shift_reg <= {radio_time_stb_shift_reg[2:0], radio_time_stb};
+ if (radio_time_stb) begin
+ radio_time_prc <= radio_time;
+ end
+ end
+ assign radio_time_stb_prc = | radio_time_stb_shift_reg;
+
+ //---------------------------------------------------------------------------
+ // MPM Endpoint connection
+ //---------------------------------------------------------------------------
+ // Translate AXI lite to control port.
+ // Timeout based on the 40 MHz AXI clock is about 0.839 seconds.
+
+ wire [19:0] mpm_endpoint_ctrlport_axi_clk_req_addr;
+ wire [ 3:0] mpm_endpoint_ctrlport_axi_clk_req_byte_en;
+ wire [31:0] mpm_endpoint_ctrlport_axi_clk_req_data;
+ wire mpm_endpoint_ctrlport_axi_clk_req_has_time;
+ wire [ 9:0] mpm_endpoint_ctrlport_axi_clk_req_portid;
+ wire mpm_endpoint_ctrlport_axi_clk_req_rd;
+ wire [15:0] mpm_endpoint_ctrlport_axi_clk_req_rem_epid;
+ wire [ 9:0] mpm_endpoint_ctrlport_axi_clk_req_rem_portid;
+ wire [63:0] mpm_endpoint_ctrlport_axi_clk_req_time;
+ wire mpm_endpoint_ctrlport_axi_clk_req_wr;
+ wire mpm_endpoint_ctrlport_axi_clk_resp_ack;
+ wire [31:0] mpm_endpoint_ctrlport_axi_clk_resp_data;
+ wire [ 1:0] mpm_endpoint_ctrlport_axi_clk_resp_status;
+
+ axil_ctrlport_master #(
+ .TIMEOUT (25),
+ .AXI_AWIDTH (17),
+ .CTRLPORT_AWIDTH (17)
+ ) mpm_endpoint (
+ .s_axi_aclk (s_axi_aclk),
+ .s_axi_aresetn (s_axi_aresetn),
+ .s_axi_awaddr (s_axi_awaddr),
+ .s_axi_awvalid (s_axi_awvalid),
+ .s_axi_awready (s_axi_awready),
+ .s_axi_wdata (s_axi_wdata),
+ .s_axi_wstrb (s_axi_wstrb),
+ .s_axi_wvalid (s_axi_wvalid),
+ .s_axi_wready (s_axi_wready),
+ .s_axi_bresp (s_axi_bresp),
+ .s_axi_bvalid (s_axi_bvalid),
+ .s_axi_bready (s_axi_bready),
+ .s_axi_araddr (s_axi_araddr),
+ .s_axi_arvalid (s_axi_arvalid),
+ .s_axi_arready (s_axi_arready),
+ .s_axi_rdata (s_axi_rdata),
+ .s_axi_rresp (s_axi_rresp),
+ .s_axi_rvalid (s_axi_rvalid),
+ .s_axi_rready (s_axi_rready),
+ .m_ctrlport_req_wr (mpm_endpoint_ctrlport_axi_clk_req_wr),
+ .m_ctrlport_req_rd (mpm_endpoint_ctrlport_axi_clk_req_rd),
+ .m_ctrlport_req_addr (mpm_endpoint_ctrlport_axi_clk_req_addr),
+ .m_ctrlport_req_portid (mpm_endpoint_ctrlport_axi_clk_req_portid),
+ .m_ctrlport_req_rem_epid (mpm_endpoint_ctrlport_axi_clk_req_rem_epid),
+ .m_ctrlport_req_rem_portid (mpm_endpoint_ctrlport_axi_clk_req_rem_portid),
+ .m_ctrlport_req_data (mpm_endpoint_ctrlport_axi_clk_req_data),
+ .m_ctrlport_req_byte_en (mpm_endpoint_ctrlport_axi_clk_req_byte_en),
+ .m_ctrlport_req_has_time (mpm_endpoint_ctrlport_axi_clk_req_has_time),
+ .m_ctrlport_req_time (mpm_endpoint_ctrlport_axi_clk_req_time),
+ .m_ctrlport_resp_ack (mpm_endpoint_ctrlport_axi_clk_resp_ack),
+ .m_ctrlport_resp_status (mpm_endpoint_ctrlport_axi_clk_resp_status),
+ .m_ctrlport_resp_data (mpm_endpoint_ctrlport_axi_clk_resp_data)
+ );
+
+ // Transfer AXI clock based MPM endpoint control port request to pll_ref_clk
+ // domain.
+ wire [19:0] mpm_endpoint_ctrlport_pll_clk_req_addr;
+ wire [ 3:0] mpm_endpoint_ctrlport_pll_clk_req_byte_en;
+ wire [31:0] mpm_endpoint_ctrlport_pll_clk_req_data;
+ wire mpm_endpoint_ctrlport_pll_clk_req_has_time;
+ wire mpm_endpoint_ctrlport_pll_clk_req_rd;
+ wire [63:0] mpm_endpoint_ctrlport_pll_clk_req_time;
+ wire mpm_endpoint_ctrlport_pll_clk_req_wr;
+ wire mpm_endpoint_ctrlport_pll_clk_resp_ack;
+ wire [31:0] mpm_endpoint_ctrlport_pll_clk_resp_data;
+ wire [ 1:0] mpm_endpoint_ctrlport_pll_clk_resp_status;
+
+ ctrlport_clk_cross ctrlport_clk_cross_mpm (
+ .rst (s_axi_areset),
+ .s_ctrlport_clk (s_axi_aclk),
+ .s_ctrlport_req_wr (mpm_endpoint_ctrlport_axi_clk_req_wr),
+ .s_ctrlport_req_rd (mpm_endpoint_ctrlport_axi_clk_req_rd),
+ .s_ctrlport_req_addr (mpm_endpoint_ctrlport_axi_clk_req_addr),
+ .s_ctrlport_req_portid (mpm_endpoint_ctrlport_axi_clk_req_portid),
+ .s_ctrlport_req_rem_epid (mpm_endpoint_ctrlport_axi_clk_req_rem_epid),
+ .s_ctrlport_req_rem_portid (mpm_endpoint_ctrlport_axi_clk_req_rem_portid),
+ .s_ctrlport_req_data (mpm_endpoint_ctrlport_axi_clk_req_data),
+ .s_ctrlport_req_byte_en (mpm_endpoint_ctrlport_axi_clk_req_byte_en),
+ .s_ctrlport_req_has_time (mpm_endpoint_ctrlport_axi_clk_req_has_time),
+ .s_ctrlport_req_time (mpm_endpoint_ctrlport_axi_clk_req_time),
+ .s_ctrlport_resp_ack (mpm_endpoint_ctrlport_axi_clk_resp_ack),
+ .s_ctrlport_resp_status (mpm_endpoint_ctrlport_axi_clk_resp_status),
+ .s_ctrlport_resp_data (mpm_endpoint_ctrlport_axi_clk_resp_data),
+ .m_ctrlport_clk (ctrlport_clk),
+ .m_ctrlport_req_wr (mpm_endpoint_ctrlport_pll_clk_req_wr),
+ .m_ctrlport_req_rd (mpm_endpoint_ctrlport_pll_clk_req_rd),
+ .m_ctrlport_req_addr (mpm_endpoint_ctrlport_pll_clk_req_addr),
+ .m_ctrlport_req_portid (),
+ .m_ctrlport_req_rem_epid (),
+ .m_ctrlport_req_rem_portid (),
+ .m_ctrlport_req_data (mpm_endpoint_ctrlport_pll_clk_req_data),
+ .m_ctrlport_req_byte_en (mpm_endpoint_ctrlport_pll_clk_req_byte_en),
+ .m_ctrlport_req_has_time (mpm_endpoint_ctrlport_pll_clk_req_has_time),
+ .m_ctrlport_req_time (mpm_endpoint_ctrlport_pll_clk_req_time),
+ .m_ctrlport_resp_ack (mpm_endpoint_ctrlport_pll_clk_resp_ack),
+ .m_ctrlport_resp_status (mpm_endpoint_ctrlport_pll_clk_resp_status),
+ .m_ctrlport_resp_data (mpm_endpoint_ctrlport_pll_clk_resp_data)
+ );
+
+ // Apply time of ControlPort request to MPM endpoint request.
+ wire [19:0] mpm_endpoint_ctrlport_req_addr;
+ wire [ 3:0] mpm_endpoint_ctrlport_req_byte_en;
+ wire [31:0] mpm_endpoint_ctrlport_req_data;
+ wire mpm_endpoint_ctrlport_req_rd;
+ wire mpm_endpoint_ctrlport_req_wr;
+ wire mpm_endpoint_ctrlport_resp_ack;
+ wire [31:0] mpm_endpoint_ctrlport_resp_data;
+ wire [ 1:0] mpm_endpoint_ctrlport_resp_status;
+
+ ctrlport_timer #(
+ .EXEC_LATE_CMDS (1)
+ ) ctrlport_timer_mpm (
+ .clk (ctrlport_clk),
+ .rst (ctrlport_rst),
+ .time_now (radio_time_prc),
+ .time_now_stb (radio_time_stb_prc),
+ .time_ignore_bits (time_ignore_bits),
+ .s_ctrlport_req_wr (mpm_endpoint_ctrlport_pll_clk_req_wr),
+ .s_ctrlport_req_rd (mpm_endpoint_ctrlport_pll_clk_req_rd),
+ .s_ctrlport_req_addr (mpm_endpoint_ctrlport_pll_clk_req_addr),
+ .s_ctrlport_req_data (mpm_endpoint_ctrlport_pll_clk_req_data),
+ .s_ctrlport_req_byte_en (mpm_endpoint_ctrlport_pll_clk_req_byte_en),
+ .s_ctrlport_req_has_time (mpm_endpoint_ctrlport_pll_clk_req_has_time),
+ .s_ctrlport_req_time (mpm_endpoint_ctrlport_pll_clk_req_time),
+ .s_ctrlport_resp_ack (mpm_endpoint_ctrlport_pll_clk_resp_ack),
+ .s_ctrlport_resp_status (mpm_endpoint_ctrlport_pll_clk_resp_status),
+ .s_ctrlport_resp_data (mpm_endpoint_ctrlport_pll_clk_resp_data),
+ .m_ctrlport_req_wr (mpm_endpoint_ctrlport_req_wr),
+ .m_ctrlport_req_rd (mpm_endpoint_ctrlport_req_rd),
+ .m_ctrlport_req_addr (mpm_endpoint_ctrlport_req_addr),
+ .m_ctrlport_req_data (mpm_endpoint_ctrlport_req_data),
+ .m_ctrlport_req_byte_en (mpm_endpoint_ctrlport_req_byte_en),
+ .m_ctrlport_resp_ack (mpm_endpoint_ctrlport_resp_ack),
+ .m_ctrlport_resp_status (mpm_endpoint_ctrlport_resp_status),
+ .m_ctrlport_resp_data (mpm_endpoint_ctrlport_resp_data)
+ );
+
+ //---------------------------------------------------------------------------
+ // User Application Request
+ //---------------------------------------------------------------------------
+ // Transfer request to pll_ref_clk domain.
+
+ wire [19:0] app_ctrlport_pll_clk_req_addr;
+ wire [ 3:0] app_ctrlport_pll_clk_req_byte_en;
+ wire [31:0] app_ctrlport_pll_clk_req_data;
+ wire app_ctrlport_pll_clk_req_has_time;
+ wire app_ctrlport_pll_clk_req_rd;
+ wire [63:0] app_ctrlport_pll_clk_req_time;
+ wire app_ctrlport_pll_clk_req_wr;
+ wire app_ctrlport_pll_clk_resp_ack;
+ wire [31:0] app_ctrlport_pll_clk_resp_data;
+ wire [ 1:0] app_ctrlport_pll_clk_resp_status;
+
+ ctrlport_clk_cross ctrlport_clk_cross_app (
+ .rst (ctrlport_rst),
+ .s_ctrlport_clk (radio_clk),
+ .s_ctrlport_req_wr (s_ctrlport_req_wr),
+ .s_ctrlport_req_rd (s_ctrlport_req_rd),
+ .s_ctrlport_req_addr (s_ctrlport_req_addr),
+ .s_ctrlport_req_portid (),
+ .s_ctrlport_req_rem_epid (),
+ .s_ctrlport_req_rem_portid (),
+ .s_ctrlport_req_data (s_ctrlport_req_data),
+ .s_ctrlport_req_byte_en (s_ctrlport_req_byte_en),
+ .s_ctrlport_req_has_time (s_ctrlport_req_has_time),
+ .s_ctrlport_req_time (s_ctrlport_req_time),
+ .s_ctrlport_resp_ack (s_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (s_ctrlport_resp_status),
+ .s_ctrlport_resp_data (s_ctrlport_resp_data),
+ .m_ctrlport_clk (ctrlport_clk),
+ .m_ctrlport_req_wr (app_ctrlport_pll_clk_req_wr),
+ .m_ctrlport_req_rd (app_ctrlport_pll_clk_req_rd),
+ .m_ctrlport_req_addr (app_ctrlport_pll_clk_req_addr),
+ .m_ctrlport_req_portid (),
+ .m_ctrlport_req_rem_epid (),
+ .m_ctrlport_req_rem_portid (),
+ .m_ctrlport_req_data (app_ctrlport_pll_clk_req_data),
+ .m_ctrlport_req_byte_en (app_ctrlport_pll_clk_req_byte_en),
+ .m_ctrlport_req_has_time (app_ctrlport_pll_clk_req_has_time),
+ .m_ctrlport_req_time (app_ctrlport_pll_clk_req_time),
+ .m_ctrlport_resp_ack (app_ctrlport_pll_clk_resp_ack),
+ .m_ctrlport_resp_status (app_ctrlport_pll_clk_resp_status),
+ .m_ctrlport_resp_data (app_ctrlport_pll_clk_resp_data)
+ );
+
+ // Apply timing to application based ControlPort request.
+ wire [19:0] app_ctrlport_req_addr;
+ wire [ 3:0] app_ctrlport_req_byte_en;
+ wire [31:0] app_ctrlport_req_data;
+ wire app_ctrlport_req_rd;
+ wire app_ctrlport_req_wr;
+ wire app_ctrlport_resp_ack;
+ wire [31:0] app_ctrlport_resp_data;
+ wire [ 1:0] app_ctrlport_resp_status;
+
+ ctrlport_timer #(
+ .EXEC_LATE_CMDS (1)
+ ) ctrlport_timer_app (
+ .clk (ctrlport_clk),
+ .rst (ctrlport_rst),
+ .time_now (radio_time_prc),
+ .time_now_stb (radio_time_stb_prc),
+ .time_ignore_bits (time_ignore_bits),
+ .s_ctrlport_req_wr (app_ctrlport_pll_clk_req_wr),
+ .s_ctrlport_req_rd (app_ctrlport_pll_clk_req_rd),
+ .s_ctrlport_req_addr (app_ctrlport_pll_clk_req_addr),
+ .s_ctrlport_req_data (app_ctrlport_pll_clk_req_data),
+ .s_ctrlport_req_byte_en (app_ctrlport_pll_clk_req_byte_en),
+ .s_ctrlport_req_has_time (app_ctrlport_pll_clk_req_has_time),
+ .s_ctrlport_req_time (app_ctrlport_pll_clk_req_time),
+ .s_ctrlport_resp_ack (app_ctrlport_pll_clk_resp_ack),
+ .s_ctrlport_resp_status (app_ctrlport_pll_clk_resp_status),
+ .s_ctrlport_resp_data (app_ctrlport_pll_clk_resp_data),
+ .m_ctrlport_req_wr (app_ctrlport_req_wr),
+ .m_ctrlport_req_rd (app_ctrlport_req_rd),
+ .m_ctrlport_req_addr (app_ctrlport_req_addr),
+ .m_ctrlport_req_data (app_ctrlport_req_data),
+ .m_ctrlport_req_byte_en (app_ctrlport_req_byte_en),
+ .m_ctrlport_resp_ack (app_ctrlport_resp_ack),
+ .m_ctrlport_resp_status (app_ctrlport_resp_status),
+ .m_ctrlport_resp_data (app_ctrlport_resp_data)
+ );
+
+ //---------------------------------------------------------------------------
+ // QSFP LED Controller
+ //---------------------------------------------------------------------------
+
+ wire [19:0] led_ctrlport_req_addr;
+ wire [ 3:0] led_ctrlport_req_byte_en;
+ wire [31:0] led_ctrlport_req_data;
+ wire led_ctrlport_req_rd;
+ wire led_ctrlport_req_wr;
+ wire led_ctrlport_resp_ack;
+ wire [31:0] led_ctrlport_resp_data;
+ wire [ 1:0] led_ctrlport_resp_status;
+
+ qsfp_led_controller
+ # (.LED_REGISTER_ADDRESS(MB_CPLD + PL_REGISTERS + LED_REGISTER))
+ qsfp_led_controller_i (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .m_ctrlport_req_wr (led_ctrlport_req_wr),
+ .m_ctrlport_req_rd (led_ctrlport_req_rd),
+ .m_ctrlport_req_addr (led_ctrlport_req_addr),
+ .m_ctrlport_req_data (led_ctrlport_req_data),
+ .m_ctrlport_req_byte_en (led_ctrlport_req_byte_en),
+ .m_ctrlport_resp_ack (led_ctrlport_resp_ack),
+ .m_ctrlport_resp_status (led_ctrlport_resp_status),
+ .m_ctrlport_resp_data (led_ctrlport_resp_data),
+ .qsfp0_led_active (qsfp0_led_active),
+ .qsfp0_led_link (qsfp0_led_link),
+ .qsfp1_led_active (qsfp1_led_active),
+ .qsfp1_led_link (qsfp1_led_link));
+
+ //---------------------------------------------------------------------------
+ // iPass present controller
+ //---------------------------------------------------------------------------
+
+ wire [19:0] ipass_ctrlport_req_addr;
+ wire [ 3:0] ipass_ctrlport_req_byte_en;
+ wire [31:0] ipass_ctrlport_req_data;
+ wire ipass_ctrlport_req_rd;
+ wire ipass_ctrlport_req_wr;
+ wire ipass_ctrlport_resp_ack;
+ wire [31:0] ipass_ctrlport_resp_data;
+ wire [ 1:0] ipass_ctrlport_resp_status;
+
+ wire ipass_enable;
+
+ ipass_present_controller ipass_present_controller_i (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .m_ctrlport_req_wr (ipass_ctrlport_req_wr),
+ .m_ctrlport_req_rd (ipass_ctrlport_req_rd),
+ .m_ctrlport_req_addr (ipass_ctrlport_req_addr),
+ .m_ctrlport_req_data (ipass_ctrlport_req_data),
+ .m_ctrlport_req_byte_en (ipass_ctrlport_req_byte_en),
+ .m_ctrlport_resp_ack (ipass_ctrlport_resp_ack),
+ .m_ctrlport_resp_status (ipass_ctrlport_resp_status),
+ .m_ctrlport_resp_data (ipass_ctrlport_resp_data),
+ .enable (ipass_enable),
+ .ipass_present_n (ipass_present_n)
+ );
+
+ //---------------------------------------------------------------------------
+ // Combine all incoming combiner requests and provide to targets
+ //---------------------------------------------------------------------------
+
+ wire [19:0] m_ctrlport_req_addr;
+ wire [31:0] m_ctrlport_req_data;
+ wire m_ctrlport_req_rd;
+ wire m_ctrlport_req_wr;
+ wire m_ctrlport_resp_ack;
+ wire [31:0] m_ctrlport_resp_data;
+ wire [ 1:0] m_ctrlport_resp_status;
+
+ ctrlport_combiner #(
+ .NUM_MASTERS (4),
+ .PRIORITY (1)
+ ) ctrlport_combiner_i (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr ({ipass_ctrlport_req_wr, led_ctrlport_req_wr, mpm_endpoint_ctrlport_req_wr, app_ctrlport_req_wr}),
+ .s_ctrlport_req_rd ({ipass_ctrlport_req_rd, led_ctrlport_req_rd, mpm_endpoint_ctrlport_req_rd, app_ctrlport_req_rd}),
+ .s_ctrlport_req_addr ({ipass_ctrlport_req_addr, led_ctrlport_req_addr, mpm_endpoint_ctrlport_req_addr, app_ctrlport_req_addr}),
+ .s_ctrlport_req_portid (),
+ .s_ctrlport_req_rem_epid (),
+ .s_ctrlport_req_rem_portid (),
+ .s_ctrlport_req_data ({ipass_ctrlport_req_data, led_ctrlport_req_data, mpm_endpoint_ctrlport_req_data, app_ctrlport_req_data}),
+ .s_ctrlport_req_byte_en ({ipass_ctrlport_req_byte_en, led_ctrlport_req_byte_en, mpm_endpoint_ctrlport_req_byte_en, app_ctrlport_req_byte_en}),
+ .s_ctrlport_req_has_time (),
+ .s_ctrlport_req_time (),
+ .s_ctrlport_resp_ack ({ipass_ctrlport_resp_ack, led_ctrlport_resp_ack, mpm_endpoint_ctrlport_resp_ack, app_ctrlport_resp_ack}),
+ .s_ctrlport_resp_status ({ipass_ctrlport_resp_status, led_ctrlport_resp_status, mpm_endpoint_ctrlport_resp_status, app_ctrlport_resp_status}),
+ .s_ctrlport_resp_data ({ipass_ctrlport_resp_data, led_ctrlport_resp_data, mpm_endpoint_ctrlport_resp_data, app_ctrlport_resp_data}),
+ .m_ctrlport_req_wr (m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (m_ctrlport_req_addr),
+ .m_ctrlport_req_portid (),
+ .m_ctrlport_req_rem_epid (),
+ .m_ctrlport_req_rem_portid (),
+ .m_ctrlport_req_data (m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en (),
+ .m_ctrlport_req_has_time (),
+ .m_ctrlport_req_time (),
+ .m_ctrlport_resp_ack (m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status (m_ctrlport_resp_status),
+ .m_ctrlport_resp_data (m_ctrlport_resp_data)
+ );
+
+
+ // Split for CPLD facing requests and others.
+ wire [19:0] base_reg_ctrlport_req_addr;
+ wire [31:0] base_reg_ctrlport_req_data;
+ wire base_reg_ctrlport_req_rd;
+ wire base_reg_ctrlport_req_wr;
+ wire base_reg_ctrlport_resp_ack;
+ wire [31:0] base_reg_ctrlport_resp_data;
+ wire [ 1:0] base_reg_ctrlport_resp_status;
+
+ wire [19:0] spi_master_ctrlport_req_addr;
+ wire [31:0] spi_master_ctrlport_req_data;
+ wire spi_master_ctrlport_req_rd;
+ wire spi_master_ctrlport_req_wr;
+ wire spi_master_ctrlport_resp_ack;
+ wire [31:0] spi_master_ctrlport_resp_data;
+ wire [ 1:0] spi_master_ctrlport_resp_status;
+
+ wire [19:0] unused_fpga_intermediate_ctrlport_req_addr;
+ wire [31:0] unused_fpga_intermediate_ctrlport_req_data;
+ wire unused_fpga_intermediate_ctrlport_req_rd;
+ wire unused_fpga_intermediate_ctrlport_req_wr;
+ wire unused_fpga_intermediate_ctrlport_resp_ack;
+ wire [31:0] unused_fpga_intermediate_ctrlport_resp_data;
+ wire [ 1:0] unused_fpga_intermediate_ctrlport_resp_status;
+
+ wire [19:0] unused_fpga_msbs_ctrlport_req_addr;
+ wire [31:0] unused_fpga_msbs_ctrlport_req_data;
+ wire unused_fpga_msbs_ctrlport_req_rd;
+ wire unused_fpga_msbs_ctrlport_req_wr;
+ wire unused_fpga_msbs_ctrlport_resp_ack;
+ wire [31:0] unused_fpga_msbs_ctrlport_resp_data;
+ wire [ 1:0] unused_fpga_msbs_ctrlport_resp_status;
+
+ ctrlport_splitter #(
+ .NUM_SLAVES (4)
+ ) ctrlport_splitter_i (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr (m_ctrlport_req_wr),
+ .s_ctrlport_req_rd (m_ctrlport_req_rd),
+ .s_ctrlport_req_addr (m_ctrlport_req_addr),
+ .s_ctrlport_req_data (m_ctrlport_req_data),
+ .s_ctrlport_req_byte_en (),
+ .s_ctrlport_req_has_time (),
+ .s_ctrlport_req_time (),
+ .s_ctrlport_resp_ack (m_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (m_ctrlport_resp_status),
+ .s_ctrlport_resp_data (m_ctrlport_resp_data),
+ .m_ctrlport_req_wr ({unused_fpga_msbs_ctrlport_req_wr, unused_fpga_intermediate_ctrlport_req_wr, base_reg_ctrlport_req_wr, spi_master_ctrlport_req_wr}),
+ .m_ctrlport_req_rd ({unused_fpga_msbs_ctrlport_req_rd, unused_fpga_intermediate_ctrlport_req_rd, base_reg_ctrlport_req_rd, spi_master_ctrlport_req_rd}),
+ .m_ctrlport_req_addr ({unused_fpga_msbs_ctrlport_req_addr, unused_fpga_intermediate_ctrlport_req_addr, base_reg_ctrlport_req_addr, spi_master_ctrlport_req_addr}),
+ .m_ctrlport_req_data ({unused_fpga_msbs_ctrlport_req_data, unused_fpga_intermediate_ctrlport_req_data, base_reg_ctrlport_req_data, spi_master_ctrlport_req_data}),
+ .m_ctrlport_req_byte_en (),
+ .m_ctrlport_req_has_time (),
+ .m_ctrlport_req_time (),
+ .m_ctrlport_resp_ack ({unused_fpga_msbs_ctrlport_resp_ack, unused_fpga_intermediate_ctrlport_resp_ack, base_reg_ctrlport_resp_ack, spi_master_ctrlport_resp_ack}),
+ .m_ctrlport_resp_status ({unused_fpga_msbs_ctrlport_resp_status, unused_fpga_intermediate_ctrlport_resp_status, base_reg_ctrlport_resp_status, spi_master_ctrlport_resp_status}),
+ .m_ctrlport_resp_data ({unused_fpga_msbs_ctrlport_resp_data, unused_fpga_intermediate_ctrlport_resp_data, base_reg_ctrlport_resp_data, spi_master_ctrlport_resp_data})
+ );
+
+ //---------------------------------------------------------------------------
+ // Targets for Requests
+ //---------------------------------------------------------------------------
+
+ wire [15:0] db_clock_divider;
+ wire [15:0] mb_clock_divider;
+
+ cpld_interface_regs #(
+ .BASE_ADDRESS (BASE),
+ .NUM_ADDRESSES (BASE_SIZE)
+ ) cpld_interface_regs_i (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr (base_reg_ctrlport_req_wr),
+ .s_ctrlport_req_rd (base_reg_ctrlport_req_rd),
+ .s_ctrlport_req_addr (base_reg_ctrlport_req_addr),
+ .s_ctrlport_req_data (base_reg_ctrlport_req_data),
+ .s_ctrlport_resp_ack (base_reg_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (base_reg_ctrlport_resp_status),
+ .s_ctrlport_resp_data (base_reg_ctrlport_resp_data),
+ .mb_clock_divider (mb_clock_divider),
+ .db_clock_divider (db_clock_divider),
+ .ipass_enable (ipass_enable),
+ .version_info (version_info)
+ );
+
+ ctrlport_spi_master #(
+ .CPLD_ADDRESS_WIDTH (15),
+ .MB_CPLD_BASE_ADDRESS (MB_CPLD),
+ .DB_0_CPLD_BASE_ADDRESS (DB0_CPLD),
+ .DB_1_CPLD_BASE_ADDRESS (DB1_CPLD)
+ ) ctrlport_spi_master_i (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr (spi_master_ctrlport_req_wr),
+ .s_ctrlport_req_rd (spi_master_ctrlport_req_rd),
+ .s_ctrlport_req_addr (spi_master_ctrlport_req_addr),
+ .s_ctrlport_req_data (spi_master_ctrlport_req_data),
+ .s_ctrlport_resp_ack (spi_master_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (spi_master_ctrlport_resp_status),
+ .s_ctrlport_resp_data (spi_master_ctrlport_resp_data),
+ .ss (ss),
+ .sclk (sclk),
+ .mosi (mosi),
+ .miso (miso),
+ .mb_clock_divider (mb_clock_divider),
+ .db_clock_divider (db_clock_divider)
+ );
+
+ //---------------------------------------------------------------------------
+ // Invalid target address spaces
+ //---------------------------------------------------------------------------
+
+ ctrlport_terminator #(
+ .START_ADDRESS (BASE + BASE_SIZE),
+ .LAST_ADDRESS (MB_CPLD-1)
+ ) ctrlport_terminator_intermediate (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr (unused_fpga_intermediate_ctrlport_req_wr),
+ .s_ctrlport_req_rd (unused_fpga_intermediate_ctrlport_req_rd),
+ .s_ctrlport_req_addr (unused_fpga_intermediate_ctrlport_req_addr),
+ .s_ctrlport_req_data (unused_fpga_intermediate_ctrlport_req_data),
+ .s_ctrlport_resp_ack (unused_fpga_intermediate_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (unused_fpga_intermediate_ctrlport_resp_status),
+ .s_ctrlport_resp_data (unused_fpga_intermediate_ctrlport_resp_data)
+ );
+
+ ctrlport_terminator #(
+ .START_ADDRESS (DB1_CPLD + DB1_CPLD_SIZE),
+ .LAST_ADDRESS (2**CTRLPORT_ADDR_W-1)
+ ) ctrlport_terminator_msbs (
+ .ctrlport_clk (ctrlport_clk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr (unused_fpga_msbs_ctrlport_req_wr),
+ .s_ctrlport_req_rd (unused_fpga_msbs_ctrlport_req_rd),
+ .s_ctrlport_req_addr (unused_fpga_msbs_ctrlport_req_addr),
+ .s_ctrlport_req_data (unused_fpga_msbs_ctrlport_req_data),
+ .s_ctrlport_resp_ack (unused_fpga_msbs_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (unused_fpga_msbs_ctrlport_resp_status),
+ .s_ctrlport_resp_data (unused_fpga_msbs_ctrlport_resp_data)
+ );
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<regmap name="PL_CPLD_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <info>
+// This register map is available from the PS via AXI and MPM endpoint.
+// Its size is 128K (17 bits). Only the 17 LSBs are used as address in this documentation.
+// </info>
+// <group name="PL_CPLD_WINDOWS">
+// <window name="BASE" offset="0x0" size="0x40" targetregmap="CPLD_INTERFACE_REGMAP"/>
+// <window name="MB_CPLD" offset="0x8000" size="0x8000" targetregmap="MB_CPLD_PL_REGMAP">
+// <info>
+// All registers of the MB CPLD (PL part).
+// </info>
+// </window>
+// <window name="DB0_CPLD" offset="0x10000" size="0x8000">
+// <info>
+// All registers of the first DB CPLD. Register map will be added later on.
+// </info>
+// </window>
+// <window name="DB1_CPLD" offset="0x18000" size="0x8000">
+// <info>
+// All registers of the second DB CPLD. Register map will be added later on.
+// </info>
+// </window>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/cpld_interface_regs.v b/fpga/usrp3/top/x400/cpld_interface_regs.v
new file mode 100644
index 000000000..72cfa9ca9
--- /dev/null
+++ b/fpga/usrp3/top/x400/cpld_interface_regs.v
@@ -0,0 +1,285 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: cpld_interface_regs
+//
+// Description:
+//
+// Basic registers to inform software about version and capabilities.
+//
+// Parameters:
+//
+// BASE_ADDRESS : Base address for CtrlPort registers.
+// NUM_ADDRESSES : Number of bytes of address space to use.
+//
+
+`default_nettype none
+
+
+module cpld_interface_regs #(
+ parameter BASE_ADDRESS = 0,
+ parameter NUM_ADDRESSES = 128
+) (
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+
+ // Request
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+ // Response
+ output reg s_ctrlport_resp_ack,
+ output reg [ 1:0] s_ctrlport_resp_status,
+ output reg [31:0] s_ctrlport_resp_data,
+
+ // Configuration to the SPI master
+ output wire [15:0] mb_clock_divider,
+ output wire [15:0] db_clock_divider,
+
+ output reg ipass_enable = 1'b0,
+
+ // Version (Constant)
+ output wire [95:0] version_info
+);
+
+ `include "regmap/cpld_interface_regmap_utils.vh"
+ `include "regmap/versioning_regs_regmap_utils.vh"
+ `include "regmap/versioning_utils.vh"
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+
+ //----------------------------------------------------------
+ // Address calculation
+ //----------------------------------------------------------
+
+ wire address_in_range = (s_ctrlport_req_addr >= BASE_ADDRESS) &&
+ (s_ctrlport_req_addr < BASE_ADDRESS + NUM_ADDRESSES);
+
+ //----------------------------------------------------------
+ // Static variables
+ //----------------------------------------------------------
+
+ localparam SIGNATURE_VALUE = 32'hCB1D1FAC;
+
+ //----------------------------------------------------------
+ // Internal registers
+ //----------------------------------------------------------
+ reg [SCRATCH_REGISTER_SIZE-1:0] scratch_reg;
+ reg [MB_DIVIDER_SIZE-1:0] mb_divider_reg = 2;
+ reg [DB_DIVIDER_SIZE-1:0] db_divider_reg = 5;
+
+ //----------------------------------------------------------
+ // Assign configuration signals
+ //----------------------------------------------------------
+
+ assign mb_clock_divider = mb_divider_reg;
+ assign db_clock_divider = db_divider_reg;
+
+ //----------------------------------------------------------
+ // Handling of ControlPort requests
+ //----------------------------------------------------------
+
+ always @(posedge ctrlport_clk) begin
+ // Reset internal registers and responses
+ if (ctrlport_rst) begin
+ scratch_reg <= 0;
+ s_ctrlport_resp_ack <= 1'b0;
+ s_ctrlport_resp_data <= {32{1'bx}};
+ s_ctrlport_resp_status <= {2{1'bx}};
+
+ end else begin
+ // Write requests
+ if (s_ctrlport_req_wr) begin
+ // Always issue an ack and no data
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_data <= {32{1'bx}};
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (s_ctrlport_req_addr)
+ BASE_ADDRESS + SCRATCH_REGISTER: begin
+ scratch_reg <= s_ctrlport_req_data;
+ end
+
+ BASE_ADDRESS + IPASS_CONTROL: begin
+ ipass_enable <= s_ctrlport_req_data[IPASS_ENABLE_TRANSFER];
+ end
+
+ BASE_ADDRESS + MOTHERBOARD_CPLD_DIVIDER: begin
+ mb_divider_reg <= s_ctrlport_req_data[MB_DIVIDER_MSB:MB_DIVIDER];
+ end
+
+ BASE_ADDRESS + DAUGHTERBOARD_CPLD_DIVIDER: begin
+ db_divider_reg <= s_ctrlport_req_data[DB_DIVIDER_MSB:DB_DIVIDER];
+ end
+
+ // Error on undefined address
+ default: begin
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ // Read request
+ end else if (s_ctrlport_req_rd) begin
+ // Default assumption: valid request
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (s_ctrlport_req_addr)
+ BASE_ADDRESS + SIGNATURE_REGISTER: begin
+ s_ctrlport_resp_data <= SIGNATURE_VALUE;
+ end
+
+ BASE_ADDRESS + SCRATCH_REGISTER: begin
+ s_ctrlport_resp_data <= scratch_reg;
+ end
+
+ BASE_ADDRESS + IPASS_CONTROL: begin
+ s_ctrlport_resp_data <= 32'h0;
+ s_ctrlport_resp_data[IPASS_ENABLE_TRANSFER] <= ipass_enable;
+ end
+
+ BASE_ADDRESS + MOTHERBOARD_CPLD_DIVIDER: begin
+ s_ctrlport_resp_data <= {{(CTRLPORT_DATA_W - MB_DIVIDER_SIZE){1'b0}}, mb_divider_reg};
+ end
+
+ BASE_ADDRESS + DAUGHTERBOARD_CPLD_DIVIDER: begin
+ s_ctrlport_resp_data <= {{(CTRLPORT_DATA_W - DB_DIVIDER_SIZE){1'b0}}, db_divider_reg};
+ end
+
+ // Error on undefined address
+ default: begin
+ s_ctrlport_resp_data <= {32{1'bx}};
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ // No request
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ end
+
+ //----------------------------------------------------------
+ // Version Info
+ //----------------------------------------------------------
+
+ // Version metadata. Constants come from auto-generated file
+ // versioning_regs_regmap_utils.vh.
+ assign version_info = build_component_versions(
+ CPLD_IFC_VERSION_LAST_MODIFIED_TIME,
+ build_version(
+ CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR,
+ CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MINOR,
+ CPLD_IFC_OLDEST_COMPATIBLE_VERSION_BUILD
+ ),
+ build_version(
+ CPLD_IFC_CURRENT_VERSION_MAJOR,
+ CPLD_IFC_CURRENT_VERSION_MINOR,
+ CPLD_IFC_CURRENT_VERSION_BUILD
+ )
+ );
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<regmap name="VERSIONING_REGS_REGMAP">
+// <group name="VERSIONING_CONSTANTS">
+// <enumeratedtype name="CPLD_IFC_VERSION" showhex="true">
+// <info>
+// CPLD interface module.{BR/}
+// For guidance on when to update these revision numbers,
+// please refer to the register map documentation accordingly:
+// <li> Current version: @.VERSIONING_REGS_REGMAP..CURRENT_VERSION
+// <li> Oldest compatible version: @.VERSIONING_REGS_REGMAP..OLDEST_COMPATIBLE_VERSION
+// <li> Version last modified: @.VERSIONING_REGS_REGMAP..VERSION_LAST_MODIFIED
+// </info>
+// <value name="CPLD_IFC_CURRENT_VERSION_MAJOR" integer="2"/>
+// <value name="CPLD_IFC_CURRENT_VERSION_MINOR" integer="0"/>
+// <value name="CPLD_IFC_CURRENT_VERSION_BUILD" integer="0"/>
+// <value name="CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR" integer="2"/>
+// <value name="CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MINOR" integer="0"/>
+// <value name="CPLD_IFC_OLDEST_COMPATIBLE_VERSION_BUILD" integer="0"/>
+// <value name="CPLD_IFC_VERSION_LAST_MODIFIED_TIME" integer="0x21011809"/>
+// </enumeratedtype>
+// </group>
+//</regmap>
+//
+//<regmap name="CPLD_INTERFACE_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <group name="CPLD_INTERFACE_REGS">
+// <info>
+// Basic registers containing version and capabilities information.
+// </info>
+//
+// <register name="SIGNATURE_REGISTER" offset="0x00" writable="false" size="32">
+// <info>Contains the product's signature.</info>
+// <bitfield name="PRODUCT_SIGNATURE" range="31..0">
+// <info>fixed value 0xCB1D1FAC</info>
+// </bitfield>
+// </register>
+//
+// <register name="SCRATCH_REGISTER" offset="0x0C" size="32">
+// <info>Read/write register for general software use.</info>
+// </register>
+// </group>
+//
+// <group name="IPASS_REGS">
+// <register name="IPASS_CONTROL" offset="0x10" size="32">
+// <bitfield name="IPASS_ENABLE_TRANSFER" range="0">
+// <info>
+// If 1 enables the forwarding of iPass cable present signal to MB CPLD
+// using ctrlport requests. On change from 0 to 1 the current status is
+// transferred to the MB CPLD via SPI ctrlport request initially.
+// </info>
+// </bitfield>
+// </register>
+// </group>
+//
+// <group name="CPLD_SPI_CONTROL_REGS">
+// <info>
+// Registers to control the SPI clock frequency of the CPLD interfaces.
+// The resulting clock frequency is calculated by <math><mrow><mfrac><mrow><msub><mi>f</mi><mrow><mi>PRC</mi></mrow></msub></mrow><mrow><mn>2</mn><mrow><mo form="prefix">(</mo><mo>divider</mi><mo>+</mo><mn>1</mn><mo form="postfix">)</mo></mrow></mrow></mfrac></mrow></math>.
+// <br>
+// Note that the PLL Reference Clock (PRC) is depending on the RF clocks.
+// </info>
+//
+// <register name="MOTHERBOARD_CPLD_DIVIDER" offset="0x20" size="32">
+// <info>
+// Clock divider used for SPI transactions targeting the MB CPLD.<br/>
+// Minimum required value is 2.
+// </info>
+// <bitfield name="MB_DIVIDER" range="15..0" initialvalue="2">
+// <info>Divider value</info>
+// </bitfield>
+// </register>
+//
+// <register name="DAUGHTERBOARD_CPLD_DIVIDER" offset="0x24" size="32">
+// <info>
+// Clock divider used for SPI transactions targeting any of the DB CPLDs.<br/>
+// Minimum required value is 5.
+// </info>
+// <bitfield name="DB_DIVIDER" range="15..0" initialvalue="5">
+// <info>Divider value</info>
+// </bitfield>
+// </register>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/ctrlport_spi_master.v b/fpga/usrp3/top/x400/ctrlport_spi_master.v
new file mode 100644
index 000000000..0279dbd29
--- /dev/null
+++ b/fpga/usrp3/top/x400/ctrlport_spi_master.v
@@ -0,0 +1,243 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: ctrlport_spi_master
+//
+// Description:
+//
+// This block transfers a control port request via SPI. The request format on
+// SPI is defined as:
+//
+// Write request:
+// 1'b1 = write, 15 bit address, 32 bit data (MOSI), 8 bit processing gap,
+// 5 bit padding, 1 bit ack, 2 bit status
+//
+// Read request:
+// 1'b0 = read, 15 bit address, 8 bit processing gap, 32 bit data (MISO),
+// 5 bit padding, 1 bit ack, 2 bit status
+//
+// Parameters:
+//
+// CPLD_ADDRESS_WIDTH : Number of address bits to allocate to one CPLD
+// register map.
+// MB_CPLD_BASE_ADDRESS : Base address for the motherboard CPLD.
+// DB_0_CPLD_BASE_ADDRESS : Base address for the first daughterboard CPLD.
+// DB_1_CPLD_BASE_ADDRESS : Base address for the second daughterboard CPLD.
+//
+
+`default_nettype none
+
+
+module ctrlport_spi_master #(
+ parameter CPLD_ADDRESS_WIDTH = 15,
+ parameter MB_CPLD_BASE_ADDRESS = 20'h8000,
+ parameter DB_0_CPLD_BASE_ADDRESS = 20'h10000,
+ parameter DB_1_CPLD_BASE_ADDRESS = 20'h18000
+) (
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+
+ // Request
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+
+ // Response
+ output reg s_ctrlport_resp_ack = 1'b0,
+ output reg [ 1:0] s_ctrlport_resp_status = 2'b0,
+ output reg [31:0] s_ctrlport_resp_data = 32'b0,
+
+ // SPI
+ output wire [1:0] ss,
+ output wire sclk,
+ output wire mosi,
+ input wire miso,
+
+ // Configuration from register interface
+ input wire [15:0] mb_clock_divider,
+ input wire [15:0] db_clock_divider
+);
+
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+
+ // Registers / wires for SPI core communication
+ reg [31:0] set_data = 0;
+ reg [ 7:0] set_addr = 0;
+ reg set_stb = 1'b0;
+
+ wire [63:0] readback;
+ wire readback_stb;
+
+ //---------------------------------------------------------------------------
+ // Address calculation
+ //---------------------------------------------------------------------------
+
+ // Define configuration for the address calculation
+ localparam [19:0] BASE_ADDRESS_MASK = {20{1'b1}} << CPLD_ADDRESS_WIDTH;
+
+ // Wires for saving access
+ wire mb_cpld_access = (s_ctrlport_req_addr & BASE_ADDRESS_MASK) == MB_CPLD_BASE_ADDRESS;
+ wire db_0_cpld_access = (s_ctrlport_req_addr & BASE_ADDRESS_MASK) == DB_0_CPLD_BASE_ADDRESS;
+ wire db_1_cpld_access = (s_ctrlport_req_addr & BASE_ADDRESS_MASK) == DB_1_CPLD_BASE_ADDRESS;
+
+ //---------------------------------------------------------------------------
+ // FSM to handle transfers
+ //---------------------------------------------------------------------------
+
+ localparam IDLE = 3'd0;
+ localparam SET_DIVIDER = 3'd1;
+ localparam WRITE_SPI_MSB = 3'd2;
+ localparam WRITE_SPI_LSB = 3'd3;
+ localparam CONFIG_TRANSFER = 3'd4;
+ localparam WAIT_SPI = 3'd5;
+
+ localparam DIVIDER_ADDRESS = 8'd0;
+ localparam CTRL_ADDRESS = 8'd1;
+ localparam DATA_UPPER_ADDRESS = 8'd2;
+ localparam DATA_LOWER_ADDRESS = 8'd3;
+
+ // Combined static configuration consisting of
+ // 0x4000 = in data bit latched on rising edge of sclk
+ // - out data launched on falling edge
+ // - num bits = 64
+ localparam CTRL_VALUE = 32'h40000000;
+
+ reg [ 2:0] state = IDLE;
+ reg [31:0] data_cache;
+ reg [19:0] address_cache;
+ reg wr_cache;
+ reg [15:0] divider;
+ reg [ 1:0] cs;
+
+ always @ (posedge ctrlport_clk) begin
+ // Moving reset to the end of the block to reduce fanout of ctrlport_rst
+
+ // Default assignments
+ s_ctrlport_resp_ack <= 1'b0;
+ set_stb <= 1'b0;
+
+ case (state)
+ IDLE: begin
+ // Requests appear
+ if (s_ctrlport_req_wr | s_ctrlport_req_rd) begin
+ // Any CPLD targeted
+ if (mb_cpld_access | db_0_cpld_access | db_1_cpld_access) begin
+ state <= CONFIG_TRANSFER;
+ end
+ end
+
+ // Select chip select and divider value
+ if (mb_cpld_access) begin
+ divider <= mb_clock_divider;
+ cs <= 2'b00;
+ end else begin
+ divider <= db_clock_divider;
+ if (db_0_cpld_access) begin
+ cs <= 2'b10;
+ end else begin
+ cs <= 2'b01;
+ end
+ end
+
+ // Save data and address for further steps
+ data_cache <= s_ctrlport_req_data;
+ address_cache <= s_ctrlport_req_addr;
+ wr_cache <= s_ctrlport_req_wr;
+ end
+
+ // Set slave select
+ CONFIG_TRANSFER: begin
+ state <= SET_DIVIDER;
+
+ set_stb <= 1'b1;
+ set_addr <= CTRL_ADDRESS;
+ // Slave select located in LSBs. Inverted against the desired value in
+ // cs register as 1 represents slave enabled in combination with
+ // generic IDLE value.
+ set_data <= CTRL_VALUE | {30'b0, ~cs};
+ end
+
+ // Write divider to SPI core
+ SET_DIVIDER: begin
+ state <= WRITE_SPI_LSB;
+
+ set_stb <= 1'b1;
+ set_addr <= DIVIDER_ADDRESS;
+ set_data <= {16'b0, divider};
+ end
+
+ // Write lower bits to SPI core (aligned to MSB)
+ WRITE_SPI_LSB: begin
+ state <= WRITE_SPI_MSB;
+
+ set_stb <= 1'b1;
+ set_addr <= DATA_LOWER_ADDRESS;
+ set_data <= {data_cache[15:0], 16'bx};
+ end
+
+ // Write upper bits, which triggers transaction to start
+ WRITE_SPI_MSB: begin
+ state <= WAIT_SPI;
+
+ set_stb <= 1'b1;
+ set_addr <= DATA_UPPER_ADDRESS;
+ set_data <= {wr_cache, address_cache[14:0], data_cache[31:16]};
+ end
+
+ // Wait for transaction to complete and translate to ctrlport response
+ WAIT_SPI: begin
+ s_ctrlport_resp_status <= readback[2] ? readback[1:0] : CTRL_STS_CMDERR;
+ s_ctrlport_resp_data <= wr_cache ? {CTRLPORT_DATA_W {1'b0}} : readback[39:8];
+ s_ctrlport_resp_ack <= readback_stb;
+
+ if (readback_stb) begin
+ state <= IDLE;
+ end
+ end
+
+ default: begin
+ state <= IDLE;
+ end
+ endcase
+
+ // Reset control registers only
+ if (ctrlport_rst) begin
+ state <= IDLE;
+ s_ctrlport_resp_ack <= 1'b0;
+ set_stb <= 1'b0;
+ end
+ end
+
+ //---------------------------------------------------------------------------
+ // SPI master
+ //---------------------------------------------------------------------------
+
+ simple_spi_core_64bit #(
+ .BASE (0),
+ .WIDTH (2),
+ .CLK_IDLE (0),
+ .SEN_IDLE (2'b11),
+ .MAX_BITS (64)
+ ) simple_spi_core_64bit_i (
+ .clock (ctrlport_clk),
+ .reset (ctrlport_rst),
+ .set_stb (set_stb),
+ .set_addr (set_addr),
+ .set_data (set_data),
+ .readback (readback),
+ .readback_stb (readback_stb),
+ .ready (),
+ .sen (ss),
+ .sclk (sclk),
+ .mosi (mosi),
+ .miso (miso),
+ .debug ()
+ );
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/dboards/ctrlport_byte_deserializer.v b/fpga/usrp3/top/x400/dboards/ctrlport_byte_deserializer.v
new file mode 100644
index 000000000..b8fde0025
--- /dev/null
+++ b/fpga/usrp3/top/x400/dboards/ctrlport_byte_deserializer.v
@@ -0,0 +1,186 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: ctrlport_byte_deserializer
+//
+// Description:
+// Slave interface of CtrlPort interface serialized as byte stream.
+// See description in ctrlport_byte_serializer module for more details.
+//
+
+`default_nettype none
+
+module ctrlport_byte_deserializer (
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+
+ // Request
+ output wire m_ctrlport_req_wr,
+ output wire m_ctrlport_req_rd,
+ output wire [19:0] m_ctrlport_req_addr,
+ output wire [31:0] m_ctrlport_req_data,
+
+ // Response
+ input wire m_ctrlport_resp_ack,
+ input wire [ 1:0] m_ctrlport_resp_status,
+ input wire [31:0] m_ctrlport_resp_data,
+
+ // byte interface
+ input wire [ 7:0] bytestream_data_in,
+ input wire bytestream_valid_in,
+ input wire bytestream_direction,
+ output reg [ 7:0] bytestream_data_out = 8'b0,
+ output reg bytestream_valid_out = 1'b0,
+ output reg bytestream_output_enable = 1'b0
+);
+
+ `include "../../../lib/rfnoc/core/ctrlport.vh"
+
+ //---------------------------------------------------------------
+ // transfer constants
+ //---------------------------------------------------------------
+ // derived from transaction specification
+ localparam NUM_BYTES_RX_READ = 2;
+ localparam NUM_BYTES_TX_READ = 5;
+ localparam NUM_BYTES_RX_WRITE = 6;
+ localparam NUM_BYTES_TX_WRITE = 1;
+
+ localparam SPI_TRANSFER_ADDRESS_WIDTH = 15;
+
+ //----------------------------------------------------------
+ // handle transfer
+ //----------------------------------------------------------
+ localparam INIT_RX = 2'd0;
+ localparam RECEIVE = 2'd1;
+ localparam WAIT_RESPONSE = 2'd2;
+ localparam SENDING = 2'd3;
+
+ // internal registers
+ reg [ 1:0] state = INIT_RX;
+ reg [NUM_BYTES_RX_WRITE*8-1:0] request_cache = {NUM_BYTES_RX_WRITE*8 {1'b0}};
+ reg [ NUM_BYTES_TX_READ*8-1:0] response_cache = {NUM_BYTES_TX_READ*8 {1'b0}};
+ reg [ 2:0] byte_counter = 3'b0;
+ reg transfer_complete = 1'b0;
+ reg write_transfer = 1'b0;
+
+ // input registers to relax input timing
+ reg [7:0] bytestream_data_in_reg = 8'b0;
+ reg bytestream_valid_in_reg = 1'b0;
+ reg bytestream_direction_reg = 1'b0;
+ always @ (posedge ctrlport_clk) begin
+ bytestream_data_in_reg <= bytestream_data_in;
+ bytestream_valid_in_reg <= bytestream_valid_in;
+ bytestream_direction_reg <= bytestream_direction;
+ end
+
+ // state machine
+ always @ (posedge ctrlport_clk) begin
+ if (ctrlport_rst) begin
+ state <= INIT_RX;
+ byte_counter <= 3'b0;
+ transfer_complete <= 1'b0;
+ bytestream_output_enable <= 1'b0;
+
+ end else begin
+ // default assignments
+ transfer_complete <= 1'b0;
+ // direction defined by master
+ bytestream_output_enable <= bytestream_direction;
+ bytestream_valid_out <= 1'b0;
+
+ case (state)
+ // additional cycle for switching to make sure valid signal is driven
+ // from master when being in RECEIVE state
+ INIT_RX: begin
+ byte_counter <= 3'b0;
+ if (bytestream_direction_reg == 0) begin
+ state <= RECEIVE;
+ end
+ end
+
+ // wait for reception of request from master
+ RECEIVE: begin
+ if (bytestream_valid_in_reg) begin
+ byte_counter <= byte_counter + 1'b1;
+ request_cache <= {request_cache[NUM_BYTES_RX_WRITE*8-9:0], bytestream_data_in_reg};
+
+ // capture write or read
+ if (byte_counter == 0) begin
+ write_transfer <= bytestream_data_in_reg[7];
+ end
+
+ // wait until request completes
+ if ((write_transfer && byte_counter == NUM_BYTES_RX_WRITE-1) ||
+ (~write_transfer && byte_counter == NUM_BYTES_RX_READ-1)) begin
+ transfer_complete <= 1'b1;
+ state <= WAIT_RESPONSE;
+ end
+ end
+
+ // Workaround for missing pull down resistor:
+ // Use pull up and schmitt trigger to detect FPGA reload by line going high unexpectedly
+ if (bytestream_direction_reg == 1) begin
+ state <= INIT_RX;
+ end
+ end
+
+ WAIT_RESPONSE: begin
+ byte_counter <= 3'b0;
+ if (m_ctrlport_resp_ack) begin
+ state <= SENDING;
+
+ if (write_transfer) begin
+ response_cache <= {5'b0, 1'b1, m_ctrlport_resp_status, 32'b0};
+ end else begin
+ response_cache <= {m_ctrlport_resp_data, 5'b0, 1'b1, m_ctrlport_resp_status};
+ end
+ end
+
+ //abort by host
+ if (bytestream_direction_reg == 0) begin
+ state <= INIT_RX;
+ end
+ end
+
+ SENDING: begin
+ bytestream_valid_out <= 1'b1;
+ bytestream_data_out <= response_cache[NUM_BYTES_TX_READ*8-8+:8];
+ response_cache <= {response_cache[NUM_BYTES_TX_READ*8-9:0], 8'b0};
+ byte_counter <= byte_counter + 1'b1;
+
+ // wait until request completes
+ if ((write_transfer && byte_counter == NUM_BYTES_TX_WRITE-1) ||
+ (~write_transfer && byte_counter == NUM_BYTES_TX_READ-1)) begin
+ state <= INIT_RX;
+ end
+
+ //abort by host
+ if (bytestream_direction_reg == 0) begin
+ state <= INIT_RX;
+ end
+ end
+
+ default: begin
+ state <= INIT_RX;
+ end
+ endcase
+ end
+ end
+
+ //----------------------------------------------------------
+ // assign request to ctrlport
+ //----------------------------------------------------------
+ assign m_ctrlport_req_wr = write_transfer & transfer_complete;
+ assign m_ctrlport_req_rd = ~write_transfer & transfer_complete;
+ assign m_ctrlport_req_data = request_cache[0+:CTRLPORT_DATA_W];
+ assign m_ctrlport_req_addr = (write_transfer) ?
+ // Skipping data in LSBs to get to the address for writes.
+ {5'b0, request_cache[CTRLPORT_DATA_W+:SPI_TRANSFER_ADDRESS_WIDTH]} :
+ // Full request = address of 2 bytes in LSBs.
+ {5'b0, request_cache[0+:SPI_TRANSFER_ADDRESS_WIDTH]};
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/dboards/ctrlport_byte_serializer.v b/fpga/usrp3/top/x400/dboards/ctrlport_byte_serializer.v
new file mode 100644
index 000000000..3bb6df3c6
--- /dev/null
+++ b/fpga/usrp3/top/x400/dboards/ctrlport_byte_serializer.v
@@ -0,0 +1,228 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: ctrlport_byte_serializer
+//
+// Description:
+// Serializes CtrlPort requests into a byte stream.
+//
+// The serialized data is similar to an AXI4-Streaming interface with one byte
+// per clock cycle and a valid signal. Direction controls the current transmission
+// direction. 0 = Master to Slave, 1 = Slave to Master, where this module is the
+// master. Direction is always present in direction master to slave where the
+// other signals valid and data can be shared on a tri-state bus.
+//
+// The transmission is defined as described below. The bytes are transmitted MSB
+// first.
+// Write request:
+// 1'b1 = write, 15 bit address, 32 bit data (MOSI) = 6 bytes request
+// 5 bit padding, 1 bit ack, 2 bit status = 1 byte response
+// Read request:
+// 1'b0 = read, 15 bit address = 2 bytes request
+// 32 bit data, 5 bit padding, 1 bit ack, 2 bit status = 5 bytes response
+//
+// When sharing valid and data signal lines between master and slave the
+// timing is defined as:
+//
+// clk __/--\__/--\__/--\__/--\__/--\__/--\__/--\__/--\__/--\__/--\__/--\__
+// direction _______________________/-----------------\____________
+// master output enable _____/-----------------\______________________________
+// slave output enable _____________________________/-----------------\______
+// valid & data zzzzz| Master driven | zzz | Slave driven | zzzzz
+// transaction <--- Request ---><--- Response --->
+//
+// The slave should use the direction signal to derive it's own output enable
+// leaving the master the option to terminate the transaction.
+// On switch from slave to master the direction has to be changed at least
+// one clock cycle before enabling the master output enable to avoid driving the
+// bus from two sources.
+//
+
+`default_nettype none
+
+module ctrlport_byte_serializer (
+ // Clock and Reset
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+
+ // Request
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+
+ // Response
+ output reg s_ctrlport_resp_ack = 1'b0,
+ output reg [ 1:0] s_ctrlport_resp_status = 2'b0,
+ output reg [31:0] s_ctrlport_resp_data = 32'b0,
+
+ // GPIO interface
+ input wire [ 7:0] bytestream_data_in,
+ input wire bytestream_valid_in,
+ output reg [ 7:0] bytestream_data_out = 8'b0,
+ output reg bytestream_valid_out = 1'b0,
+ output reg bytestream_direction = 1'b0,
+ output reg bytestream_output_enable = 1'b1
+);
+
+ `include "../../../lib/rfnoc/core/ctrlport.vh"
+
+ //---------------------------------------------------------------
+ // transfer constants
+ //---------------------------------------------------------------
+ // derived from the transaction format (see description above)
+ localparam NUM_BYTES_TX_READ = 2;
+ localparam NUM_BYTES_RX_READ = 5;
+ localparam NUM_BYTES_TX_WRITE = 6;
+ localparam NUM_BYTES_RX_WRITE = 1;
+
+ localparam TIMEOUT_COUNTER_WIDTH = 6;
+
+ //----------------------------------------------------------
+ // FSM to handle transfers
+ //----------------------------------------------------------
+ localparam IDLE = 3'd0;
+ localparam SENDING = 3'd1;
+ localparam INIT_RX = 3'd2;
+ localparam DIR_SWITCH = 3'd3;
+ localparam DIR_SWITCH_DLY = 3'd4;
+ localparam RECEIVING = 3'd5;
+ localparam ACK = 3'd6;
+ localparam TIMEOUT = 3'd7;
+
+ // input registers to relax input timing
+ reg [7:0] bytestream_data_in_reg = 8'b0;
+ reg bytestream_valid_in_reg = 1'b0;
+ always @ (posedge ctrlport_clk) begin
+ bytestream_data_in_reg <= bytestream_data_in;
+ bytestream_valid_in_reg <= bytestream_valid_in;
+ end
+
+ // internal registers
+ reg [ 2:0] state = IDLE;
+ reg [NUM_BYTES_TX_WRITE*8-1:0] request_cache = {NUM_BYTES_TX_WRITE*8{1'b0}};
+ reg [ NUM_BYTES_RX_READ*8-1:0] response_cache = {NUM_BYTES_RX_READ*8{1'b0}};
+ reg [ 2:0] byte_counter = 3'b0;
+ reg write_transfer = 1'b0;
+ reg [TIMEOUT_COUNTER_WIDTH-1:0] timeout_counter = {TIMEOUT_COUNTER_WIDTH {1'b0}};
+
+ always @ (posedge ctrlport_clk) begin
+ if (ctrlport_rst) begin
+ state <= IDLE;
+
+ bytestream_valid_out <= 1'b0;
+ byte_counter <= 3'b0;
+ bytestream_direction <= 1'b0;
+ bytestream_output_enable <= 1'b1;
+
+ s_ctrlport_resp_ack <= 1'b0;
+ end else begin
+ case (state)
+ IDLE: begin
+ // reset values from previous states
+ s_ctrlport_resp_ack <= 1'b0;
+ bytestream_valid_out <= 1'b0;
+ bytestream_output_enable <= 1'b1;
+ byte_counter <= 3'b0;
+ timeout_counter <= {TIMEOUT_COUNTER_WIDTH {1'b0}};
+
+ // start transmission on read or write
+ if (s_ctrlport_req_rd || s_ctrlport_req_wr) begin
+ state <= SENDING;
+ request_cache <= {s_ctrlport_req_wr, s_ctrlport_req_addr[14:0], s_ctrlport_req_data};
+ write_transfer <= s_ctrlport_req_wr;
+ end
+ end
+
+ // send as many bytes as required for read / write
+ SENDING: begin
+ bytestream_data_out <= request_cache[NUM_BYTES_TX_WRITE*8-8+:8];
+ request_cache <= {request_cache[NUM_BYTES_TX_WRITE*8-9:0], 8'b0};
+ bytestream_valid_out <= 1'b1;
+ byte_counter <= byte_counter + 1'b1;
+
+ if ((write_transfer && byte_counter == NUM_BYTES_TX_WRITE-1) ||
+ (~write_transfer && byte_counter == NUM_BYTES_TX_READ-1)) begin
+ state <= INIT_RX;
+ end
+ end
+
+ // first cycle for switching to make sure valid signal is driven
+ // from slave when being in RECEIVING state
+ INIT_RX: begin
+ state <= DIR_SWITCH;
+
+ bytestream_direction <= 1'b1;
+ bytestream_output_enable <= 1'b0;
+ bytestream_valid_out <= 1'b0;
+
+ byte_counter <= 3'b0;
+ end
+
+ // second switching cycle to let CPLD load the lines based on direction
+ DIR_SWITCH: begin
+ state <= DIR_SWITCH_DLY;
+ end
+
+ // third switching cycle to compensate data input register
+ DIR_SWITCH_DLY: begin
+ state <= RECEIVING;
+ end
+
+ // wait for response to be received
+ // immediately change direction after successful reception to have one
+ // clock cycle of pause to avoid double driving the bus
+ RECEIVING: begin
+ timeout_counter <= timeout_counter + 1;
+
+ if (bytestream_valid_in_reg) begin
+ byte_counter <= byte_counter + 1'b1;
+ response_cache = {response_cache[NUM_BYTES_RX_READ*8-9:0], bytestream_data_in_reg};
+
+ if ((write_transfer && byte_counter == NUM_BYTES_RX_WRITE-1) ||
+ (~write_transfer && byte_counter == NUM_BYTES_RX_READ-1)) begin
+ state <= ACK;
+ bytestream_direction <= 1'b0;
+ end
+ end
+
+ if (timeout_counter == {TIMEOUT_COUNTER_WIDTH {1'b1}}) begin
+ state <= TIMEOUT;
+ bytestream_direction <= 1'b0;
+ end
+ end
+
+ // issue ctrlport response
+ ACK: begin
+ state <= IDLE;
+
+ s_ctrlport_resp_ack <= 1'b1;
+ // status based on received ack
+ s_ctrlport_resp_status <= response_cache[2] ? response_cache[1:0] : CTRL_STS_CMDERR;
+ if (write_transfer) begin
+ s_ctrlport_resp_data <= 32'b0;
+ end else begin
+ s_ctrlport_resp_data <= response_cache[39:8];
+ end
+ end
+
+ TIMEOUT: begin
+ state <= IDLE;
+
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+ s_ctrlport_resp_data <= 32'b0;
+ end
+
+ default: begin
+ state <= IDLE;
+ end
+ endcase
+ end
+ end
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/dboards/db_gpio_interface.v b/fpga/usrp3/top/x400/dboards/db_gpio_interface.v
new file mode 100644
index 000000000..1e8cd44ee
--- /dev/null
+++ b/fpga/usrp3/top/x400/dboards/db_gpio_interface.v
@@ -0,0 +1,323 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: db_gpio_interface
+//
+// Description:
+// Interface for GPIO interface towards daughterboards.
+//
+// A ControlPort interface is serialized into bytes along with a valid signal.
+// The ControlPort supports write requests only. Byte enables are not supported.
+// There is support for timed commands.
+// Furthermore there are 4 state wires towards the DB. Ensure an appropriate
+// hold time on the states as the transmission happens in pll_ref_clk, which is
+// slower than radio_clk. Pulses of e.g. just a single clock cycle may not get
+// transferred to the DB.
+//
+// The 20 available GPIO lines are assigned with
+// - 5x empty
+// - bytestream direction
+// - bytestream valid
+// - bytestream data (8 bits)
+// - 1x empty
+// - db_state (4 bits)
+//
+
+`default_nettype none
+
+module db_gpio_interface (
+ // Clocks and reset
+ input wire radio_clk,
+ input wire pll_ref_clk,
+
+ // DB state lines (domain: radio_clk)
+ input wire [ 3:0] db_state,
+
+ // time interfaces (domain: radio_clk)
+ input wire [63:0] radio_time,
+ input wire radio_time_stb,
+ input wire [ 3:0] time_ignore_bits,
+
+ // Request (domain: radio_clk)
+ input wire ctrlport_rst,
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+ input wire [ 3:0] s_ctrlport_req_byte_en,
+ input wire s_ctrlport_req_has_time,
+ input wire [63:0] s_ctrlport_req_time,
+
+ // Response (domain: radio_clk)
+ output wire s_ctrlport_resp_ack,
+ output wire [ 1:0] s_ctrlport_resp_status,
+ output wire [31:0] s_ctrlport_resp_data,
+
+ // GPIO interface (domain: pll_ref_clk)
+ input wire [19:0] gpio_in,
+ output wire [19:0] gpio_out,
+ output wire [19:0] gpio_out_en,
+
+ // Version (Constant)
+ output wire [95:0] version_info
+);
+
+ `include "../regmap/versioning_regs_regmap_utils.vh"
+ `include "../regmap/versioning_utils.vh"
+
+ //----------------------------------------------------------------------------
+ // Timed command processing
+ //----------------------------------------------------------------------------
+ wire [19:0] ctrlport_timed_req_addr;
+ wire [31:0] ctrlport_timed_req_data;
+ wire ctrlport_timed_req_rd;
+ wire ctrlport_timed_req_wr;
+ wire ctrlport_timed_resp_ack;
+ reg [31:0] ctrlport_timed_resp_data = 0;
+ reg [ 1:0] ctrlport_timed_resp_status = 0;
+
+ ctrlport_timer #(
+ .EXEC_LATE_CMDS(1)
+ ) ctrlport_timer_i (
+ .clk (radio_clk),
+ .rst (ctrlport_rst),
+ .time_now (radio_time),
+ .time_now_stb (radio_time_stb),
+ .time_ignore_bits (time_ignore_bits),
+ .s_ctrlport_req_wr (s_ctrlport_req_wr),
+ .s_ctrlport_req_rd (s_ctrlport_req_rd),
+ .s_ctrlport_req_addr (s_ctrlport_req_addr),
+ .s_ctrlport_req_data (s_ctrlport_req_data),
+ .s_ctrlport_req_byte_en (s_ctrlport_req_byte_en),
+ .s_ctrlport_req_has_time (s_ctrlport_req_has_time),
+ .s_ctrlport_req_time (s_ctrlport_req_time),
+ .s_ctrlport_resp_ack (s_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (s_ctrlport_resp_status),
+ .s_ctrlport_resp_data (s_ctrlport_resp_data),
+ .m_ctrlport_req_wr (ctrlport_timed_req_wr),
+ .m_ctrlport_req_rd (ctrlport_timed_req_rd),
+ .m_ctrlport_req_addr (ctrlport_timed_req_addr),
+ .m_ctrlport_req_data (ctrlport_timed_req_data),
+ .m_ctrlport_req_byte_en (),
+ .m_ctrlport_resp_ack (ctrlport_timed_resp_ack),
+ .m_ctrlport_resp_status (ctrlport_timed_resp_status),
+ .m_ctrlport_resp_data (ctrlport_timed_resp_data)
+ );
+
+ //----------------------------------------------------------------------------
+ // Clock domain crossing (radio_clk -> pll_ref_clk)
+ //----------------------------------------------------------------------------
+ // Radio_clk is derived from pll_ref_clk by an integer multiplier and
+ // originate from the same PLL.
+ // Therefore the clock crossing can be achieved by using simple registers.
+ // Static timing analysis will be able to meet setup and hold requirements on
+ // them.
+
+ // holding read and write flags for multiple radio_clk cycles
+ reg ctrlport_timed_req_wr_hold = 1'b0;
+ reg ctrlport_timed_req_rd_hold = 1'b0;
+
+ reg [19:0] ctrlport_req_addr_prc = 20'b0;
+ reg [31:0] ctrlport_req_data_prc = 32'b0;
+ reg ctrlport_req_rd_prc = 1'b0;
+ reg ctrlport_req_wr_prc = 1'b0;
+
+ wire ctrlport_resp_ack_prc;
+ wire [31:0] ctrlport_resp_data_prc;
+ wire [ 1:0] ctrlport_resp_status_prc;
+
+ reg ctrlport_req_rd_fall = 1'b0;
+ reg ctrlport_req_wr_fall = 1'b0;
+ reg [31:0] ctrlport_resp_data_fall = 32'b0;
+ reg [ 1:0] ctrlport_resp_status_fall = 2'b0;
+ reg ctrlport_resp_ack_fall = 1'b0;
+
+ // Retime signals to falling edge of radio_clk.
+ // Because radio_clk is more heavily loaded than pll_ref_clk, it arrives at
+ // the FF's later, which leads to hold time violations when moving signals
+ // from pll_ref_clk to radio_clk. By sampling on the falling edge of
+ // radio_clk, we provide (nominally) half a radio_clk period of hold, while
+ // reducing setup time by half. The late arrival of radio_clk adds back some
+ // of the lost setup margin.
+ always @(negedge radio_clk) begin
+ ctrlport_req_rd_fall <= ctrlport_req_rd_prc;
+ ctrlport_req_wr_fall <= ctrlport_req_wr_prc;
+ ctrlport_resp_ack_fall <= ctrlport_resp_ack_prc;
+ ctrlport_resp_status_fall <= ctrlport_resp_status_prc;
+ ctrlport_resp_data_fall <= ctrlport_resp_data_prc;
+ end
+
+ always @(posedge radio_clk) begin
+ if (ctrlport_req_wr_fall) begin
+ ctrlport_timed_req_wr_hold <= 1'b0;
+ end else if (ctrlport_timed_req_wr) begin
+ ctrlport_timed_req_wr_hold <= 1'b1;
+ end
+ if (ctrlport_req_rd_fall) begin
+ ctrlport_timed_req_rd_hold <= 1'b0;
+ end else if (ctrlport_timed_req_rd) begin
+ ctrlport_timed_req_rd_hold <= 1'b1;
+ end
+
+ // capture request address and data
+ if (ctrlport_timed_req_wr || ctrlport_timed_req_rd) begin
+ ctrlport_req_addr_prc <= ctrlport_timed_req_addr;
+ ctrlport_req_data_prc <= ctrlport_timed_req_data;
+ end
+ end
+
+ // capture extended flags in pll_ref_clk domain
+ always @(posedge pll_ref_clk) begin
+ ctrlport_req_wr_prc <= ctrlport_timed_req_wr_hold;
+ ctrlport_req_rd_prc <= ctrlport_timed_req_rd_hold;
+ end
+
+ // search for rising edge in response
+ reg [1:0] ctrlport_timed_ack_reg = 2'b0;
+ always @(posedge radio_clk) begin
+ ctrlport_timed_ack_reg = {ctrlport_timed_ack_reg[0], ctrlport_resp_ack_fall};
+ end
+ assign ctrlport_timed_resp_ack = ctrlport_timed_ack_reg[0] & ~ctrlport_timed_ack_reg[1];
+
+ // capture response data
+ always @(posedge radio_clk) begin
+ if (ctrlport_resp_ack_fall) begin
+ ctrlport_timed_resp_status <= ctrlport_resp_status_fall;
+ ctrlport_timed_resp_data <= ctrlport_resp_data_fall;
+ end
+ end
+
+ // transfer state lines
+ reg [3:0] db_state_prc = 4'b0;
+ reg [3:0] db_state_prc_fe = 4'b0;
+ always @(posedge pll_ref_clk) begin
+ db_state_prc <= db_state;
+ end
+ always @(negedge pll_ref_clk) begin
+ db_state_prc_fe <= db_state_prc;
+ end
+
+ // transfer reset
+ reg ctrlport_rst_hold = 1'b0;
+ reg ctrlport_rst_prc = 1'b0;
+ reg ctrlport_rst_fall = 1'b0;
+ always @(posedge radio_clk) begin
+ if (ctrlport_rst) begin
+ ctrlport_rst_hold <= 1'b1;
+ end else if (ctrlport_rst_fall) begin
+ ctrlport_rst_hold <= 1'b0;
+ end
+ end
+ always @(posedge pll_ref_clk) begin
+ ctrlport_rst_prc <= ctrlport_rst_hold;
+ end
+ always @(negedge radio_clk) begin
+ ctrlport_rst_fall <= ctrlport_rst_prc;
+ end
+
+
+ //----------------------------------------------------------------------------
+ // Ctrlport serializer
+ //----------------------------------------------------------------------------
+ wire [7:0] bytestream_data_in;
+ wire [7:0] bytestream_data_out;
+ wire bytestream_direction;
+ wire bytestream_output_enable;
+ wire bytestream_valid_in;
+ wire bytestream_valid_out;
+
+ ctrlport_byte_serializer serializer_i (
+ .ctrlport_clk (pll_ref_clk),
+ .ctrlport_rst (ctrlport_rst_prc),
+ .s_ctrlport_req_wr (ctrlport_req_wr_prc),
+ .s_ctrlport_req_rd (ctrlport_req_rd_prc),
+ .s_ctrlport_req_addr (ctrlport_req_addr_prc),
+ .s_ctrlport_req_data (ctrlport_req_data_prc),
+ .s_ctrlport_resp_ack (ctrlport_resp_ack_prc),
+ .s_ctrlport_resp_status (ctrlport_resp_status_prc),
+ .s_ctrlport_resp_data (ctrlport_resp_data_prc),
+ .bytestream_data_in (bytestream_data_in),
+ .bytestream_valid_in (bytestream_valid_in),
+ .bytestream_data_out (bytestream_data_out),
+ .bytestream_valid_out (bytestream_valid_out),
+ .bytestream_direction (bytestream_direction),
+ .bytestream_output_enable (bytestream_output_enable)
+ );
+
+ // IOB registers to drive data on the falling edge
+ reg [7:0] bytestream_data_out_fe;
+ reg bytestream_direction_fe;
+ reg bytestream_output_enable_fe;
+ reg bytestream_valid_out_fe;
+
+ // Signals are shifted into a falling edge domain to help meet
+ // hold requirements at CPLD
+ always @(negedge pll_ref_clk) begin
+ if (ctrlport_rst_prc) begin
+ bytestream_data_out_fe <= 8'b0;
+ bytestream_valid_out_fe <= 1'b0;
+ bytestream_direction_fe <= 1'b0;
+ bytestream_output_enable_fe <= 1'b1;
+ end else begin
+ bytestream_data_out_fe <= bytestream_data_out;
+ bytestream_valid_out_fe <= bytestream_valid_out;
+ bytestream_direction_fe <= bytestream_direction;
+ bytestream_output_enable_fe <= bytestream_output_enable;
+ end
+ end
+
+ //----------------------------------------------------------------------------
+ // wire assignment
+ //----------------------------------------------------------------------------
+ // 5 unused, 10 used, 1 unused and 4 used signals
+ assign gpio_out = {5'b0, bytestream_direction_fe, bytestream_valid_out_fe, bytestream_data_out_fe, 1'b0, db_state_prc_fe};
+ assign gpio_out_en = {5'b0, 1'b1, {9 {bytestream_output_enable_fe}}, 1'b0, {4 {1'b1}} };
+
+ assign bytestream_valid_in = gpio_in[13];
+ assign bytestream_data_in = gpio_in[12:5];
+
+ //----------------------------------------------------------------------------
+ // version_info
+ //----------------------------------------------------------------------------
+
+ // Version metadata, constants come from auto-generated versioning_regs_regmap_utils.vh
+ assign version_info = build_component_versions(
+ DB_GPIO_IFC_VERSION_LAST_MODIFIED_TIME,
+ build_version(
+ DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR,
+ DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MINOR,
+ DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_BUILD),
+ build_version(
+ DB_GPIO_IFC_CURRENT_VERSION_MAJOR,
+ DB_GPIO_IFC_CURRENT_VERSION_MINOR,
+ DB_GPIO_IFC_CURRENT_VERSION_BUILD));
+
+endmodule
+
+`default_nettype wire
+
+//XmlParse xml_on
+//<regmap name="VERSIONING_REGS_REGMAP">
+// <group name="VERSIONING_CONSTANTS">
+// <enumeratedtype name="DB_GPIO_IFC_VERSION" showhex="true">
+// <info>
+// Daughterboard GPIO interface.{BR/}
+// For guidance on when to update these revision numbers,
+// please refer to the register map documentation accordingly:
+// <li> Current version: @.VERSIONING_REGS_REGMAP..CURRENT_VERSION
+// <li> Oldest compatible version: @.VERSIONING_REGS_REGMAP..OLDEST_COMPATIBLE_VERSION
+// <li> Version last modified: @.VERSIONING_REGS_REGMAP..VERSION_LAST_MODIFIED
+// </info>
+// <value name="DB_GPIO_IFC_CURRENT_VERSION_MAJOR" integer="1"/>
+// <value name="DB_GPIO_IFC_CURRENT_VERSION_MINOR" integer="0"/>
+// <value name="DB_GPIO_IFC_CURRENT_VERSION_BUILD" integer="0"/>
+// <value name="DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR" integer="1"/>
+// <value name="DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MINOR" integer="0"/>
+// <value name="DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_BUILD" integer="0"/>
+// <value name="DB_GPIO_IFC_VERSION_LAST_MODIFIED_TIME" integer="0x20110616"/>
+// </enumeratedtype>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/dboards/db_gpio_reordering.v b/fpga/usrp3/top/x400/dboards/db_gpio_reordering.v
new file mode 100644
index 000000000..f24a3f3d9
--- /dev/null
+++ b/fpga/usrp3/top/x400/dboards/db_gpio_reordering.v
@@ -0,0 +1,108 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: db_gpio_reordering
+//
+// Description:
+// Reorders the GPIO wires towards the DB CPLDs in a common way for DB 0 and 1.
+//
+// The digital daughterboard connector has 120 pins [A-F][1-20].
+// The numbering on the motherboard traces do not match for daughterboard 0 and 1.
+// This module orders the FPGA outputs MSB first and connects it to the DB
+// connection with increasing letter and increasing number.
+// For DB 0 this results in:
+// FPGA Bit 19 = A7 (trace: DB0/1_GPIO[19])
+// FPGA Bit 18 = A8 (trace: DB0/1_GPIO[17])
+// ...
+// FPGA Bit 0 = C19 (trace: DB0/1_GPIO[12])
+// This enables usages of the same daughterboard CPLD image on both connectors.
+//
+
+`default_nettype none
+
+module db_gpio_reordering (
+ // 20 bit internal interface
+ output wire [19:0] db0_gpio_in_int,
+ input wire [19:0] db0_gpio_out_int,
+ input wire [19:0] db0_gpio_out_en_int,
+ output wire [19:0] db1_gpio_in_int,
+ input wire [19:0] db1_gpio_out_int,
+ input wire [19:0] db1_gpio_out_en_int,
+
+ // 20 bit external interface
+ input wire [19:0] db0_gpio_in_ext,
+ output wire [19:0] db0_gpio_out_ext,
+ output wire [19:0] db0_gpio_out_en_ext,
+ input wire [19:0] db1_gpio_in_ext,
+ output wire [19:0] db1_gpio_out_ext,
+ output wire [19:0] db1_gpio_out_en_ext
+);
+
+ //port indexes
+ localparam ENTRY_BITWIDTH = 5;
+ localparam NUM_ENTRIES = 20;
+ localparam [ENTRY_BITWIDTH*NUM_ENTRIES-1:0] PORT0_MAPPING = {
+ 5'd 19,
+ 5'd 17,
+ 5'd 0,
+ 5'd 14,
+ 5'd 15,
+ 5'd 10,
+ 5'd 4,
+ 5'd 5,
+ 5'd 16,
+ 5'd 18,
+ 5'd 8,
+ 5'd 6,
+ 5'd 1,
+ 5'd 9,
+ 5'd 2,
+ 5'd 3,
+ 5'd 11,
+ 5'd 7,
+ 5'd 13,
+ 5'd 12 };
+ localparam [ENTRY_BITWIDTH*NUM_ENTRIES-1:0] PORT1_MAPPING = {
+ 5'd 10,
+ 5'd 6,
+ 5'd 7,
+ 5'd 2,
+ 5'd 3,
+ 5'd 0,
+ 5'd 1,
+ 5'd 4,
+ 5'd 8,
+ 5'd 9,
+ 5'd 11,
+ 5'd 5,
+ 5'd 13,
+ 5'd 12,
+ 5'd 15,
+ 5'd 14,
+ 5'd 19,
+ 5'd 18,
+ 5'd 17,
+ 5'd 16 };
+
+ // reordering assignments
+ generate
+ genvar i;
+ for (i=0; i<NUM_ENTRIES; i=i+1) begin : reordering_gen
+ // input data
+ assign db0_gpio_in_int[i] = db0_gpio_in_ext[PORT0_MAPPING[i*ENTRY_BITWIDTH +: ENTRY_BITWIDTH]];
+ assign db1_gpio_in_int[i] = db1_gpio_in_ext[PORT1_MAPPING[i*ENTRY_BITWIDTH +: ENTRY_BITWIDTH]];
+
+ // output data
+ assign db0_gpio_out_ext[PORT0_MAPPING[i*ENTRY_BITWIDTH +: ENTRY_BITWIDTH]] = db0_gpio_out_int[i];
+ assign db1_gpio_out_ext[PORT1_MAPPING[i*ENTRY_BITWIDTH +: ENTRY_BITWIDTH]] = db1_gpio_out_int[i];
+
+ // output enable
+ assign db0_gpio_out_en_ext[PORT0_MAPPING[i*ENTRY_BITWIDTH +: ENTRY_BITWIDTH]] = db0_gpio_out_en_int[i];
+ assign db1_gpio_out_en_ext[PORT1_MAPPING[i*ENTRY_BITWIDTH +: ENTRY_BITWIDTH]] = db1_gpio_out_en_int[i];
+ end
+ endgenerate
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/doc/X4XX_FPGA.htm b/fpga/usrp3/top/x400/doc/X4XX_FPGA.htm
new file mode 100644
index 000000000..70f709b8a
--- /dev/null
+++ b/fpga/usrp3/top/x400/doc/X4XX_FPGA.htm
@@ -0,0 +1,10 @@
+<HTML>
+ <HEAD>
+ <title>X4XX_FPGA</title>
+
+ <FRAMESET COLS="20%,*" onload=window.frames[1].location.hash=window.location.href.split("#")[1];>
+ <FRAME name="leftframe" SRC="X4XX_FPGA_left.htm">
+ <FRAME name="rightframe" SRC="X4XX_FPGA_right.htm">
+ </FRAMESET>
+ </HEAD>
+</HTML> \ No newline at end of file
diff --git a/fpga/usrp3/top/x400/doc/X4XX_FPGA_left.htm b/fpga/usrp3/top/x400/doc/X4XX_FPGA_left.htm
new file mode 100644
index 000000000..d643a7346
--- /dev/null
+++ b/fpga/usrp3/top/x400/doc/X4XX_FPGA_left.htm
@@ -0,0 +1,684 @@
+<html>
+ <head>
+ <meta http-equiv="Content-Type" content="text/html;charset=utf-8"/>
+ <style type="text/css">
+
+ body {
+ margin: 5px;
+ font-family: verdana, "Trebuchet MS", arial, helvetica, sans-serif;
+ font-size: 80%;
+ line-height: 1.3;
+ }
+
+ pre, code {
+ font-family: "courier new", courier, monospace;
+ font-size: 12px;
+ }
+
+ @media print {
+ body {
+ margin: 0px;
+ font-family: arial, helvetica, sans-serif;
+ font-size: 80%;
+ line-height: 1;
+ }
+ } div.nav {
+ font-size: 95%;
+ }
+
+ div.sh {
+ display: none;
+ margin-left: 15px;
+ }
+
+ div.shr {
+ display: block;
+ margin-left: 15px;
+ }
+
+ div.nav span.pm, div.nav span.pm_2 {
+ color: black;
+ font-family: courier new, courier;
+ margin-right: 5px;
+ }
+
+ div.nav span.pm {
+ cursor: pointer;
+ }
+
+ div.nav p {
+ margin: 0px;
+ padding: 0px;
+ white-space: nowrap;
+ }
+
+ div.nav span {
+ cursor: pointer;
+ }
+
+ div.nav span.regmap {
+ color: #000080;
+ }
+
+ div.nav span.group {
+ color: #006000;
+ }
+
+ div.nav span.enum {
+ color: #800000;
+ margin-left: 10px;
+ }
+
+ div.nav span.register {
+ color: #004040;
+ margin-left: 10px;
+ }
+ </style>
+ <script type="text/javascript">
+
+ function toggleText( id, sign ) {
+ if (sign == "nochange") return;
+ if ( document.getElementById )
+ elem = document.getElementById( id );
+ else if ( document.all )
+ elem = eval( "document.all." + id );
+ if (elem) {
+ elemStyle = elem.style;
+ if (sign=="+") {
+ elemStyle.display = "block"
+ } else {
+ elemStyle.display = "none"
+ }
+ /*if ( elemStyle.display == "block" ) {
+ elemStyle.display = "none"
+ } else {
+ elemStyle.display = "block"
+ }*/
+ }
+ }
+ function changePm( id ) {
+ if ( document.getElementById )
+ elem = document.getElementById( id );
+ else if ( document.all )
+ elem = eval( "document.all." + id );
+ else
+ return "nochange";
+ var val = elem.innerHTML;
+ if (val == "+") {
+ elem.innerHTML = "-";
+ } else {
+ elem.innerHTML = "+";
+ }
+ return val;
+ }
+
+ function pm( id ) {
+ var sign = changePm("pm_" + id);
+ toggleText("div_" + id, sign);
+ }
+
+ function a( id ) {
+ var currentURL= document.URL;
+ var targetURL = currentURL.replace("_left", "_right");
+ parent.frames[1].location = targetURL + '#' + id;
+ }
+ </script>
+ </head><body>
+
+ <div class="nav">
+ <p>
+ <span class="pm" id="pm_X4XX_FPGA" onclick="pm('X4XX_FPGA');">+</span>
+ <span class="regmap" id="a_X4XX_FPGA" onclick="a('X4XX_FPGA');">X4XX_FPGA</span>
+ </p>
+ <div class="sh" id="div_X4XX_FPGA">
+ <p>
+ <span class="pm" id="pm_P5 Content" onclick="pm('P5 Content');">+</span>
+ <span class="group" id="a_P5 Content" onclick="a('P5 Content');">P5 Content</span>
+ </p>
+ <div class="sh" id="div_P5 Content">
+ </div>
+ <p>
+ <span class="pm" id="pm_ports" onclick="pm('ports');">+</span>
+ <span class="group" id="a_ports" onclick="a('ports');">ports</span>
+ </p>
+ <div class="sh" id="div_ports">
+ <p><span class="register" id="a_X4XX_FPGA|ARM_M_AXI_HPM0" onclick="a('X4XX_FPGA|ARM_M_AXI_HPM0');">ARM_M_AXI_HPM0</span></p>
+ <p><span class="register" id="a_X4XX_FPGA|ARM_S_AXI_HPC0" onclick="a('X4XX_FPGA|ARM_S_AXI_HPC0');">ARM_S_AXI_HPC0</span></p>
+ <p><span class="register" id="a_X4XX_FPGA|ARM_S_AXI_HPC1" onclick="a('X4XX_FPGA|ARM_S_AXI_HPC1');">ARM_S_AXI_HPC1</span></p>
+ <p><span class="register" id="a_X4XX_FPGA|ARM_SPI1_CS3" onclick="a('X4XX_FPGA|ARM_SPI1_CS3');">ARM_SPI1_CS3</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_AXI_HPM0_REGMAP" onclick="pm('AXI_HPM0_REGMAP');">+</span>
+ <span class="regmap" id="a_AXI_HPM0_REGMAP" onclick="a('AXI_HPM0_REGMAP');">AXI_HPM0_REGMAP</span>
+ </p> <div class="sh" id="div_AXI_HPM0_REGMAP">
+ <p>
+ <span class="pm" id="pm_AXI_HPM0_REGMAP|COMMON" onclick="pm('AXI_HPM0_REGMAP|COMMON');">+</span>
+ <span class="group" id="a_AXI_HPM0_REGMAP|COMMON" onclick="a('AXI_HPM0_REGMAP|COMMON');">COMMON</span>
+ </p>
+ <div class="sh" id="div_AXI_HPM0_REGMAP|COMMON">
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|RPU" onclick="a('AXI_HPM0_REGMAP|RPU');">RPU</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|JTAG_ENGINE" onclick="a('AXI_HPM0_REGMAP|JTAG_ENGINE');">JTAG_ENGINE</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|WR" onclick="a('AXI_HPM0_REGMAP|WR');">WR</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|MPM_ENDPOINT" onclick="a('AXI_HPM0_REGMAP|MPM_ENDPOINT');">MPM_ENDPOINT</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|CORE_REGS" onclick="a('AXI_HPM0_REGMAP|CORE_REGS');">CORE_REGS</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|INT_ETH_DMA" onclick="a('AXI_HPM0_REGMAP|INT_ETH_DMA');">INT_ETH_DMA</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|INT_ETH_REGS" onclick="a('AXI_HPM0_REGMAP|INT_ETH_REGS');">INT_ETH_REGS</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|RFDC" onclick="a('AXI_HPM0_REGMAP|RFDC');">RFDC</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|RFDC_REGS" onclick="a('AXI_HPM0_REGMAP|RFDC_REGS');">RFDC_REGS</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_AXI_HPM0_REGMAP|UHD_ONLY" onclick="pm('AXI_HPM0_REGMAP|UHD_ONLY');">+</span>
+ <span class="group" id="a_AXI_HPM0_REGMAP|UHD_ONLY" onclick="a('AXI_HPM0_REGMAP|UHD_ONLY');">UHD_ONLY</span>
+ </p>
+ <div class="sh" id="div_AXI_HPM0_REGMAP|UHD_ONLY">
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_0_0" onclick="a('AXI_HPM0_REGMAP|QSFP_0_0');">QSFP_0_0</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_0_1" onclick="a('AXI_HPM0_REGMAP|QSFP_0_1');">QSFP_0_1</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_0_2" onclick="a('AXI_HPM0_REGMAP|QSFP_0_2');">QSFP_0_2</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_0_3" onclick="a('AXI_HPM0_REGMAP|QSFP_0_3');">QSFP_0_3</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_1_0" onclick="a('AXI_HPM0_REGMAP|QSFP_1_0');">QSFP_1_0</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_1_1" onclick="a('AXI_HPM0_REGMAP|QSFP_1_1');">QSFP_1_1</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_1_2" onclick="a('AXI_HPM0_REGMAP|QSFP_1_2');">QSFP_1_2</span></p>
+ <p><span class="register" id="a_AXI_HPM0_REGMAP|QSFP_1_3" onclick="a('AXI_HPM0_REGMAP|QSFP_1_3');">QSFP_1_3</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_MB_CPLD_PS_REGMAP" onclick="pm('MB_CPLD_PS_REGMAP');">+</span>
+ <span class="regmap" id="a_MB_CPLD_PS_REGMAP" onclick="a('MB_CPLD_PS_REGMAP');">MB_CPLD_PS_REGMAP</span>
+ </p> <div class="sh" id="div_MB_CPLD_PS_REGMAP">
+ <p>
+ <span class="pm" id="pm_MB_CPLD_PS_REGMAP|MB_CPLD_PS_WINDOWS" onclick="pm('MB_CPLD_PS_REGMAP|MB_CPLD_PS_WINDOWS');">+</span>
+ <span class="group" id="a_MB_CPLD_PS_REGMAP|MB_CPLD_PS_WINDOWS" onclick="a('MB_CPLD_PS_REGMAP|MB_CPLD_PS_WINDOWS');">MB_CPLD_PS_WINDOWS</span>
+ </p>
+ <div class="sh" id="div_MB_CPLD_PS_REGMAP|MB_CPLD_PS_WINDOWS">
+ <p><span class="register" id="a_MB_CPLD_PS_REGMAP|PS_REGISTERS" onclick="a('MB_CPLD_PS_REGMAP|PS_REGISTERS');">PS_REGISTERS</span></p>
+ <p><span class="register" id="a_MB_CPLD_PS_REGMAP|RECONFIG" onclick="a('MB_CPLD_PS_REGMAP|RECONFIG');">RECONFIG</span></p>
+ <p><span class="register" id="a_MB_CPLD_PS_REGMAP|POWER_REGISTERS" onclick="a('MB_CPLD_PS_REGMAP|POWER_REGISTERS');">POWER_REGISTERS</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_MB_CPLD_PS_REGMAP|PS_SPI_ENDPOINTS" onclick="pm('MB_CPLD_PS_REGMAP|PS_SPI_ENDPOINTS');">+</span>
+ <span class="group" id="a_MB_CPLD_PS_REGMAP|PS_SPI_ENDPOINTS" onclick="a('MB_CPLD_PS_REGMAP|PS_SPI_ENDPOINTS');">PS_SPI_ENDPOINTS</span>
+ </p>
+ <div class="sh" id="div_MB_CPLD_PS_REGMAP|PS_SPI_ENDPOINTS">
+ <p><span class="enum" id="a_MB_CPLD_PS_REGMAP|SPI_ENDPOINT" onclick="a('MB_CPLD_PS_REGMAP|SPI_ENDPOINT');">enum SPI_ENDPOINT</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_CMAC_REGMAP" onclick="pm('CMAC_REGMAP');">+</span>
+ <span class="regmap" id="a_CMAC_REGMAP" onclick="a('CMAC_REGMAP');">CMAC_REGMAP</span>
+ </p> <div class="sh" id="div_CMAC_REGMAP">
+ <p>
+ <span class="pm" id="pm_CMAC_REGMAP|XILINX_CMAC_REGISTERS" onclick="pm('CMAC_REGMAP|XILINX_CMAC_REGISTERS');">+</span>
+ <span class="group" id="a_CMAC_REGMAP|XILINX_CMAC_REGISTERS" onclick="a('CMAC_REGMAP|XILINX_CMAC_REGISTERS');">XILINX_CMAC_REGISTERS</span>
+ </p>
+ <div class="sh" id="div_CMAC_REGMAP|XILINX_CMAC_REGISTERS">
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_CONSTANTS_REGMAP" onclick="pm('CONSTANTS_REGMAP');">+</span>
+ <span class="regmap" id="a_CONSTANTS_REGMAP" onclick="a('CONSTANTS_REGMAP');">CONSTANTS_REGMAP</span>
+ </p> <div class="sh" id="div_CONSTANTS_REGMAP">
+ <p>
+ <span class="pm" id="pm_CONSTANTS_REGMAP|CONSTANTS_GROUP" onclick="pm('CONSTANTS_REGMAP|CONSTANTS_GROUP');">+</span>
+ <span class="group" id="a_CONSTANTS_REGMAP|CONSTANTS_GROUP" onclick="a('CONSTANTS_REGMAP|CONSTANTS_GROUP');">CONSTANTS_GROUP</span>
+ </p>
+ <div class="sh" id="div_CONSTANTS_REGMAP|CONSTANTS_GROUP">
+ <p><span class="enum" id="a_CONSTANTS_REGMAP|CONSTANTS_ENUM" onclick="a('CONSTANTS_REGMAP|CONSTANTS_ENUM');">enum CONSTANTS_ENUM</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_CORE_REGS_REGMAP" onclick="pm('CORE_REGS_REGMAP');">+</span>
+ <span class="regmap" id="a_CORE_REGS_REGMAP" onclick="a('CORE_REGS_REGMAP');">CORE_REGS_REGMAP</span>
+ </p> <div class="sh" id="div_CORE_REGS_REGMAP">
+ <p>
+ <span class="pm" id="pm_CORE_REGS_REGMAP|CORE_REGS" onclick="pm('CORE_REGS_REGMAP|CORE_REGS');">+</span>
+ <span class="group" id="a_CORE_REGS_REGMAP|CORE_REGS" onclick="a('CORE_REGS_REGMAP|CORE_REGS');">CORE_REGS</span>
+ </p>
+ <div class="sh" id="div_CORE_REGS_REGMAP|CORE_REGS">
+ <p><span class="register" id="a_CORE_REGS_REGMAP|GLOBAL_REGS" onclick="a('CORE_REGS_REGMAP|GLOBAL_REGS');">GLOBAL_REGS</span></p>
+ <p><span class="register" id="a_CORE_REGS_REGMAP|VERSIONING_REGS" onclick="a('CORE_REGS_REGMAP|VERSIONING_REGS');">VERSIONING_REGS</span></p>
+ <p><span class="register" id="a_CORE_REGS_REGMAP|TIMEKEEPER" onclick="a('CORE_REGS_REGMAP|TIMEKEEPER');">TIMEKEEPER</span></p>
+ <p><span class="register" id="a_CORE_REGS_REGMAP|DIO" onclick="a('CORE_REGS_REGMAP|DIO');">DIO</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_CPLD_INTERFACE_REGMAP" onclick="pm('CPLD_INTERFACE_REGMAP');">+</span>
+ <span class="regmap" id="a_CPLD_INTERFACE_REGMAP" onclick="a('CPLD_INTERFACE_REGMAP');">CPLD_INTERFACE_REGMAP</span>
+ </p> <div class="sh" id="div_CPLD_INTERFACE_REGMAP">
+ <p>
+ <span class="pm" id="pm_CPLD_INTERFACE_REGMAP|CPLD_INTERFACE_REGS" onclick="pm('CPLD_INTERFACE_REGMAP|CPLD_INTERFACE_REGS');">+</span>
+ <span class="group" id="a_CPLD_INTERFACE_REGMAP|CPLD_INTERFACE_REGS" onclick="a('CPLD_INTERFACE_REGMAP|CPLD_INTERFACE_REGS');">CPLD_INTERFACE_REGS</span>
+ </p>
+ <div class="sh" id="div_CPLD_INTERFACE_REGMAP|CPLD_INTERFACE_REGS">
+ <p><span class="register" id="a_CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER" onclick="a('CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER');">SIGNATURE_REGISTER</span></p>
+ <p><span class="register" id="a_CPLD_INTERFACE_REGMAP|SCRATCH_REGISTER" onclick="a('CPLD_INTERFACE_REGMAP|SCRATCH_REGISTER');">SCRATCH_REGISTER</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_CPLD_INTERFACE_REGMAP|CPLD_SPI_CONTROL_REGS" onclick="pm('CPLD_INTERFACE_REGMAP|CPLD_SPI_CONTROL_REGS');">+</span>
+ <span class="group" id="a_CPLD_INTERFACE_REGMAP|CPLD_SPI_CONTROL_REGS" onclick="a('CPLD_INTERFACE_REGMAP|CPLD_SPI_CONTROL_REGS');">CPLD_SPI_CONTROL_REGS</span>
+ </p>
+ <div class="sh" id="div_CPLD_INTERFACE_REGMAP|CPLD_SPI_CONTROL_REGS">
+ <p><span class="register" id="a_CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER" onclick="a('CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER');">MOTHERBOARD_CPLD_DIVIDER</span></p>
+ <p><span class="register" id="a_CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER" onclick="a('CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER');">DAUGHTERBOARD_CPLD_DIVIDER</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_CPLD_INTERFACE_REGMAP|IPASS_REGS" onclick="pm('CPLD_INTERFACE_REGMAP|IPASS_REGS');">+</span>
+ <span class="group" id="a_CPLD_INTERFACE_REGMAP|IPASS_REGS" onclick="a('CPLD_INTERFACE_REGMAP|IPASS_REGS');">IPASS_REGS</span>
+ </p>
+ <div class="sh" id="div_CPLD_INTERFACE_REGMAP|IPASS_REGS">
+ <p><span class="register" id="a_CPLD_INTERFACE_REGMAP|IPASS_CONTROL" onclick="a('CPLD_INTERFACE_REGMAP|IPASS_CONTROL');">IPASS_CONTROL</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_DIO_REGMAP" onclick="pm('DIO_REGMAP');">+</span>
+ <span class="regmap" id="a_DIO_REGMAP" onclick="a('DIO_REGMAP');">DIO_REGMAP</span>
+ </p> <div class="sh" id="div_DIO_REGMAP">
+ <p>
+ <span class="pm" id="pm_DIO_REGMAP|DIO_REGS" onclick="pm('DIO_REGMAP|DIO_REGS');">+</span>
+ <span class="group" id="a_DIO_REGMAP|DIO_REGS" onclick="a('DIO_REGMAP|DIO_REGS');">DIO_REGS</span>
+ </p>
+ <div class="sh" id="div_DIO_REGMAP|DIO_REGS">
+ <p><span class="register" id="a_DIO_REGMAP|DIO_MASTER_REGISTER" onclick="a('DIO_REGMAP|DIO_MASTER_REGISTER');">DIO_MASTER_REGISTER</span></p>
+ <p><span class="register" id="a_DIO_REGMAP|DIO_DIRECTION_REGISTER" onclick="a('DIO_REGMAP|DIO_DIRECTION_REGISTER');">DIO_DIRECTION_REGISTER</span></p>
+ <p><span class="register" id="a_DIO_REGMAP|DIO_INPUT_REGISTER" onclick="a('DIO_REGMAP|DIO_INPUT_REGISTER');">DIO_INPUT_REGISTER</span></p>
+ <p><span class="register" id="a_DIO_REGMAP|DIO_OUTPUT_REGISTER" onclick="a('DIO_REGMAP|DIO_OUTPUT_REGISTER');">DIO_OUTPUT_REGISTER</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_DMA_REGMAP" onclick="pm('DMA_REGMAP');">+</span>
+ <span class="regmap" id="a_DMA_REGMAP" onclick="a('DMA_REGMAP');">DMA_REGMAP</span>
+ </p> <div class="sh" id="div_DMA_REGMAP">
+ <p>
+ <span class="pm" id="pm_DMA_REGMAP|XILINX_DMA_REGISTERS" onclick="pm('DMA_REGMAP|XILINX_DMA_REGISTERS');">+</span>
+ <span class="group" id="a_DMA_REGMAP|XILINX_DMA_REGISTERS" onclick="a('DMA_REGMAP|XILINX_DMA_REGISTERS');">XILINX_DMA_REGISTERS</span>
+ </p>
+ <div class="sh" id="div_DMA_REGMAP|XILINX_DMA_REGISTERS">
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_ETH_DMA_CTRL_REGMAP" onclick="pm('ETH_DMA_CTRL_REGMAP');">+</span>
+ <span class="regmap" id="a_ETH_DMA_CTRL_REGMAP" onclick="a('ETH_DMA_CTRL_REGMAP');">ETH_DMA_CTRL_REGMAP</span>
+ </p> <div class="sh" id="div_ETH_DMA_CTRL_REGMAP">
+ <p>
+ <span class="pm" id="pm_ETH_DMA_CTRL_REGMAP|ETH_DMA_CTRL" onclick="pm('ETH_DMA_CTRL_REGMAP|ETH_DMA_CTRL');">+</span>
+ <span class="group" id="a_ETH_DMA_CTRL_REGMAP|ETH_DMA_CTRL" onclick="a('ETH_DMA_CTRL_REGMAP|ETH_DMA_CTRL');">ETH_DMA_CTRL</span>
+ </p>
+ <div class="sh" id="div_ETH_DMA_CTRL_REGMAP|ETH_DMA_CTRL">
+ <p><span class="register" id="a_ETH_DMA_CTRL_REGMAP|AXI_DMA_CTRL" onclick="a('ETH_DMA_CTRL_REGMAP|AXI_DMA_CTRL');">AXI_DMA_CTRL</span></p>
+ <p><span class="register" id="a_ETH_DMA_CTRL_REGMAP|ETH_IO_CTRL" onclick="a('ETH_DMA_CTRL_REGMAP|ETH_IO_CTRL');">ETH_IO_CTRL</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_GLOBAL_REGS_REGMAP" onclick="pm('GLOBAL_REGS_REGMAP');">+</span>
+ <span class="regmap" id="a_GLOBAL_REGS_REGMAP" onclick="a('GLOBAL_REGS_REGMAP');">GLOBAL_REGS_REGMAP</span>
+ </p> <div class="sh" id="div_GLOBAL_REGS_REGMAP">
+ <p>
+ <span class="pm" id="pm_GLOBAL_REGS_REGMAP|GLOBAL_REGS" onclick="pm('GLOBAL_REGS_REGMAP|GLOBAL_REGS');">+</span>
+ <span class="group" id="a_GLOBAL_REGS_REGMAP|GLOBAL_REGS" onclick="a('GLOBAL_REGS_REGMAP|GLOBAL_REGS');">GLOBAL_REGS</span>
+ </p>
+ <div class="sh" id="div_GLOBAL_REGS_REGMAP|GLOBAL_REGS">
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|COMPAT_NUM_REG" onclick="a('GLOBAL_REGS_REGMAP|COMPAT_NUM_REG');">COMPAT_NUM_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|DATESTAMP_REG" onclick="a('GLOBAL_REGS_REGMAP|DATESTAMP_REG');">DATESTAMP_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|GIT_HASH_REG" onclick="a('GLOBAL_REGS_REGMAP|GIT_HASH_REG');">GIT_HASH_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|SCRATCH_REG" onclick="a('GLOBAL_REGS_REGMAP|SCRATCH_REG');">SCRATCH_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|DEVICE_ID_REG" onclick="a('GLOBAL_REGS_REGMAP|DEVICE_ID_REG');">DEVICE_ID_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|RFNOC_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|RFNOC_INFO_REG');">RFNOC_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG" onclick="a('GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG');">CLOCK_CTRL_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|PPS_CTRL_REG" onclick="a('GLOBAL_REGS_REGMAP|PPS_CTRL_REG');">PPS_CTRL_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG" onclick="a('GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG');">CHDR_CLK_RATE_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|CHDR_CLK_COUNT_REG" onclick="a('GLOBAL_REGS_REGMAP|CHDR_CLK_COUNT_REG');">CHDR_CLK_COUNT_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|GPS_CTRL_REG" onclick="a('GLOBAL_REGS_REGMAP|GPS_CTRL_REG');">GPS_CTRL_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|GPS_STATUS_REG" onclick="a('GLOBAL_REGS_REGMAP|GPS_STATUS_REG');">GPS_STATUS_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|DBOARD_CTRL_REG" onclick="a('GLOBAL_REGS_REGMAP|DBOARD_CTRL_REG');">DBOARD_CTRL_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|DBOARD_STATUS_REG" onclick="a('GLOBAL_REGS_REGMAP|DBOARD_STATUS_REG');">DBOARD_STATUS_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|NUM_TIMEKEEPERS_REG" onclick="a('GLOBAL_REGS_REGMAP|NUM_TIMEKEEPERS_REG');">NUM_TIMEKEEPERS_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|SERIAL_NUM_LOW_REG" onclick="a('GLOBAL_REGS_REGMAP|SERIAL_NUM_LOW_REG');">SERIAL_NUM_LOW_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|SERIAL_NUM_HIGH_REG" onclick="a('GLOBAL_REGS_REGMAP|SERIAL_NUM_HIGH_REG');">SERIAL_NUM_HIGH_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG" onclick="a('GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG');">MFG_TEST_CTRL_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG" onclick="a('GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG');">MFG_TEST_STATUS_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_0_0_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_0_0_INFO_REG');">QSFP_PORT_0_0_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_0_1_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_0_1_INFO_REG');">QSFP_PORT_0_1_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_0_2_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_0_2_INFO_REG');">QSFP_PORT_0_2_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_0_3_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_0_3_INFO_REG');">QSFP_PORT_0_3_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_1_0_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_1_0_INFO_REG');">QSFP_PORT_1_0_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_1_1_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_1_1_INFO_REG');">QSFP_PORT_1_1_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_1_2_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_1_2_INFO_REG');">QSFP_PORT_1_2_INFO_REG</span></p>
+ <p><span class="register" id="a_GLOBAL_REGS_REGMAP|QSFP_PORT_1_3_INFO_REG" onclick="a('GLOBAL_REGS_REGMAP|QSFP_PORT_1_3_INFO_REG');">QSFP_PORT_1_3_INFO_REG</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_JTAG_REGMAP" onclick="pm('JTAG_REGMAP');">+</span>
+ <span class="regmap" id="a_JTAG_REGMAP" onclick="a('JTAG_REGMAP');">JTAG_REGMAP</span>
+ </p> <div class="sh" id="div_JTAG_REGMAP">
+ <p>
+ <span class="pm" id="pm_JTAG_REGMAP|JTAG_REGS" onclick="pm('JTAG_REGMAP|JTAG_REGS');">+</span>
+ <span class="group" id="a_JTAG_REGMAP|JTAG_REGS" onclick="a('JTAG_REGMAP|JTAG_REGS');">JTAG_REGS</span>
+ </p>
+ <div class="sh" id="div_JTAG_REGMAP|JTAG_REGS">
+ <p><span class="register" id="a_JTAG_REGMAP|TX_DATA" onclick="a('JTAG_REGMAP|TX_DATA');">TX_DATA</span></p>
+ <p><span class="register" id="a_JTAG_REGMAP|STB_DATA" onclick="a('JTAG_REGMAP|STB_DATA');">STB_DATA</span></p>
+ <p><span class="register" id="a_JTAG_REGMAP|CONTROL" onclick="a('JTAG_REGMAP|CONTROL');">CONTROL</span></p>
+ <p><span class="register" id="a_JTAG_REGMAP|RX_DATA" onclick="a('JTAG_REGMAP|RX_DATA');">RX_DATA</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_MB_CPLD_PL_REGMAP" onclick="pm('MB_CPLD_PL_REGMAP');">+</span>
+ <span class="regmap" id="a_MB_CPLD_PL_REGMAP" onclick="a('MB_CPLD_PL_REGMAP');">MB_CPLD_PL_REGMAP</span>
+ </p> <div class="sh" id="div_MB_CPLD_PL_REGMAP">
+ <p>
+ <span class="pm" id="pm_MB_CPLD_PL_REGMAP|MB_CPLD_PL_WINDOWS" onclick="pm('MB_CPLD_PL_REGMAP|MB_CPLD_PL_WINDOWS');">+</span>
+ <span class="group" id="a_MB_CPLD_PL_REGMAP|MB_CPLD_PL_WINDOWS" onclick="a('MB_CPLD_PL_REGMAP|MB_CPLD_PL_WINDOWS');">MB_CPLD_PL_WINDOWS</span>
+ </p>
+ <div class="sh" id="div_MB_CPLD_PL_REGMAP|MB_CPLD_PL_WINDOWS">
+ <p><span class="register" id="a_MB_CPLD_PL_REGMAP|PL_REGISTERS" onclick="a('MB_CPLD_PL_REGMAP|PL_REGISTERS');">PL_REGISTERS</span></p>
+ <p><span class="register" id="a_MB_CPLD_PL_REGMAP|JTAG_DB0" onclick="a('MB_CPLD_PL_REGMAP|JTAG_DB0');">JTAG_DB0</span></p>
+ <p><span class="register" id="a_MB_CPLD_PL_REGMAP|JTAG_DB1" onclick="a('MB_CPLD_PL_REGMAP|JTAG_DB1');">JTAG_DB1</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_NIXGE_REGMAP" onclick="pm('NIXGE_REGMAP');">+</span>
+ <span class="regmap" id="a_NIXGE_REGMAP" onclick="a('NIXGE_REGMAP');">NIXGE_REGMAP</span>
+ </p> <div class="sh" id="div_NIXGE_REGMAP">
+ <p>
+ <span class="pm" id="pm_NIXGE_REGMAP|XGE_MAC_REGS" onclick="pm('NIXGE_REGMAP|XGE_MAC_REGS');">+</span>
+ <span class="group" id="a_NIXGE_REGMAP|XGE_MAC_REGS" onclick="a('NIXGE_REGMAP|XGE_MAC_REGS');">XGE_MAC_REGS</span>
+ </p>
+ <div class="sh" id="div_NIXGE_REGMAP|XGE_MAC_REGS">
+ <p><span class="register" id="a_NIXGE_REGMAP|PORT_INFO" onclick="a('NIXGE_REGMAP|PORT_INFO');">PORT_INFO</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|MAC_CTRL_STATUS" onclick="a('NIXGE_REGMAP|MAC_CTRL_STATUS');">MAC_CTRL_STATUS</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|MAC_PHY_STATUS" onclick="a('NIXGE_REGMAP|MAC_PHY_STATUS');">MAC_PHY_STATUS</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|MAC_LED_CTL" onclick="a('NIXGE_REGMAP|MAC_LED_CTL');">MAC_LED_CTL</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|ETH_MDIO_BASE" onclick="a('NIXGE_REGMAP|ETH_MDIO_BASE');">ETH_MDIO_BASE</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|AURORA_OVERRUNS" onclick="a('NIXGE_REGMAP|AURORA_OVERRUNS');">AURORA_OVERRUNS</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|AURORA_CHECKSUM_ERRORS" onclick="a('NIXGE_REGMAP|AURORA_CHECKSUM_ERRORS');">AURORA_CHECKSUM_ERRORS</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|AURORA_BIST_CHECKER_SAMPS" onclick="a('NIXGE_REGMAP|AURORA_BIST_CHECKER_SAMPS');">AURORA_BIST_CHECKER_SAMPS</span></p>
+ <p><span class="register" id="a_NIXGE_REGMAP|AURORA_BIST_CHECKER_ERRORS" onclick="a('NIXGE_REGMAP|AURORA_BIST_CHECKER_ERRORS');">AURORA_BIST_CHECKER_ERRORS</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_NIXGE_REGMAP|XGE_MAC_WINDOW" onclick="pm('NIXGE_REGMAP|XGE_MAC_WINDOW');">+</span>
+ <span class="group" id="a_NIXGE_REGMAP|XGE_MAC_WINDOW" onclick="a('NIXGE_REGMAP|XGE_MAC_WINDOW');">XGE_MAC_WINDOW</span>
+ </p>
+ <div class="sh" id="div_NIXGE_REGMAP|XGE_MAC_WINDOW">
+ <p><span class="register" id="a_NIXGE_REGMAP|XGE_MAC" onclick="a('NIXGE_REGMAP|XGE_MAC');">XGE_MAC</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_PL_CPLD_BASE_REGMAP" onclick="pm('PL_CPLD_BASE_REGMAP');">+</span>
+ <span class="regmap" id="a_PL_CPLD_BASE_REGMAP" onclick="a('PL_CPLD_BASE_REGMAP');">PL_CPLD_BASE_REGMAP</span>
+ </p> <div class="sh" id="div_PL_CPLD_BASE_REGMAP">
+ <p>
+ <span class="pm" id="pm_PL_CPLD_BASE_REGMAP|MB_CPLD_LED_REGS" onclick="pm('PL_CPLD_BASE_REGMAP|MB_CPLD_LED_REGS');">+</span>
+ <span class="group" id="a_PL_CPLD_BASE_REGMAP|MB_CPLD_LED_REGS" onclick="a('PL_CPLD_BASE_REGMAP|MB_CPLD_LED_REGS');">MB_CPLD_LED_REGS</span>
+ </p>
+ <div class="sh" id="div_PL_CPLD_BASE_REGMAP|MB_CPLD_LED_REGS">
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|LED_REGISTER" onclick="a('PL_CPLD_BASE_REGMAP|LED_REGISTER');">LED_REGISTER</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_PL_CPLD_BASE_REGMAP|PL_CMI_REGS" onclick="pm('PL_CPLD_BASE_REGMAP|PL_CMI_REGS');">+</span>
+ <span class="group" id="a_PL_CPLD_BASE_REGMAP|PL_CMI_REGS" onclick="a('PL_CPLD_BASE_REGMAP|PL_CMI_REGS');">PL_CMI_REGS</span>
+ </p>
+ <div class="sh" id="div_PL_CPLD_BASE_REGMAP|PL_CMI_REGS">
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG" onclick="a('PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG');">CABLE_PRESENT_REG</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_PL_CPLD_BASE_REGMAP|PL_CPLD_BASE_REGS" onclick="pm('PL_CPLD_BASE_REGMAP|PL_CPLD_BASE_REGS');">+</span>
+ <span class="group" id="a_PL_CPLD_BASE_REGMAP|PL_CPLD_BASE_REGS" onclick="a('PL_CPLD_BASE_REGMAP|PL_CPLD_BASE_REGS');">PL_CPLD_BASE_REGS</span>
+ </p>
+ <div class="sh" id="div_PL_CPLD_BASE_REGMAP|PL_CPLD_BASE_REGS">
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER" onclick="a('PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER');">SIGNATURE_REGISTER</span></p>
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|REVISION_REGISTER" onclick="a('PL_CPLD_BASE_REGMAP|REVISION_REGISTER');">REVISION_REGISTER</span></p>
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER" onclick="a('PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER');">OLDEST_COMPATIBLE_REVISION_REGISTER</span></p>
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|SCRATCH_REGISTER" onclick="a('PL_CPLD_BASE_REGMAP|SCRATCH_REGISTER');">SCRATCH_REGISTER</span></p>
+ <p><span class="register" id="a_PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER" onclick="a('PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER');">GIT_HASH_REGISTER</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_PL_CPLD_REGMAP" onclick="pm('PL_CPLD_REGMAP');">+</span>
+ <span class="regmap" id="a_PL_CPLD_REGMAP" onclick="a('PL_CPLD_REGMAP');">PL_CPLD_REGMAP</span>
+ </p> <div class="sh" id="div_PL_CPLD_REGMAP">
+ <p>
+ <span class="pm" id="pm_PL_CPLD_REGMAP|PL_CPLD_WINDOWS" onclick="pm('PL_CPLD_REGMAP|PL_CPLD_WINDOWS');">+</span>
+ <span class="group" id="a_PL_CPLD_REGMAP|PL_CPLD_WINDOWS" onclick="a('PL_CPLD_REGMAP|PL_CPLD_WINDOWS');">PL_CPLD_WINDOWS</span>
+ </p>
+ <div class="sh" id="div_PL_CPLD_REGMAP|PL_CPLD_WINDOWS">
+ <p><span class="register" id="a_PL_CPLD_REGMAP|BASE" onclick="a('PL_CPLD_REGMAP|BASE');">BASE</span></p>
+ <p><span class="register" id="a_PL_CPLD_REGMAP|MB_CPLD" onclick="a('PL_CPLD_REGMAP|MB_CPLD');">MB_CPLD</span></p>
+ <p><span class="register" id="a_PL_CPLD_REGMAP|DB0_CPLD" onclick="a('PL_CPLD_REGMAP|DB0_CPLD');">DB0_CPLD</span></p>
+ <p><span class="register" id="a_PL_CPLD_REGMAP|DB1_CPLD" onclick="a('PL_CPLD_REGMAP|DB1_CPLD');">DB1_CPLD</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_PL_DMA_MASTER_REGMAP" onclick="pm('PL_DMA_MASTER_REGMAP');">+</span>
+ <span class="regmap" id="a_PL_DMA_MASTER_REGMAP" onclick="a('PL_DMA_MASTER_REGMAP');">PL_DMA_MASTER_REGMAP</span>
+ </p> <div class="sh" id="div_PL_DMA_MASTER_REGMAP">
+ <p>
+ <span class="pm" id="pm_PL_DMA_MASTER_REGMAP|HPC0_DMA" onclick="pm('PL_DMA_MASTER_REGMAP|HPC0_DMA');">+</span>
+ <span class="group" id="a_PL_DMA_MASTER_REGMAP|HPC0_DMA" onclick="a('PL_DMA_MASTER_REGMAP|HPC0_DMA');">HPC0_DMA</span>
+ </p>
+ <div class="sh" id="div_PL_DMA_MASTER_REGMAP|HPC0_DMA">
+ <p><span class="register" id="a_PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW" onclick="a('PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW');">AXI_HPC0_WINDOW</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_PL_DMA_MASTER_REGMAP|HPC1_DMA" onclick="pm('PL_DMA_MASTER_REGMAP|HPC1_DMA');">+</span>
+ <span class="group" id="a_PL_DMA_MASTER_REGMAP|HPC1_DMA" onclick="a('PL_DMA_MASTER_REGMAP|HPC1_DMA');">HPC1_DMA</span>
+ </p>
+ <div class="sh" id="div_PL_DMA_MASTER_REGMAP|HPC1_DMA">
+ <p><span class="register" id="a_PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW" onclick="a('PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW');">AXI_HPC1_WINDOW</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_PS_CPLD_BASE_REGMAP" onclick="pm('PS_CPLD_BASE_REGMAP');">+</span>
+ <span class="regmap" id="a_PS_CPLD_BASE_REGMAP" onclick="a('PS_CPLD_BASE_REGMAP');">PS_CPLD_BASE_REGMAP</span>
+ </p> <div class="sh" id="div_PS_CPLD_BASE_REGMAP">
+ <p>
+ <span class="pm" id="pm_PS_CPLD_BASE_REGMAP|DIO_REGS" onclick="pm('PS_CPLD_BASE_REGMAP|DIO_REGS');">+</span>
+ <span class="group" id="a_PS_CPLD_BASE_REGMAP|DIO_REGS" onclick="a('PS_CPLD_BASE_REGMAP|DIO_REGS');">DIO_REGS</span>
+ </p>
+ <div class="sh" id="div_PS_CPLD_BASE_REGMAP|DIO_REGS">
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER');">DIO_DIRECTION_REGISTER</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_PS_CPLD_BASE_REGMAP|PS_CMI_REGS" onclick="pm('PS_CPLD_BASE_REGMAP|PS_CMI_REGS');">+</span>
+ <span class="group" id="a_PS_CPLD_BASE_REGMAP|PS_CMI_REGS" onclick="a('PS_CPLD_BASE_REGMAP|PS_CMI_REGS');">PS_CMI_REGS</span>
+ </p>
+ <div class="sh" id="div_PS_CPLD_BASE_REGMAP|PS_CMI_REGS">
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|SERIAL_NUM_LOW_REG" onclick="a('PS_CPLD_BASE_REGMAP|SERIAL_NUM_LOW_REG');">SERIAL_NUM_LOW_REG</span></p>
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|SERIAL_NUM_HIGH_REG" onclick="a('PS_CPLD_BASE_REGMAP|SERIAL_NUM_HIGH_REG');">SERIAL_NUM_HIGH_REG</span></p>
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS" onclick="a('PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS');">CMI_CONTROL_STATUS</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_PS_CPLD_BASE_REGMAP|PS_CONTROL_REGS" onclick="pm('PS_CPLD_BASE_REGMAP|PS_CONTROL_REGS');">+</span>
+ <span class="group" id="a_PS_CPLD_BASE_REGMAP|PS_CONTROL_REGS" onclick="a('PS_CPLD_BASE_REGMAP|PS_CONTROL_REGS');">PS_CONTROL_REGS</span>
+ </p>
+ <div class="sh" id="div_PS_CPLD_BASE_REGMAP|PS_CONTROL_REGS">
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|PL_DB_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|PL_DB_REGISTER');">PL_DB_REGISTER</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_PS_CPLD_BASE_REGMAP|PS_CPLD_BASE_REGS" onclick="pm('PS_CPLD_BASE_REGMAP|PS_CPLD_BASE_REGS');">+</span>
+ <span class="group" id="a_PS_CPLD_BASE_REGMAP|PS_CPLD_BASE_REGS" onclick="a('PS_CPLD_BASE_REGMAP|PS_CPLD_BASE_REGS');">PS_CPLD_BASE_REGS</span>
+ </p>
+ <div class="sh" id="div_PS_CPLD_BASE_REGMAP|PS_CPLD_BASE_REGS">
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER');">SIGNATURE_REGISTER</span></p>
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|REVISION_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|REVISION_REGISTER');">REVISION_REGISTER</span></p>
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER');">OLDEST_COMPATIBLE_REVISION_REGISTER</span></p>
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|SCRATCH_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|SCRATCH_REGISTER');">SCRATCH_REGISTER</span></p>
+ <p><span class="register" id="a_PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER" onclick="a('PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER');">GIT_HASH_REGISTER</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_PS_POWER_REGMAP" onclick="pm('PS_POWER_REGMAP');">+</span>
+ <span class="regmap" id="a_PS_POWER_REGMAP" onclick="a('PS_POWER_REGMAP');">PS_POWER_REGMAP</span>
+ </p> <div class="sh" id="div_PS_POWER_REGMAP">
+ <p>
+ <span class="pm" id="pm_PS_POWER_REGMAP|PS_POWER_REGS" onclick="pm('PS_POWER_REGMAP|PS_POWER_REGS');">+</span>
+ <span class="group" id="a_PS_POWER_REGMAP|PS_POWER_REGS" onclick="a('PS_POWER_REGMAP|PS_POWER_REGS');">PS_POWER_REGS</span>
+ </p>
+ <div class="sh" id="div_PS_POWER_REGMAP|PS_POWER_REGS">
+ <p><span class="register" id="a_PS_POWER_REGMAP|IPASS_POWER_REG" onclick="a('PS_POWER_REGMAP|IPASS_POWER_REG');">IPASS_POWER_REG</span></p>
+ <p><span class="register" id="a_PS_POWER_REGMAP|OSC_POWER_REG" onclick="a('PS_POWER_REGMAP|OSC_POWER_REG');">OSC_POWER_REG</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_QSFP_REGMAP" onclick="pm('QSFP_REGMAP');">+</span>
+ <span class="regmap" id="a_QSFP_REGMAP" onclick="a('QSFP_REGMAP');">QSFP_REGMAP</span>
+ </p> <div class="sh" id="div_QSFP_REGMAP">
+ <p>
+ <span class="pm" id="pm_QSFP_REGMAP|QSFP_WINDOWS" onclick="pm('QSFP_REGMAP|QSFP_WINDOWS');">+</span>
+ <span class="group" id="a_QSFP_REGMAP|QSFP_WINDOWS" onclick="a('QSFP_REGMAP|QSFP_WINDOWS');">QSFP_WINDOWS</span>
+ </p>
+ <div class="sh" id="div_QSFP_REGMAP|QSFP_WINDOWS">
+ <p><span class="register" id="a_QSFP_REGMAP|ETH_DMA" onclick="a('QSFP_REGMAP|ETH_DMA');">ETH_DMA</span></p>
+ <p><span class="register" id="a_QSFP_REGMAP|NIXGE" onclick="a('QSFP_REGMAP|NIXGE');">NIXGE</span></p>
+ <p><span class="register" id="a_QSFP_REGMAP|UIO" onclick="a('QSFP_REGMAP|UIO');">UIO</span></p>
+ <p><span class="register" id="a_QSFP_REGMAP|CMAC" onclick="a('QSFP_REGMAP|CMAC');">CMAC</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_RADIO_CTRLPORT_REGMAP" onclick="pm('RADIO_CTRLPORT_REGMAP');">+</span>
+ <span class="regmap" id="a_RADIO_CTRLPORT_REGMAP" onclick="a('RADIO_CTRLPORT_REGMAP');">RADIO_CTRLPORT_REGMAP</span>
+ </p> <div class="sh" id="div_RADIO_CTRLPORT_REGMAP">
+ <p>
+ <span class="pm" id="pm_RADIO_CTRLPORT_REGMAP|RADIO_CTRLPORT_WINDOWS" onclick="pm('RADIO_CTRLPORT_REGMAP|RADIO_CTRLPORT_WINDOWS');">+</span>
+ <span class="group" id="a_RADIO_CTRLPORT_REGMAP|RADIO_CTRLPORT_WINDOWS" onclick="a('RADIO_CTRLPORT_REGMAP|RADIO_CTRLPORT_WINDOWS');">RADIO_CTRLPORT_WINDOWS</span>
+ </p>
+ <div class="sh" id="div_RADIO_CTRLPORT_REGMAP|RADIO_CTRLPORT_WINDOWS">
+ <p><span class="register" id="a_RADIO_CTRLPORT_REGMAP|DB_WINDOW" onclick="a('RADIO_CTRLPORT_REGMAP|DB_WINDOW');">DB_WINDOW</span></p>
+ <p><span class="register" id="a_RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW" onclick="a('RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW');">RFDC_TIMING_WINDOW</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_RECONFIG_REGMAP" onclick="pm('RECONFIG_REGMAP');">+</span>
+ <span class="regmap" id="a_RECONFIG_REGMAP" onclick="a('RECONFIG_REGMAP');">RECONFIG_REGMAP</span>
+ </p> <div class="sh" id="div_RECONFIG_REGMAP">
+ <p>
+ <span class="pm" id="pm_RECONFIG_REGMAP|RECONFIG_REGS" onclick="pm('RECONFIG_REGMAP|RECONFIG_REGS');">+</span>
+ <span class="group" id="a_RECONFIG_REGMAP|RECONFIG_REGS" onclick="a('RECONFIG_REGMAP|RECONFIG_REGS');">RECONFIG_REGS</span>
+ </p>
+ <div class="sh" id="div_RECONFIG_REGMAP|RECONFIG_REGS">
+ <p><span class="enum" id="a_RECONFIG_REGMAP|FLASH_PRIMARY_IMAGE_ADDR_ENUM" onclick="a('RECONFIG_REGMAP|FLASH_PRIMARY_IMAGE_ADDR_ENUM');">enum FLASH_PRIMARY_IMAGE_ADDR_ENUM</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_STATUS_REG" onclick="a('RECONFIG_REGMAP|FLASH_STATUS_REG');">FLASH_STATUS_REG</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_CONTROL_REG" onclick="a('RECONFIG_REGMAP|FLASH_CONTROL_REG');">FLASH_CONTROL_REG</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_ADDR_REG" onclick="a('RECONFIG_REGMAP|FLASH_ADDR_REG');">FLASH_ADDR_REG</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_WRITE_DATA_REG" onclick="a('RECONFIG_REGMAP|FLASH_WRITE_DATA_REG');">FLASH_WRITE_DATA_REG</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_READ_DATA_REG" onclick="a('RECONFIG_REGMAP|FLASH_READ_DATA_REG');">FLASH_READ_DATA_REG</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG" onclick="a('RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG');">FLASH_CFM0_START_ADDR_REG</span></p>
+ <p><span class="register" id="a_RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG" onclick="a('RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG');">FLASH_CFM0_END_ADDR_REG</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_RFDC_REGS_REGMAP" onclick="pm('RFDC_REGS_REGMAP');">+</span>
+ <span class="regmap" id="a_RFDC_REGS_REGMAP" onclick="a('RFDC_REGS_REGMAP');">RFDC_REGS_REGMAP</span>
+ </p> <div class="sh" id="div_RFDC_REGS_REGMAP">
+ <p>
+ <span class="pm" id="pm_RFDC_REGS_REGMAP|RFDC_REGS" onclick="pm('RFDC_REGS_REGMAP|RFDC_REGS');">+</span>
+ <span class="group" id="a_RFDC_REGS_REGMAP|RFDC_REGS" onclick="a('RFDC_REGS_REGMAP|RFDC_REGS');">RFDC_REGS</span>
+ </p>
+ <div class="sh" id="div_RFDC_REGS_REGMAP|RFDC_REGS">
+ <p><span class="enum" id="a_RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM" onclick="a('RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM');">enum FABRIC_DSP_BW_ENUM</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|MMCM" onclick="a('RFDC_REGS_REGMAP|MMCM');">MMCM</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|INVERT_IQ_REG" onclick="a('RFDC_REGS_REGMAP|INVERT_IQ_REG');">INVERT_IQ_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|MMCM_RESET_REG" onclick="a('RFDC_REGS_REGMAP|MMCM_RESET_REG');">MMCM_RESET_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG" onclick="a('RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG');">RF_RESET_CONTROL_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|RF_RESET_STATUS_REG" onclick="a('RFDC_REGS_REGMAP|RF_RESET_STATUS_REG');">RF_RESET_STATUS_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|RF_AXI_STATUS_REG" onclick="a('RFDC_REGS_REGMAP|RF_AXI_STATUS_REG');">RF_AXI_STATUS_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|FABRIC_DSP_REG" onclick="a('RFDC_REGS_REGMAP|FABRIC_DSP_REG');">FABRIC_DSP_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|CALIBRATION_DATA" onclick="a('RFDC_REGS_REGMAP|CALIBRATION_DATA');">CALIBRATION_DATA</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|CALIBRATION_ENABLE" onclick="a('RFDC_REGS_REGMAP|CALIBRATION_ENABLE');">CALIBRATION_ENABLE</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|THRESHOLD_STATUS" onclick="a('RFDC_REGS_REGMAP|THRESHOLD_STATUS');">THRESHOLD_STATUS</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG" onclick="a('RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG');">RF_PLL_CONTROL_REG</span></p>
+ <p><span class="register" id="a_RFDC_REGS_REGMAP|RF_PLL_STATUS_REG" onclick="a('RFDC_REGS_REGMAP|RF_PLL_STATUS_REG');">RF_PLL_STATUS_REG</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_RFDC_TIMING_REGMAP" onclick="pm('RFDC_TIMING_REGMAP');">+</span>
+ <span class="regmap" id="a_RFDC_TIMING_REGMAP" onclick="a('RFDC_TIMING_REGMAP');">RFDC_TIMING_REGMAP</span>
+ </p> <div class="sh" id="div_RFDC_TIMING_REGMAP">
+ <p>
+ <span class="pm" id="pm_RFDC_TIMING_REGMAP|RFDC_TIMING_REGS" onclick="pm('RFDC_TIMING_REGMAP|RFDC_TIMING_REGS');">+</span>
+ <span class="group" id="a_RFDC_TIMING_REGMAP|RFDC_TIMING_REGS" onclick="a('RFDC_TIMING_REGMAP|RFDC_TIMING_REGS');">RFDC_TIMING_REGS</span>
+ </p>
+ <div class="sh" id="div_RFDC_TIMING_REGMAP|RFDC_TIMING_REGS">
+ <p><span class="register" id="a_RFDC_TIMING_REGMAP|NCO_RESET_REG" onclick="a('RFDC_TIMING_REGMAP|NCO_RESET_REG');">NCO_RESET_REG</span></p>
+ <p><span class="register" id="a_RFDC_TIMING_REGMAP|GEARBOX_RESET_REG" onclick="a('RFDC_TIMING_REGMAP|GEARBOX_RESET_REG');">GEARBOX_RESET_REG</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_SPI_REGMAP" onclick="pm('SPI_REGMAP');">+</span>
+ <span class="regmap" id="a_SPI_REGMAP" onclick="a('SPI_REGMAP');">SPI_REGMAP</span>
+ </p> <div class="sh" id="div_SPI_REGMAP">
+ <p>
+ <span class="pm" id="pm_SPI_REGMAP|SPI_REGS" onclick="pm('SPI_REGMAP|SPI_REGS');">+</span>
+ <span class="group" id="a_SPI_REGMAP|SPI_REGS" onclick="a('SPI_REGMAP|SPI_REGS');">SPI_REGS</span>
+ </p>
+ <div class="sh" id="div_SPI_REGMAP|SPI_REGS">
+ <p><span class="register" id="a_SPI_REGMAP|RX_DATA_LOW" onclick="a('SPI_REGMAP|RX_DATA_LOW');">RX_DATA_LOW</span></p>
+ <p><span class="register" id="a_SPI_REGMAP|RX_DATA_HIGH" onclick="a('SPI_REGMAP|RX_DATA_HIGH');">RX_DATA_HIGH</span></p>
+ <p><span class="register" id="a_SPI_REGMAP|TX_DATA_LOW" onclick="a('SPI_REGMAP|TX_DATA_LOW');">TX_DATA_LOW</span></p>
+ <p><span class="register" id="a_SPI_REGMAP|TX_DATA_HIGH" onclick="a('SPI_REGMAP|TX_DATA_HIGH');">TX_DATA_HIGH</span></p>
+ <p><span class="register" id="a_SPI_REGMAP|CONTROL" onclick="a('SPI_REGMAP|CONTROL');">CONTROL</span></p>
+ <p><span class="register" id="a_SPI_REGMAP|CLOCK_DIVIDER" onclick="a('SPI_REGMAP|CLOCK_DIVIDER');">CLOCK_DIVIDER</span></p>
+ <p><span class="register" id="a_SPI_REGMAP|SLAVE_SELECT" onclick="a('SPI_REGMAP|SLAVE_SELECT');">SLAVE_SELECT</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_UIO_REGMAP" onclick="pm('UIO_REGMAP');">+</span>
+ <span class="regmap" id="a_UIO_REGMAP" onclick="a('UIO_REGMAP');">UIO_REGMAP</span>
+ </p> <div class="sh" id="div_UIO_REGMAP">
+ <p>
+ <span class="pm" id="pm_UIO_REGMAP|UIO_REGS" onclick="pm('UIO_REGMAP|UIO_REGS');">+</span>
+ <span class="group" id="a_UIO_REGMAP|UIO_REGS" onclick="a('UIO_REGMAP|UIO_REGS');">UIO_REGS</span>
+ </p>
+ <div class="sh" id="div_UIO_REGMAP|UIO_REGS">
+ <p><span class="register" id="a_UIO_REGMAP|IP" onclick="a('UIO_REGMAP|IP');">IP</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|UDP" onclick="a('UIO_REGMAP|UDP');">UDP</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|BRIDGE_MAC_LSB" onclick="a('UIO_REGMAP|BRIDGE_MAC_LSB');">BRIDGE_MAC_LSB</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|BRIDGE_MAC_MSB" onclick="a('UIO_REGMAP|BRIDGE_MAC_MSB');">BRIDGE_MAC_MSB</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|BRIDGE_IP" onclick="a('UIO_REGMAP|BRIDGE_IP');">BRIDGE_IP</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|BRIDGE_UDP" onclick="a('UIO_REGMAP|BRIDGE_UDP');">BRIDGE_UDP</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|BRIDGE_ENABLE" onclick="a('UIO_REGMAP|BRIDGE_ENABLE');">BRIDGE_ENABLE</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|CHDR_DROPPED" onclick="a('UIO_REGMAP|CHDR_DROPPED');">CHDR_DROPPED</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|CPU_DROPPED" onclick="a('UIO_REGMAP|CPU_DROPPED');">CPU_DROPPED</span></p>
+ <p><span class="register" id="a_UIO_REGMAP|PAUSE" onclick="a('UIO_REGMAP|PAUSE');">PAUSE</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_VERSIONING_REGS_REGMAP" onclick="pm('VERSIONING_REGS_REGMAP');">+</span>
+ <span class="regmap" id="a_VERSIONING_REGS_REGMAP" onclick="a('VERSIONING_REGS_REGMAP');">VERSIONING_REGS_REGMAP</span>
+ </p> <div class="sh" id="div_VERSIONING_REGS_REGMAP">
+ <p>
+ <span class="pm" id="pm_VERSIONING_REGS_REGMAP|VERSIONING_CONSTANTS" onclick="pm('VERSIONING_REGS_REGMAP|VERSIONING_CONSTANTS');">+</span>
+ <span class="group" id="a_VERSIONING_REGS_REGMAP|VERSIONING_CONSTANTS" onclick="a('VERSIONING_REGS_REGMAP|VERSIONING_CONSTANTS');">VERSIONING_CONSTANTS</span>
+ </p>
+ <div class="sh" id="div_VERSIONING_REGS_REGMAP|VERSIONING_CONSTANTS">
+ <p><span class="enum" id="a_VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION" onclick="a('VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION');">enum CPLD_IFC_VERSION</span></p>
+ <p><span class="enum" id="a_VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION" onclick="a('VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION');">enum DB_GPIO_IFC_VERSION</span></p>
+ <p><span class="enum" id="a_VERSIONING_REGS_REGMAP|FPGA_VERSION" onclick="a('VERSIONING_REGS_REGMAP|FPGA_VERSION');">enum FPGA_VERSION</span></p>
+ <p><span class="enum" id="a_VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION" onclick="a('VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION');">enum RF_CORE_100M_VERSION</span></p>
+ <p><span class="enum" id="a_VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION" onclick="a('VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION');">enum RF_CORE_400M_VERSION</span></p>
+ </div>
+ <p>
+ <span class="pm" id="pm_VERSIONING_REGS_REGMAP|VERSIONING_REGS" onclick="pm('VERSIONING_REGS_REGMAP|VERSIONING_REGS');">+</span>
+ <span class="group" id="a_VERSIONING_REGS_REGMAP|VERSIONING_REGS" onclick="a('VERSIONING_REGS_REGMAP|VERSIONING_REGS');">VERSIONING_REGS</span>
+ </p>
+ <div class="sh" id="div_VERSIONING_REGS_REGMAP|VERSIONING_REGS">
+ <p><span class="enum" id="a_VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES" onclick="a('VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES');">enum COMPONENTS_INDEXES</span></p>
+ <p><span class="register" id="a_VERSIONING_REGS_REGMAP|CURRENT_VERSION" onclick="a('VERSIONING_REGS_REGMAP|CURRENT_VERSION');">CURRENT_VERSION</span></p>
+ <p><span class="register" id="a_VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION" onclick="a('VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION');">OLDEST_COMPATIBLE_VERSION</span></p>
+ <p><span class="register" id="a_VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED" onclick="a('VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED');">VERSION_LAST_MODIFIED</span></p>
+ <p><span class="register" id="a_VERSIONING_REGS_REGMAP|RESERVED" onclick="a('VERSIONING_REGS_REGMAP|RESERVED');">RESERVED</span></p>
+ </div>
+ </div>
+ <p>
+ <span class="pm" id="pm_XGE_MAC_REGMAP" onclick="pm('XGE_MAC_REGMAP');">+</span>
+ <span class="regmap" id="a_XGE_MAC_REGMAP" onclick="a('XGE_MAC_REGMAP');">XGE_MAC_REGMAP</span>
+ </p> <div class="sh" id="div_XGE_MAC_REGMAP">
+ <p>
+ <span class="pm" id="pm_XGE_MAC_REGMAP|OPENCORE_XGE_REGISTERS" onclick="pm('XGE_MAC_REGMAP|OPENCORE_XGE_REGISTERS');">+</span>
+ <span class="group" id="a_XGE_MAC_REGMAP|OPENCORE_XGE_REGISTERS" onclick="a('XGE_MAC_REGMAP|OPENCORE_XGE_REGISTERS');">OPENCORE_XGE_REGISTERS</span>
+ </p>
+ <div class="sh" id="div_XGE_MAC_REGMAP|OPENCORE_XGE_REGISTERS">
+ </div>
+ </div>
+ </div>
+ </body>
+</HTML> \ No newline at end of file
diff --git a/fpga/usrp3/top/x400/doc/X4XX_FPGA_right.htm b/fpga/usrp3/top/x400/doc/X4XX_FPGA_right.htm
new file mode 100644
index 000000000..4a4cacffa
--- /dev/null
+++ b/fpga/usrp3/top/x400/doc/X4XX_FPGA_right.htm
@@ -0,0 +1,22509 @@
+<html>
+ <head>
+ <meta http-equiv="Content-Type" content="text/html;charset=utf-8"/>
+ <style type="text/css">
+
+ body {
+ margin: 5px;
+ font-family: verdana, "Trebuchet MS", arial, helvetica, sans-serif;
+ font-size: 80%;
+ line-height: 1.3;
+ }
+
+ pre, code {
+ font-family: "courier new", courier, monospace;
+ font-size: 12px;
+ }
+
+ @media print {
+ body {
+ margin: 0px;
+ font-family: arial, helvetica, sans-serif;
+ font-size: 80%;
+ line-height: 1;
+ }
+ } a, a:link, a:visited, a:hover, a:active {
+ text-decoration: none;
+ color: #0000E0;
+ }
+
+ @media print {
+ a, a:link, a:visited, a:hover, a:active {
+ color: #000000;
+ }
+ }
+
+ div.regmap {
+ margin: 0px;
+ padding: 0px 0px 0px 10px;
+ border-top: 5px solid #A0A0C0;
+ }
+
+ @media print {
+ div.regmap {
+ border-top: 3px solid #A4A4A4;
+ }
+ }
+
+ h1.regmap {
+ font-size: 160%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px;
+ color: #404080;
+ }
+
+ @media print {
+ h1.regmap {
+ font-size: 140%;
+ color: black;
+ }
+ }
+
+ p.rbmfooter {
+ font-weight: bold;
+ font-size: 120%;
+ color: #A04080;
+ padding: 0px 0px 15px 10px;
+ margin: 0px;
+ }
+
+ @media print {
+ p.rbmfooter {
+ font-size: 100%;
+ color: black;
+ padding: 0px 0px 0px 10px;
+ }
+ }
+
+ div.group {
+ border-top: 3px solid #A0C0A0;
+ margin: 10px 0px 0px 0px;
+ padding: 0px 0px 0px 10px;
+ }
+
+ @media print {
+ div.group {
+ border-top: 2px solid #A4A4A4;
+ }
+ }
+
+ h2.group {
+ font-size: 140%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px 5px 0px;
+ color: #407040;
+ }
+
+ p.groupsource {
+ font-size: 100%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 5px 0px 0px 10px;
+ color: #407040;
+ }
+
+ @media print {
+ h2.group {
+ font-size: 120%;
+ color: black;
+ }
+ }
+
+ div.info {
+ margin: 5px 0px;
+ }
+
+ div.info p {
+ margin: 5px 0px;
+ padding: 0px;
+ }
+
+ div.info h3 {
+ font-size: 120%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px;
+ color: #804080;
+ }
+
+ @media print {
+ div.info h3 {
+ font-size: 95%;
+ color: black;
+ }
+ }
+
+ div.info h4 {
+ font-size: 110%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px;
+ color: #A04040;
+ }
+
+ @media print {
+ div.info h4 {
+ font-size: 90%;
+ font-weight: bold
+ }
+ }
+
+ h5 {
+ font-size: 100%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px;
+ color: #A04040;
+ }
+
+
+ @media print {
+ h5 {
+ font-size: 80%;
+ font-weight: bol
+ }
+ }
+
+ div.register, div.enum {
+ /* border-top: 2px solid #C0C0C0; */
+ margin: 10px;
+ }
+
+ div.register h3 {
+ font-size: 120%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px 5px 0px;
+ color: #004040;
+ }
+
+ @media print {
+ div.register h3 {
+ font-size: 100%;
+ color: black;
+ padding: 5px 0px 5px 0px;
+ }
+ }
+
+ div.register h3 .addrlsb {
+ font-size: 70%;
+ font-weight: lighter;
+ color: LightGray;
+ }
+
+ a.sh_addrs {
+ font-weight: normal;
+ font-size: 70%;
+ padding-left: 5px;
+ }
+
+ @media print {
+ a.sh_addrs {
+ display: none;
+ }
+ }
+
+ div.register div.sh_addrs {
+ color: #000080;
+ margin: 0px;
+ padding: 0px 0px 0px 10px;
+ display: none;
+ }
+
+ div.register div.sh_addrs table {
+ padding: 0px;
+ margin: 0px 0px 5px 10px;
+ }
+
+ div.register div.sh_addrs table td {
+ /* top right bottom left */
+ padding: 3px 5px 0px 0px;
+ }
+
+ div.register div.sh_addrs table td.l {
+ padding-left: 5px;
+ font-weight: bold;
+ }
+
+ div.enum h3 {
+ font-size: 120%;
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ padding: 10px 0px 5px 0px;
+ color: #800000;
+ }
+
+ @media print {
+ div.enum h3 {
+ font-size: 100%;
+ color: black;
+ padding: 5px 0px 5px 0px;
+ }
+ }
+
+ a.sh_enum {
+ font-size: 85%;
+ }
+
+ @media print {
+ a.sh_enum {
+ display: none;
+ }
+ }
+
+ div.sh_enum {
+ display: none;
+ }
+
+ table {
+ font-size: 100%;
+ }
+
+ @media print {
+ table {
+ font-size: 75%;
+ }
+
+ table table {
+ font-size: 100%;
+ }
+ }
+
+ table.bitfields, table.enum {
+ border: 1px solid #C0C0C0;
+ margin-left: 20px;
+ }
+
+ table.enum {
+ margin-top: 5px;
+ }
+
+ table.bitfields td, table.enum td {
+ padding: 3px 8px;
+ }
+
+ table.enum td {
+ border-top: 1px solid #D8D8D8;
+ }
+
+ table.bitfields tr.byte td {
+ border-top: 1px solid #D8D8D8;
+ }
+
+ table.bitfields tr.header td, table.enum tr.header td,
+ table.enum tr.header2 td {
+ font-weight: bold;
+ color: #004080;
+ background-color: #F0F0F0;
+ padding-top: 1px;
+ padding-bottom: 1px;
+ }
+
+ @media print {
+ table.bitfields tr.header td, table.enum tr.header td,
+ table.enum tr.header2 td {
+ color: black;
+ }
+ }
+
+ table.bitfields tr.header td {
+ text-align: left;
+ border-bottom: 1px solid #C0C0C0;
+ }
+
+ table.bitfields tr.header td.bits {
+ text-align: center;
+ }
+
+ table.enum tr.header td {
+ text-align: center;
+ border-top: none;
+ border-bottom: none;
+ }
+
+ table.enum tr.header2 td {
+ text-align: center;
+ }
+
+ table.bitfields td.bits, table.enum td.value, table.enum td.value2 {
+ font-weight: bold;
+ text-align: center;
+ }
+
+ table.enum td.value2 {
+ border-left: 1px solid #C0C0C0;
+ }
+
+ table.enum td.l {
+ text-align: center;
+ border-left: 1px solid #E0E0E0;
+ }
+
+ table.bitfields td p, table.enum td p {
+ margin: 0px;
+ padding: 3px 0px 0px 0px;
+ }
+
+ table.bitfields td p.name, table.bitfields td p span.name, table.enum td p.name {
+ font-weight: bold;
+ padding-top: 0px;
+ }
+
+ table.bitfields td p span.attr {
+ color: #606060;
+ padding-top: 0px;
+ }
+
+ table.extended_info {
+ border: 1px solid #D8D8D8;
+ border-collapse: collapse;
+ padding: 0px;
+ }
+
+ table.extended_info td.outercell {
+ border: 1px solid #D8D8D8;
+ padding: 0px;
+ }
+
+
+ p.reg_info, p.enum_info {
+ color: #606060;
+ margin: 0px;
+ padding: 5px 0px 0px 20px;
+ }
+
+ p.offset_info, td.offset_info {
+ text-align: left;
+ font-weight: bold;
+ margin: 0px;
+ /* top right bottom left*/
+ padding: 0px 0px 5px 0px;
+ color: #006060;
+ }
+
+ @media print {
+ p.reg_info, p.enum_info {
+ font-size: 70%;
+ color: black;
+ padding: 5px 0px 0px 15px;
+ }
+ }
+/*Prism.js CSS*/
+/* PrismJS 1.15.0
+https://prismjs.com/download.html#themes=prism-twilight&languages=markup+css+clike+javascript+perl+python+tcl+verilog+vhdl */
+/**
+ * prism.js Twilight theme
+ * Based (more or less) on the Twilight theme originally of Textmate fame.
+ * @author Remy Bach
+ */
+code[class*="language-"],
+pre[class*="language-"] {
+ color: white;
+ background: none;
+ font-family: Consolas, Monaco, 'Andale Mono', 'Ubuntu Mono', monospace;
+ font-size: 1em;
+ text-align: left;
+ text-shadow: 0 -.1em .2em black;
+ white-space: pre;
+ word-spacing: normal;
+ word-break: normal;
+ word-wrap: normal;
+ line-height: 1.5;
+
+ -moz-tab-size: 4;
+ -o-tab-size: 4;
+ tab-size: 4;
+
+ -webkit-hyphens: none;
+ -moz-hyphens: none;
+ -ms-hyphens: none;
+ hyphens: none;
+}
+
+pre[class*="language-"],
+:not(pre) > code[class*="language-"] {
+ background: hsl(0, 0%, 8%); /* #141414 */
+}
+
+/* Code blocks */
+pre[class*="language-"] {
+ border-radius: .5em;
+ border: .3em solid hsl(0, 0%, 33%); /* #282A2B */
+ box-shadow: 1px 1px .5em black inset;
+ margin: .5em 0;
+ overflow: auto;
+ padding: 1em;
+}
+
+pre[class*="language-"]::-moz-selection {
+ /* Firefox */
+ background: hsl(200, 4%, 16%); /* #282A2B */
+}
+
+pre[class*="language-"]::selection {
+ /* Safari */
+ background: hsl(200, 4%, 16%); /* #282A2B */
+}
+
+/* Text Selection colour */
+pre[class*="language-"]::-moz-selection, pre[class*="language-"] ::-moz-selection,
+code[class*="language-"]::-moz-selection, code[class*="language-"] ::-moz-selection {
+ text-shadow: none;
+ background: hsla(0, 0%, 93%, 0.15); /* #EDEDED */
+}
+
+pre[class*="language-"]::selection, pre[class*="language-"] ::selection,
+code[class*="language-"]::selection, code[class*="language-"] ::selection {
+ text-shadow: none;
+ background: hsla(0, 0%, 93%, 0.15); /* #EDEDED */
+}
+
+/* Inline code */
+:not(pre) > code[class*="language-"] {
+ border-radius: .3em;
+ border: .13em solid hsl(0, 0%, 33%); /* #545454 */
+ box-shadow: 1px 1px .3em -.1em black inset;
+ padding: .15em .2em .05em;
+ white-space: normal;
+}
+
+.token.comment,
+.token.prolog,
+.token.doctype,
+.token.cdata {
+ color: hsl(0, 0%, 47%); /* #777777 */
+}
+
+.token.punctuation {
+ opacity: .7;
+}
+
+.namespace {
+ opacity: .7;
+}
+
+.token.tag,
+.token.boolean,
+.token.number,
+.token.deleted {
+ color: hsl(14, 58%, 55%); /* #CF6A4C */
+}
+
+.token.keyword,
+.token.property,
+.token.selector,
+.token.constant,
+.token.symbol,
+.token.builtin {
+ color: hsl(53, 89%, 79%); /* #F9EE98 */
+}
+
+.token.attr-name,
+.token.attr-value,
+.token.string,
+.token.char,
+.token.operator,
+.token.entity,
+.token.url,
+.language-css .token.string,
+.style .token.string,
+.token.variable,
+.token.inserted {
+ color: hsl(76, 21%, 52%); /* #8F9D6A */
+}
+
+.token.atrule {
+ color: hsl(218, 22%, 55%); /* #7587A6 */
+}
+
+.token.regex,
+.token.important {
+ color: hsl(42, 75%, 65%); /* #E9C062 */
+}
+
+.token.important,
+.token.bold {
+ font-weight: bold;
+}
+.token.italic {
+ font-style: italic;
+}
+
+.token.entity {
+ cursor: help;
+}
+
+pre[data-line] {
+ padding: 1em 0 1em 3em;
+ position: relative;
+}
+
+/* Markup */
+.language-markup .token.tag,
+.language-markup .token.attr-name,
+.language-markup .token.punctuation {
+ color: hsl(33, 33%, 52%); /* #AC885B */
+}
+
+/* Make the tokens sit above the line highlight so the colours don't look faded. */
+.token {
+ position: relative;
+ z-index: 1;
+}
+
+.line-highlight {
+ background: hsla(0, 0%, 33%, 0.25); /* #545454 */
+ background: linear-gradient(to right, hsla(0, 0%, 33%, .1) 70%, hsla(0, 0%, 33%, 0)); /* #545454 */
+ border-bottom: 1px dashed hsl(0, 0%, 33%); /* #545454 */
+ border-top: 1px dashed hsl(0, 0%, 33%); /* #545454 */
+ left: 0;
+ line-height: inherit;
+ margin-top: 0.75em; /* Same as .prism’s padding-top */
+ padding: inherit 0;
+ pointer-events: none;
+ position: absolute;
+ right: 0;
+ white-space: pre;
+ z-index: 0;
+}
+
+.line-highlight:before,
+.line-highlight[data-end]:after {
+ background-color: hsl(215, 15%, 59%); /* #8794A6 */
+ border-radius: 999px;
+ box-shadow: 0 1px white;
+ color: hsl(24, 20%, 95%); /* #F5F2F0 */
+ content: attr(data-start);
+ font: bold 65%/1.5 sans-serif;
+ left: .6em;
+ min-width: 1em;
+ padding: 0 .5em;
+ position: absolute;
+ text-align: center;
+ text-shadow: none;
+ top: .4em;
+ vertical-align: .3em;
+}
+
+.line-highlight[data-end]:after {
+ bottom: .4em;
+ content: attr(data-end);
+ top: auto;
+}
+
+
+/*Markdown Style*/
+/* All info tags that were created within a markdown regmap or document
+ are in <div class="xmlpmd">. Use that specifier to provide style for
+ those sections. */
+.xmlpmd table {
+ border-collapse: collapse;
+ border: 1px solid #C0C0C0;
+}
+
+.xmlpmd table thead tr th {
+ background-color: #F0F0F0;
+ color: #004080;
+ text-align: center;
+ border: 1px solid #C0C0C0;
+}
+
+.xmlpmd table tbody tr td {
+ border: 1px solid #C0C0C0;
+ padding: 5px
+}
+
+ </style>
+ <script type="text/javascript">
+
+ function toggleText( id, sign ) {
+ if (sign == "nochange") return;
+ if ( document.getElementById )
+ elem = document.getElementById( id );
+ else if ( document.all )
+ elem = eval( "document.all." + id );
+ if (elem) {
+ elemStyle = elem.style;
+ if (sign=="+") {
+ elemStyle.display = "block"
+ } else {
+ elemStyle.display = "none"
+ }
+ /*if ( elemStyle.display == "block" ) {
+ elemStyle.display = "none"
+ } else {
+ elemStyle.display = "block"
+ }*/
+ }
+ }
+ function changeSh( id ) {
+ if ( document.getElementById )
+ elem = document.getElementById( id );
+ else if ( document.all )
+ elem = eval( "document.all." + id );
+ else
+ return "nochange";
+ val = "-";
+ if (elem.innerHTML == "show here") {
+ val = "+";
+ elem.innerHTML = "hide";
+ } else {
+ elem.innerHTML = "show here";
+ }
+ return val;
+ }
+
+ function sb( id ) {
+ var sign = changeSh("show_" + id);
+ toggleText("div_" + id, sign);
+ }
+
+ function changeSh2( id ) {
+ if ( document.getElementById )
+ elem = document.getElementById( id );
+ else if ( document.all )
+ elem = eval( "document.all." + id );
+ else
+ return "nochange";
+ val = "-";
+ if (elem.innerHTML == "show") {
+ val = "+";
+ elem.innerHTML = "hide";
+ } else {
+ elem.innerHTML = "show";
+ }
+ return val;
+ }
+
+ function sa( id ) {
+ var sign = changeSh2("show_" + id);
+ toggleText("div_" + id, sign);
+ }
+ </script>
+<script type="text/javascript">//Prism.js//
+/* PrismJS 1.15.0
+https://prismjs.com/download.html#themes=prism-twilight&languages=markup+css+clike+javascript+perl+python+tcl+verilog+vhdl */
+var _self="undefined"!=typeof window?window:"undefined"!=typeof WorkerGlobalScope&&self instanceof WorkerGlobalScope?self:{},Prism=function(g){var c=/\blang(?:uage)?-([\w-]+)\b/i,a=0,C={manual:g.Prism&&g.Prism.manual,disableWorkerMessageHandler:g.Prism&&g.Prism.disableWorkerMessageHandler,util:{encode:function(e){return e instanceof M?new M(e.type,C.util.encode(e.content),e.alias):Array.isArray(e)?e.map(C.util.encode):e.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/\u00a0/g," ")},type:function(e){return Object.prototype.toString.call(e).slice(8,-1)},objId:function(e){return e.__id||Object.defineProperty(e,"__id",{value:++a}),e.__id},clone:function t(e,n){var r,a,i=C.util.type(e);switch(n=n||{},i){case"Object":if(a=C.util.objId(e),n[a])return n[a];for(var l in r={},n[a]=r,e)e.hasOwnProperty(l)&&(r[l]=t(e[l],n));return r;case"Array":return a=C.util.objId(e),n[a]?n[a]:(r=[],n[a]=r,e.forEach(function(e,a){r[a]=t(e,n)}),r);default:return e}}},languages:{extend:function(e,a){var t=C.util.clone(C.languages[e]);for(var n in a)t[n]=a[n];return t},insertBefore:function(t,e,a,n){var r=(n=n||C.languages)[t],i={};for(var l in r)if(r.hasOwnProperty(l)){if(l==e)for(var o in a)a.hasOwnProperty(o)&&(i[o]=a[o]);a.hasOwnProperty(l)||(i[l]=r[l])}var s=n[t];return n[t]=i,C.languages.DFS(C.languages,function(e,a){a===s&&e!=t&&(this[e]=i)}),i},DFS:function e(a,t,n,r){r=r||{};var i=C.util.objId;for(var l in a)if(a.hasOwnProperty(l)){t.call(a,l,a[l],n||l);var o=a[l],s=C.util.type(o);"Object"!==s||r[i(o)]?"Array"!==s||r[i(o)]||(r[i(o)]=!0,e(o,t,l,r)):(r[i(o)]=!0,e(o,t,null,r))}}},plugins:{},highlightAll:function(e,a){C.highlightAllUnder(document,e,a)},highlightAllUnder:function(e,a,t){var n={callback:t,selector:'code[class*="language-"], [class*="language-"] code, code[class*="lang-"], [class*="lang-"] code'};C.hooks.run("before-highlightall",n);for(var r,i=n.elements||e.querySelectorAll(n.selector),l=0;r=i[l++];)C.highlightElement(r,!0===a,n.callback)},highlightElement:function(e,a,t){for(var n,r,i=e;i&&!c.test(i.className);)i=i.parentNode;i&&(n=(i.className.match(c)||[,""])[1].toLowerCase(),r=C.languages[n]),e.className=e.className.replace(c,"").replace(/\s+/g," ")+" language-"+n,e.parentNode&&(i=e.parentNode,/pre/i.test(i.nodeName)&&(i.className=i.className.replace(c,"").replace(/\s+/g," ")+" language-"+n));var l={element:e,language:n,grammar:r,code:e.textContent},o=function(e){l.highlightedCode=e,C.hooks.run("before-insert",l),l.element.innerHTML=l.highlightedCode,C.hooks.run("after-highlight",l),C.hooks.run("complete",l),t&&t.call(l.element)};if(C.hooks.run("before-sanity-check",l),l.code)if(C.hooks.run("before-highlight",l),l.grammar)if(a&&g.Worker){var s=new Worker(C.filename);s.onmessage=function(e){o(e.data)},s.postMessage(JSON.stringify({language:l.language,code:l.code,immediateClose:!0}))}else o(C.highlight(l.code,l.grammar,l.language));else o(C.util.encode(l.code));else C.hooks.run("complete",l)},highlight:function(e,a,t){var n={code:e,grammar:a,language:t};return C.hooks.run("before-tokenize",n),n.tokens=C.tokenize(n.code,n.grammar),C.hooks.run("after-tokenize",n),M.stringify(C.util.encode(n.tokens),n.language)},matchGrammar:function(e,a,t,n,r,i,l){for(var o in t)if(t.hasOwnProperty(o)&&t[o]){if(o==l)return;var s=t[o];s="Array"===C.util.type(s)?s:[s];for(var g=0;g<s.length;++g){var c=s[g],u=c.inside,h=!!c.lookbehind,f=!!c.greedy,d=0,m=c.alias;if(f&&!c.pattern.global){var p=c.pattern.toString().match(/[imuy]*$/)[0];c.pattern=RegExp(c.pattern.source,p+"g")}c=c.pattern||c;for(var y=n,v=r;y<a.length;v+=a[y].length,++y){var k=a[y];if(a.length>e.length)return;if(!(k instanceof M)){if(f&&y!=a.length-1){if(c.lastIndex=v,!(x=c.exec(e)))break;for(var b=x.index+(h?x[1].length:0),w=x.index+x[0].length,A=y,P=v,O=a.length;A<O&&(P<w||!a[A].type&&!a[A-1].greedy);++A)(P+=a[A].length)<=b&&(++y,v=P);if(a[y]instanceof M)continue;N=A-y,k=e.slice(v,P),x.index-=v}else{c.lastIndex=0;var x=c.exec(k),N=1}if(x){h&&(d=x[1]?x[1].length:0);w=(b=x.index+d)+(x=x[0].slice(d)).length;var j=k.slice(0,b),S=k.slice(w),E=[y,N];j&&(++y,v+=j.length,E.push(j));var _=new M(o,u?C.tokenize(x,u):x,m,x,f);if(E.push(_),S&&E.push(S),Array.prototype.splice.apply(a,E),1!=N&&C.matchGrammar(e,a,t,y,v,!0,o),i)break}else if(i)break}}}}},tokenize:function(e,a){var t=[e],n=a.rest;if(n){for(var r in n)a[r]=n[r];delete a.rest}return C.matchGrammar(e,t,a,0,0,!1),t},hooks:{all:{},add:function(e,a){var t=C.hooks.all;t[e]=t[e]||[],t[e].push(a)},run:function(e,a){var t=C.hooks.all[e];if(t&&t.length)for(var n,r=0;n=t[r++];)n(a)}},Token:M};function M(e,a,t,n,r){this.type=e,this.content=a,this.alias=t,this.length=0|(n||"").length,this.greedy=!!r}if(g.Prism=C,M.stringify=function(a,t,e){if("string"==typeof a)return a;if(Array.isArray(a))return a.map(function(e){return M.stringify(e,t,a)}).join("");var n={type:a.type,content:M.stringify(a.content,t,e),tag:"span",classes:["token",a.type],attributes:{},language:t,parent:e};if(a.alias){var r=Array.isArray(a.alias)?a.alias:[a.alias];Array.prototype.push.apply(n.classes,r)}C.hooks.run("wrap",n);var i=Object.keys(n.attributes).map(function(e){return e+'="'+(n.attributes[e]||"").replace(/"/g,"&quot;")+'"'}).join(" ");return"<"+n.tag+' class="'+n.classes.join(" ")+'"'+(i?" "+i:"")+">"+n.content+"</"+n.tag+">"},!g.document)return g.addEventListener&&(C.disableWorkerMessageHandler||g.addEventListener("message",function(e){var a=JSON.parse(e.data),t=a.language,n=a.code,r=a.immediateClose;g.postMessage(C.highlight(n,C.languages[t],t)),r&&g.close()},!1)),C;var e=document.currentScript||[].slice.call(document.getElementsByTagName("script")).pop();return e&&(C.filename=e.src,C.manual||e.hasAttribute("data-manual")||("loading"!==document.readyState?window.requestAnimationFrame?window.requestAnimationFrame(C.highlightAll):window.setTimeout(C.highlightAll,16):document.addEventListener("DOMContentLoaded",C.highlightAll))),C}(_self);"undefined"!=typeof module&&module.exports&&(module.exports=Prism),"undefined"!=typeof global&&(global.Prism=Prism);
+Prism.languages.markup={comment:/<!--[\s\S]*?-->/,prolog:/<\?[\s\S]+?\?>/,doctype:/<!DOCTYPE[\s\S]+?>/i,cdata:/<!\[CDATA\[[\s\S]*?]]>/i,tag:{pattern:/<\/?(?!\d)[^\s>\/=$<%]+(?:\s(?:\s*[^\s>\/=]+(?:\s*=\s*(?:"[^"]*"|'[^']*'|[^\s'">=]+(?=[\s>]))|(?=[\s/>])))+)?\s*\/?>/i,greedy:!0,inside:{tag:{pattern:/^<\/?[^\s>\/]+/i,inside:{punctuation:/^<\/?/,namespace:/^[^\s>\/:]+:/}},"attr-value":{pattern:/=\s*(?:"[^"]*"|'[^']*'|[^\s'">=]+)/i,inside:{punctuation:[/^=/,{pattern:/^(\s*)["']|["']$/,lookbehind:!0}]}},punctuation:/\/?>/,"attr-name":{pattern:/[^\s>\/]+/,inside:{namespace:/^[^\s>\/:]+:/}}}},entity:/&#?[\da-z]{1,8};/i},Prism.languages.markup.tag.inside["attr-value"].inside.entity=Prism.languages.markup.entity,Prism.hooks.add("wrap",function(a){"entity"===a.type&&(a.attributes.title=a.content.replace(/&amp;/,"&"))}),Object.defineProperty(Prism.languages.markup.tag,"addInlined",{value:function(a,e){var s={};s["language-"+e]={pattern:/(^<!\[CDATA\[)[\s\S]+?(?=\]\]>$)/i,lookbehind:!0,inside:Prism.languages[e]},s.cdata=/^<!\[CDATA\[|\]\]>$/i;var n={"included-cdata":{pattern:/<!\[CDATA\[[\s\S]*?\]\]>/i,inside:s}};n["language-"+e]={pattern:/[\s\S]+/,inside:Prism.languages[e]};var i={};i[a]={pattern:RegExp("(<__[\\s\\S]*?>)(?:<!\\[CDATA\\[[\\s\\S]*?\\]\\]>\\s*|[\\s\\S])*?(?=<\\/__>)".replace(/__/g,a),"i"),lookbehind:!0,greedy:!0,inside:n},Prism.languages.insertBefore("markup","cdata",i)}}),Prism.languages.xml=Prism.languages.extend("markup",{}),Prism.languages.html=Prism.languages.markup,Prism.languages.mathml=Prism.languages.markup,Prism.languages.svg=Prism.languages.markup;
+!function(s){var e=/("|')(?:\\(?:\r\n|[\s\S])|(?!\1)[^\\\r\n])*\1/;s.languages.css={comment:/\/\*[\s\S]*?\*\//,atrule:{pattern:/@[\w-]+?[\s\S]*?(?:;|(?=\s*\{))/i,inside:{rule:/@[\w-]+/}},url:RegExp("url\\((?:"+e.source+"|.*?)\\)","i"),selector:RegExp("[^{}\\s](?:[^{};\"']|"+e.source+")*?(?=\\s*\\{)"),string:{pattern:e,greedy:!0},property:/[-_a-z\xA0-\uFFFF][-\w\xA0-\uFFFF]*(?=\s*:)/i,important:/!important\b/i,function:/[-a-z0-9]+(?=\()/i,punctuation:/[(){};:,]/},s.languages.css.atrule.inside.rest=s.languages.css;var a=s.languages.markup;a&&(a.tag.addInlined("style","css"),s.languages.insertBefore("inside","attr-value",{"style-attr":{pattern:/\s*style=("|')(?:\\[\s\S]|(?!\1)[^\\])*\1/i,inside:{"attr-name":{pattern:/^\s*style/i,inside:a.tag.inside},punctuation:/^\s*=\s*['"]|['"]\s*$/,"attr-value":{pattern:/.+/i,inside:s.languages.css}},alias:"language-css"}},a.tag))}(Prism);
+Prism.languages.clike={comment:[{pattern:/(^|[^\\])\/\*[\s\S]*?(?:\*\/|$)/,lookbehind:!0},{pattern:/(^|[^\\:])\/\/.*/,lookbehind:!0,greedy:!0}],string:{pattern:/(["'])(?:\\(?:\r\n|[\s\S])|(?!\1)[^\\\r\n])*\1/,greedy:!0},"class-name":{pattern:/((?:\b(?:class|interface|extends|implements|trait|instanceof|new)\s+)|(?:catch\s+\())[\w.\\]+/i,lookbehind:!0,inside:{punctuation:/[.\\]/}},keyword:/\b(?:if|else|while|do|for|return|in|instanceof|function|new|try|throw|catch|finally|null|break|continue)\b/,boolean:/\b(?:true|false)\b/,function:/\w+(?=\()/,number:/\b0x[\da-f]+\b|(?:\b\d+\.?\d*|\B\.\d+)(?:e[+-]?\d+)?/i,operator:/--?|\+\+?|!=?=?|<=?|>=?|==?=?|&&?|\|\|?|\?|\*|\/|~|\^|%/,punctuation:/[{}[\];(),.:]/};
+Prism.languages.javascript=Prism.languages.extend("clike",{"class-name":[Prism.languages.clike["class-name"],{pattern:/(^|[^$\w\xA0-\uFFFF])[_$A-Z\xA0-\uFFFF][$\w\xA0-\uFFFF]*(?=\.(?:prototype|constructor))/,lookbehind:!0}],keyword:[{pattern:/((?:^|})\s*)(?:catch|finally)\b/,lookbehind:!0},{pattern:/(^|[^.])\b(?:as|async(?=\s*(?:function\b|\(|[$\w\xA0-\uFFFF]|$))|await|break|case|class|const|continue|debugger|default|delete|do|else|enum|export|extends|for|from|function|get|if|implements|import|in|instanceof|interface|let|new|null|of|package|private|protected|public|return|set|static|super|switch|this|throw|try|typeof|undefined|var|void|while|with|yield)\b/,lookbehind:!0}],number:/\b(?:(?:0[xX][\dA-Fa-f]+|0[bB][01]+|0[oO][0-7]+)n?|\d+n|NaN|Infinity)\b|(?:\b\d+\.?\d*|\B\.\d+)(?:[Ee][+-]?\d+)?/,function:/[_$a-zA-Z\xA0-\uFFFF][$\w\xA0-\uFFFF]*(?=\s*(?:\.\s*(?:apply|bind|call)\s*)?\()/,operator:/-[-=]?|\+[+=]?|!=?=?|<<?=?|>>?>?=?|=(?:==?|>)?|&[&=]?|\|[|=]?|\*\*?=?|\/=?|~|\^=?|%=?|\?|\.{3}/}),Prism.languages.javascript["class-name"][0].pattern=/(\b(?:class|interface|extends|implements|instanceof|new)\s+)[\w.\\]+/,Prism.languages.insertBefore("javascript","keyword",{regex:{pattern:/((?:^|[^$\w\xA0-\uFFFF."'\])\s])\s*)\/(\[(?:[^\]\\\r\n]|\\.)*]|\\.|[^/\\\[\r\n])+\/[gimyu]{0,5}(?=\s*($|[\r\n,.;})\]]))/,lookbehind:!0,greedy:!0},"function-variable":{pattern:/[_$a-zA-Z\xA0-\uFFFF][$\w\xA0-\uFFFF]*(?=\s*[=:]\s*(?:async\s*)?(?:\bfunction\b|(?:\((?:[^()]|\([^()]*\))*\)|[_$a-zA-Z\xA0-\uFFFF][$\w\xA0-\uFFFF]*)\s*=>))/,alias:"function"},parameter:[{pattern:/(function(?:\s+[_$A-Za-z\xA0-\uFFFF][$\w\xA0-\uFFFF]*)?\s*\(\s*)(?!\s)(?:[^()]|\([^()]*\))+?(?=\s*\))/,lookbehind:!0,inside:Prism.languages.javascript},{pattern:/[_$a-z\xA0-\uFFFF][$\w\xA0-\uFFFF]*(?=\s*=>)/i,inside:Prism.languages.javascript},{pattern:/(\(\s*)(?!\s)(?:[^()]|\([^()]*\))+?(?=\s*\)\s*=>)/,lookbehind:!0,inside:Prism.languages.javascript},{pattern:/((?:\b|\s|^)(?!(?:as|async|await|break|case|catch|class|const|continue|debugger|default|delete|do|else|enum|export|extends|finally|for|from|function|get|if|implements|import|in|instanceof|interface|let|new|null|of|package|private|protected|public|return|set|static|super|switch|this|throw|try|typeof|undefined|var|void|while|with|yield)(?![$\w\xA0-\uFFFF]))(?:[_$A-Za-z\xA0-\uFFFF][$\w\xA0-\uFFFF]*\s*)\(\s*)(?!\s)(?:[^()]|\([^()]*\))+?(?=\s*\)\s*\{)/,lookbehind:!0,inside:Prism.languages.javascript}],constant:/\b[A-Z](?:[A-Z_]|\dx?)*\b/}),Prism.languages.insertBefore("javascript","string",{"template-string":{pattern:/`(?:\\[\s\S]|\${[^}]+}|[^\\`])*`/,greedy:!0,inside:{interpolation:{pattern:/\${[^}]+}/,inside:{"interpolation-punctuation":{pattern:/^\${|}$/,alias:"punctuation"},rest:Prism.languages.javascript}},string:/[\s\S]+/}}}),Prism.languages.markup&&Prism.languages.markup.tag.addInlined("script","javascript"),Prism.languages.js=Prism.languages.javascript;
+Prism.languages.perl={comment:[{pattern:/(^\s*)=\w+[\s\S]*?=cut.*/m,lookbehind:!0},{pattern:/(^|[^\\$])#.*/,lookbehind:!0}],string:[{pattern:/\b(?:q|qq|qx|qw)\s*([^a-zA-Z0-9\s{(\[<])(?:(?!\1)[^\\]|\\[\s\S])*\1/,greedy:!0},{pattern:/\b(?:q|qq|qx|qw)\s+([a-zA-Z0-9])(?:(?!\1)[^\\]|\\[\s\S])*\1/,greedy:!0},{pattern:/\b(?:q|qq|qx|qw)\s*\((?:[^()\\]|\\[\s\S])*\)/,greedy:!0},{pattern:/\b(?:q|qq|qx|qw)\s*\{(?:[^{}\\]|\\[\s\S])*\}/,greedy:!0},{pattern:/\b(?:q|qq|qx|qw)\s*\[(?:[^[\]\\]|\\[\s\S])*\]/,greedy:!0},{pattern:/\b(?:q|qq|qx|qw)\s*<(?:[^<>\\]|\\[\s\S])*>/,greedy:!0},{pattern:/("|`)(?:(?!\1)[^\\]|\\[\s\S])*\1/,greedy:!0},{pattern:/'(?:[^'\\\r\n]|\\.)*'/,greedy:!0}],regex:[{pattern:/\b(?:m|qr)\s*([^a-zA-Z0-9\s{(\[<])(?:(?!\1)[^\\]|\\[\s\S])*\1[msixpodualngc]*/,greedy:!0},{pattern:/\b(?:m|qr)\s+([a-zA-Z0-9])(?:(?!\1)[^\\]|\\[\s\S])*\1[msixpodualngc]*/,greedy:!0},{pattern:/\b(?:m|qr)\s*\((?:[^()\\]|\\[\s\S])*\)[msixpodualngc]*/,greedy:!0},{pattern:/\b(?:m|qr)\s*\{(?:[^{}\\]|\\[\s\S])*\}[msixpodualngc]*/,greedy:!0},{pattern:/\b(?:m|qr)\s*\[(?:[^[\]\\]|\\[\s\S])*\][msixpodualngc]*/,greedy:!0},{pattern:/\b(?:m|qr)\s*<(?:[^<>\\]|\\[\s\S])*>[msixpodualngc]*/,greedy:!0},{pattern:/(^|[^-]\b)(?:s|tr|y)\s*([^a-zA-Z0-9\s{(\[<])(?:(?!\2)[^\\]|\\[\s\S])*\2(?:(?!\2)[^\\]|\\[\s\S])*\2[msixpodualngcer]*/,lookbehind:!0,greedy:!0},{pattern:/(^|[^-]\b)(?:s|tr|y)\s+([a-zA-Z0-9])(?:(?!\2)[^\\]|\\[\s\S])*\2(?:(?!\2)[^\\]|\\[\s\S])*\2[msixpodualngcer]*/,lookbehind:!0,greedy:!0},{pattern:/(^|[^-]\b)(?:s|tr|y)\s*\((?:[^()\\]|\\[\s\S])*\)\s*\((?:[^()\\]|\\[\s\S])*\)[msixpodualngcer]*/,lookbehind:!0,greedy:!0},{pattern:/(^|[^-]\b)(?:s|tr|y)\s*\{(?:[^{}\\]|\\[\s\S])*\}\s*\{(?:[^{}\\]|\\[\s\S])*\}[msixpodualngcer]*/,lookbehind:!0,greedy:!0},{pattern:/(^|[^-]\b)(?:s|tr|y)\s*\[(?:[^[\]\\]|\\[\s\S])*\]\s*\[(?:[^[\]\\]|\\[\s\S])*\][msixpodualngcer]*/,lookbehind:!0,greedy:!0},{pattern:/(^|[^-]\b)(?:s|tr|y)\s*<(?:[^<>\\]|\\[\s\S])*>\s*<(?:[^<>\\]|\\[\s\S])*>[msixpodualngcer]*/,lookbehind:!0,greedy:!0},{pattern:/\/(?:[^\/\\\r\n]|\\.)*\/[msixpodualngc]*(?=\s*(?:$|[\r\n,.;})&|\-+*~<>!?^]|(lt|gt|le|ge|eq|ne|cmp|not|and|or|xor|x)\b))/,greedy:!0}],variable:[/[&*$@%]\{\^[A-Z]+\}/,/[&*$@%]\^[A-Z_]/,/[&*$@%]#?(?=\{)/,/[&*$@%]#?(?:(?:::)*'?(?!\d)[\w$]+)+(?:::)*/i,/[&*$@%]\d+/,/(?!%=)[$@%][!"#$%&'()*+,\-.\/:;<=>?@[\\\]^_`{|}~]/],filehandle:{pattern:/<(?![<=])\S*>|\b_\b/,alias:"symbol"},vstring:{pattern:/v\d+(?:\.\d+)*|\d+(?:\.\d+){2,}/,alias:"string"},function:{pattern:/sub [a-z0-9_]+/i,inside:{keyword:/sub/}},keyword:/\b(?:any|break|continue|default|delete|die|do|else|elsif|eval|for|foreach|given|goto|if|last|local|my|next|our|package|print|redo|require|say|state|sub|switch|undef|unless|until|use|when|while)\b/,number:/\b(?:0x[\dA-Fa-f](?:_?[\dA-Fa-f])*|0b[01](?:_?[01])*|(?:\d(?:_?\d)*)?\.?\d(?:_?\d)*(?:[Ee][+-]?\d+)?)\b/,operator:/-[rwxoRWXOezsfdlpSbctugkTBMAC]\b|\+[+=]?|-[-=>]?|\*\*?=?|\/\/?=?|=[=~>]?|~[~=]?|\|\|?=?|&&?=?|<(?:=>?|<=?)?|>>?=?|![~=]?|[%^]=?|\.(?:=|\.\.?)?|[\\?]|\bx(?:=|\b)|\b(?:lt|gt|le|ge|eq|ne|cmp|not|and|or|xor)\b/,punctuation:/[{}[\];(),:]/};
+Prism.languages.python={comment:{pattern:/(^|[^\\])#.*/,lookbehind:!0},"string-interpolation":{pattern:/(?:f|rf|fr)(?:("""|''')[\s\S]+?\1|("|')(?:\\.|(?!\2)[^\\\r\n])*\2)/i,greedy:!0,inside:{interpolation:{pattern:/((?:^|[^{])(?:{{)*){(?!{)(?:[^{}]|{(?!{)(?:[^{}]|{(?!{)(?:[^{}])+})+})+}/,lookbehind:!0,inside:{"format-spec":{pattern:/(:)[^:(){}]+(?=}$)/,lookbehind:!0},"conversion-option":{pattern:/![sra](?=[:}]$)/,alias:"punctuation"},rest:null}},string:/[\s\S]+/}},"triple-quoted-string":{pattern:/(?:[rub]|rb|br)?("""|''')[\s\S]+?\1/i,greedy:!0,alias:"string"},string:{pattern:/(?:[rub]|rb|br)?("|')(?:\\.|(?!\1)[^\\\r\n])*\1/i,greedy:!0},function:{pattern:/((?:^|\s)def[ \t]+)[a-zA-Z_]\w*(?=\s*\()/g,lookbehind:!0},"class-name":{pattern:/(\bclass\s+)\w+/i,lookbehind:!0},decorator:{pattern:/(^\s*)@\w+(?:\.\w+)*/i,lookbehind:!0,alias:["annotation","punctuation"],inside:{punctuation:/\./}},keyword:/\b(?:and|as|assert|async|await|break|class|continue|def|del|elif|else|except|exec|finally|for|from|global|if|import|in|is|lambda|nonlocal|not|or|pass|print|raise|return|try|while|with|yield)\b/,builtin:/\b(?:__import__|abs|all|any|apply|ascii|basestring|bin|bool|buffer|bytearray|bytes|callable|chr|classmethod|cmp|coerce|compile|complex|delattr|dict|dir|divmod|enumerate|eval|execfile|file|filter|float|format|frozenset|getattr|globals|hasattr|hash|help|hex|id|input|int|intern|isinstance|issubclass|iter|len|list|locals|long|map|max|memoryview|min|next|object|oct|open|ord|pow|property|range|raw_input|reduce|reload|repr|reversed|round|set|setattr|slice|sorted|staticmethod|str|sum|super|tuple|type|unichr|unicode|vars|xrange|zip)\b/,boolean:/\b(?:True|False|None)\b/,number:/(?:\b(?=\d)|\B(?=\.))(?:0[bo])?(?:(?:\d|0x[\da-f])[\da-f]*\.?\d*|\.\d+)(?:e[+-]?\d+)?j?\b/i,operator:/[-+%=]=?|!=|\*\*?=?|\/\/?=?|<[<=>]?|>[=>]?|[&|^~]/,punctuation:/[{}[\];(),.:]/},Prism.languages.python["string-interpolation"].inside.interpolation.inside.rest=Prism.languages.python,Prism.languages.py=Prism.languages.python;
+Prism.languages.tcl={comment:{pattern:/(^|[^\\])#.*/,lookbehind:!0},string:{pattern:/"(?:[^"\\\r\n]|\\(?:\r\n|[\s\S]))*"/,greedy:!0},variable:[{pattern:/(\$)(?:::)?(?:[a-zA-Z0-9]+::)*\w+/,lookbehind:!0},{pattern:/(\$){[^}]+}/,lookbehind:!0},{pattern:/(^\s*set[ \t]+)(?:::)?(?:[a-zA-Z0-9]+::)*\w+/m,lookbehind:!0}],function:{pattern:/(^\s*proc[ \t]+)[^\s]+/m,lookbehind:!0},builtin:[{pattern:/(^\s*)(?:proc|return|class|error|eval|exit|for|foreach|if|switch|while|break|continue)\b/m,lookbehind:!0},/\b(?:elseif|else)\b/],scope:{pattern:/(^\s*)(?:global|upvar|variable)\b/m,lookbehind:!0,alias:"constant"},keyword:{pattern:/(^\s*|\[)(?:after|append|apply|array|auto_(?:execok|import|load|mkindex|qualify|reset)|automkindex_old|bgerror|binary|catch|cd|chan|clock|close|concat|dde|dict|encoding|eof|exec|expr|fblocked|fconfigure|fcopy|file(?:event|name)?|flush|gets|glob|history|http|incr|info|interp|join|lappend|lassign|lindex|linsert|list|llength|load|lrange|lrepeat|lreplace|lreverse|lsearch|lset|lsort|math(?:func|op)|memory|msgcat|namespace|open|package|parray|pid|pkg_mkIndex|platform|puts|pwd|re_syntax|read|refchan|regexp|registry|regsub|rename|Safe_Base|scan|seek|set|socket|source|split|string|subst|Tcl|tcl(?:_endOfWord|_findLibrary|startOf(?:Next|Previous)Word|wordBreak(?:After|Before)|test|vars)|tell|time|tm|trace|unknown|unload|unset|update|uplevel|vwait)\b/m,lookbehind:!0},operator:/!=?|\*\*?|==|&&?|\|\|?|<[=<]?|>[=>]?|[-+~\/%?^]|\b(?:eq|ne|in|ni)\b/,punctuation:/[{}()\[\]]/};
+Prism.languages.verilog={comment:/\/\/.*|\/\*[\s\S]*?\*\//,string:{pattern:/"(?:\\(?:\r\n|[\s\S])|[^"\\\r\n])*"/,greedy:!0},property:/\B\$\w+\b/,constant:/\B`\w+\b/,function:/\w+(?=\()/,keyword:/\b(?:alias|and|assert|assign|assume|automatic|before|begin|bind|bins|binsof|bit|break|buf|bufif0|bufif1|byte|class|case|casex|casez|cell|chandle|clocking|cmos|config|const|constraint|context|continue|cover|covergroup|coverpoint|cross|deassign|default|defparam|design|disable|dist|do|edge|else|end|endcase|endclass|endclocking|endconfig|endfunction|endgenerate|endgroup|endinterface|endmodule|endpackage|endprimitive|endprogram|endproperty|endspecify|endsequence|endtable|endtask|enum|event|expect|export|extends|extern|final|first_match|for|force|foreach|forever|fork|forkjoin|function|generate|genvar|highz0|highz1|if|iff|ifnone|ignore_bins|illegal_bins|import|incdir|include|initial|inout|input|inside|instance|int|integer|interface|intersect|join|join_any|join_none|large|liblist|library|local|localparam|logic|longint|macromodule|matches|medium|modport|module|nand|negedge|new|nmos|nor|noshowcancelled|not|notif0|notif1|null|or|output|package|packed|parameter|pmos|posedge|primitive|priority|program|property|protected|pull0|pull1|pulldown|pullup|pulsestyle_onevent|pulsestyle_ondetect|pure|rand|randc|randcase|randsequence|rcmos|real|realtime|ref|reg|release|repeat|return|rnmos|rpmos|rtran|rtranif0|rtranif1|scalared|sequence|shortint|shortreal|showcancelled|signed|small|solve|specify|specparam|static|string|strong0|strong1|struct|super|supply0|supply1|table|tagged|task|this|throughout|time|timeprecision|timeunit|tran|tranif0|tranif1|tri|tri0|tri1|triand|trior|trireg|type|typedef|union|unique|unsigned|use|uwire|var|vectored|virtual|void|wait|wait_order|wand|weak0|weak1|while|wildcard|wire|with|within|wor|xnor|xor)\b/,important:/\b(?:always_latch|always_comb|always_ff|always)\b ?@?/,number:/\B##?\d+|(?:\b\d+)?'[odbh] ?[\da-fzx_?]+|\b\d*[._]?\d+(?:e[-+]?\d+)?/i,operator:/[-+{}^~%*\/?=!<>&|]+/,punctuation:/[[\];(),.:]/};
+Prism.languages.vhdl={comment:/--.+/,"vhdl-vectors":{pattern:/\b[oxb]"[\da-f_]+"|"[01uxzwlh-]+"/i,alias:"number"},"quoted-function":{pattern:/"\S+?"(?=\()/,alias:"function"},string:/"(?:[^\\"\r\n]|\\(?:\r\n|[\s\S]))*"/,constant:/\b(?:use|library)\b/i,keyword:/\b(?:'active|'ascending|'base|'delayed|'driving|'driving_value|'event|'high|'image|'instance_name|'last_active|'last_event|'last_value|'left|'leftof|'length|'low|'path_name|'pos|'pred|'quiet|'range|'reverse_range|'right|'rightof|'simple_name|'stable|'succ|'transaction|'val|'value|access|after|alias|all|architecture|array|assert|attribute|begin|block|body|buffer|bus|case|component|configuration|constant|disconnect|downto|else|elsif|end|entity|exit|file|for|function|generate|generic|group|guarded|if|impure|in|inertial|inout|is|label|library|linkage|literal|loop|map|new|next|null|of|on|open|others|out|package|port|postponed|procedure|process|pure|range|record|register|reject|report|return|select|severity|shared|signal|subtype|then|to|transport|type|unaffected|units|until|use|variable|wait|when|while|with)\b/i,boolean:/\b(?:true|false)\b/i,function:/\w+(?=\()/,number:/'[01uxzwlh-]'|\b(?:\d+#[\da-f_.]+#|\d[\d_.]*)(?:e[-+]?\d+)?/i,operator:/[<>]=?|:=|[-+*/&=]|\b(?:abs|not|mod|rem|sll|srl|sla|sra|rol|ror|and|or|nand|xnor|xor|nor)\b/i,punctuation:/[{}[\];(),.:]/};
+
+</script>
+ </head><body>
+
+ <div class="regmap">
+ <a name="X4XX_FPGA"></a>
+ <h1 class="regmap">X4XX_FPGA</h1>
+ This documentation provides a description of the different register spaces available
+ for the USRP X4xx Open-Source FPGA target implementation, accessible through the
+ embedded ARM A53 processor in the RFSoC chip, and other UHD hosts.
+ <p>The top is defined in HDL source file common_regs.v, x4xx.v.</p>
+ <div class="group"><a name="P5 Content"></a><h2 class="group">P5 Content</h2>
+ <div class="register"><h3 class="register">Register map supplied for open-source projects</h3>
+ <i><BR/><BR/>"All content provided is Copyright 2021 National Instruments Corporation.
+For information on NI trademark guidelines, please see <a href="http://www.ni.com/legal/trademarks/">http://www.ni.com/legal/trademarks/</a>. For the NI Patent Notice, please see <a href="http://www.ni.com/legal/patents/">http://www.ni.com/legal/patents/</a>."
+ </i></div><BR/>
+</div>
+
+ <div class="group"><a name="ports"></a><h2 class="group">ports</h2>
+ This section lists all common Processing System ports through
+ which the register maps in this project are accessed. Each input
+ port to the fabric will point to a regmap.
+ <div class="register">
+ <a name="X4XX_FPGA|ARM_M_AXI_HPM0"></a>
+
+<h3 class="register">Port ARM_M_AXI_HPM0 (input)</h3>
+
+ <p class="offset_info">
+
+ Target Regmap = <a href="#AXI_HPM0_REGMAP">AXI_HPM0_REGMAP</a>
+
+</p>
+
+<div class="info">
+
+This is the main AXI4-Lite master interface that the PS
+ exposes to the kernel to interact with the FPGA fabric.
+ There are multiple endpoints connected to this interface.
+
+</div>
+
+ <p class="reg_info">
+ This port is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+ <div class="register">
+ <a name="X4XX_FPGA|ARM_S_AXI_HPC0"></a>
+
+<h3 class="register">Port ARM_S_AXI_HPC0 (output)</h3>
+
+ <p class="offset_info">
+
+ Source Window = <a href="#PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW">PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW</a>
+
+</p>
+
+<div class="info">
+
+This is one of the two cache-coherent AXI slave ports available to
+ communicate from the fabric (master) to the PS (slave).
+
+</div>
+
+ <p class="reg_info">
+ This port is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+ <div class="register">
+ <a name="X4XX_FPGA|ARM_S_AXI_HPC1"></a>
+
+<h3 class="register">Port ARM_S_AXI_HPC1 (output)</h3>
+
+ <p class="offset_info">
+
+ Source Window = <a href="#PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW">PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW</a>
+
+</p>
+
+<div class="info">
+
+This is one of the two cache-coherent AXI slave ports available to
+ communicate from the fabric (master) to the PS (slave).
+
+</div>
+
+ <p class="reg_info">
+ This port is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+ <div class="register">
+ <a name="X4XX_FPGA|ARM_SPI1_CS3"></a>
+
+<h3 class="register">Port ARM_SPI1_CS3 (input)</h3>
+
+ <p class="offset_info">
+
+ Target Regmap = <a href="#MB_CPLD_PS_REGMAP">MB_CPLD_PS_REGMAP</a>
+
+</p>
+
+<div class="info">
+
+This is the SPI1 interface
+ (see <a href="https://www.xilinx.com/html_docs/registers/ug1087/mod___spi.html" target="_blank">Zynq UltraScale+ Devices Register Reference</a>)
+ of the PS.
+ With chip select 3 enabled transactions are targeted for the PS MB CPLD register interface linked here.<br>
+ The request format on SPI is defined as.<br>
+ <b>Write request:</b>
+ <ul>
+ <li>1'b1 = write
+ <li>15 bit address
+ <li>32 bit data (MOSI)
+ <li>8 bit processing gap
+ <li>5 bit padding
+ <li>1 bit ack
+ <li>2 bit status
+ </ul>
+ <b>Read request:</b>
+ <ul>
+ <li>1'b0 = read
+ <li>15 bit address
+ <li>8 bit processing gap
+ <li>32 bit data (MISO)
+ <li>5 bit padding
+ <li>1 bit ack
+ <li>2 bit status
+ </ul>
+
+</div>
+
+ <p class="reg_info">
+ This port is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="AXI_HPM0_REGMAP"></a>
+ <h1 class="regmap">AXI_HPM0_REGMAP</h1>
+ <div class="xmlpmd">
+<p>This is the map for the register space that the Processing System's
+M_AXI_HPM0_FPD port (AXI4 master interface) has access to.
+This port has a 40-bit address bus.</p></div>
+ <div class="group"><a name="AXI_HPM0_REGMAP|COMMON"></a><h2 class="group">COMMON</h2>
+ <div class="xmlpmd">
+</div>
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|RPU"></a>
+
+<h3 class="register">Offset 0x80000000: RPU Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|RPU_in')">(<span id="show_AXI_HPM0_REGMAP|RPU_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|RPU_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RPU</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x80000000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x80000000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Space reserved for RPU access</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|JTAG_ENGINE"></a>
+
+<h3 class="register">Offset 0x1000000000: JTAG_ENGINE Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|JTAG_ENGINE_in')">(<span id="show_AXI_HPM0_REGMAP|JTAG_ENGINE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|JTAG_ENGINE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">JTAG_ENGINE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1000000000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x1000 (4 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000000000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Register space for the JTAG engine for MB CPLD programming.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|WR"></a>
+
+<h3 class="register">Offset 0x100003F000: WR Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|WR_in')">(<span id="show_AXI_HPM0_REGMAP|WR_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|WR_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">WR</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x100003F000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x1000 (4 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x100003F000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>NOT IMPLEMENTED YET! Register space reserved for White Rabbit.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|MPM_ENDPOINT"></a>
+
+<h3 class="register">Offset 0x1000080000: MPM_ENDPOINT Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#PL_CPLD_REGMAP">PL_CPLD_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|MPM_ENDPOINT_in')">(<span id="show_AXI_HPM0_REGMAP|MPM_ENDPOINT_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|MPM_ENDPOINT_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MPM_ENDPOINT</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1000080000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20000 (128 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000080000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>MPM endpoint fro MB/DB communication.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|CORE_REGS"></a>
+
+<h3 class="register">Offset 0x10000A0000: CORE_REGS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#CORE_REGS_REGMAP">CORE_REGS_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|CORE_REGS_in')">(<span id="show_AXI_HPM0_REGMAP|CORE_REGS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|CORE_REGS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CORE_REGS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x10000A0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x4000 (16 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Register space reserved for mboard-regs (Core).</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|INT_ETH_DMA"></a>
+
+<h3 class="register">Offset 0x10000A4000: INT_ETH_DMA Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#ETH_DMA_CTRL_REGMAP">ETH_DMA_CTRL_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|INT_ETH_DMA_in')">(<span id="show_AXI_HPM0_REGMAP|INT_ETH_DMA_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|INT_ETH_DMA_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">INT_ETH_DMA</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x10000A4000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x6000 (24 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A4000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>AXI DMA engine for internal Ethernet interface.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|INT_ETH_REGS"></a>
+
+<h3 class="register">Offset 0x10000AA000: INT_ETH_REGS Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|INT_ETH_REGS_in')">(<span id="show_AXI_HPM0_REGMAP|INT_ETH_REGS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|INT_ETH_REGS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">INT_ETH_REGS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x10000AA000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x2000 (8 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000AA000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Misc. registers for internal Ethernet.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|RFDC"></a>
+
+<h3 class="register">Offset 0x1000100000: RFDC Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|RFDC_in')">(<span id="show_AXI_HPM0_REGMAP|RFDC_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|RFDC_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RFDC</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1000100000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x40000 (256 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000100000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Register space occupied by the Xilinx RFDC IP block.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|RFDC_REGS"></a>
+
+<h3 class="register">Offset 0x1000140000: RFDC_REGS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#RFDC_REGS_REGMAP">RFDC_REGS_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|RFDC_REGS_in')">(<span id="show_AXI_HPM0_REGMAP|RFDC_REGS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|RFDC_REGS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RFDC_REGS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1000140000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20000 (128 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000140000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Register space for RFDC control/status registers.</p></div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="AXI_HPM0_REGMAP|UHD_ONLY"></a><h2 class="group">UHD_ONLY</h2>
+ <div class="xmlpmd">
+<ul>
+<li>0_0 indicates QSFP0 - Lane0 or a 4 LANE QSFP0</li>
+<li>0_1 indicates QSFP0 - Lane1</li>
+<li>0_2 indicates QSFP0 - Lane2</li>
+<li>0_3 indicates QSFP0 - Lane3</li>
+<li>1_0 indicates QSFP1 - Lane0 or a 4 LANE QSFP1</li>
+<li>1_1 indicates QSFP1 - Lane1</li>
+<li>1_2 indicates QSFP1 - Lane2</li>
+<li>1_3 indicates QSFP1 - Lane3</li>
+</ul></div>
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_0_0"></a>
+
+<h3 class="register">Offset 0x1200000000: QSFP_0_0 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_0_0_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_0_0_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_0_0_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_0_0</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200000000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200000000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_0_1"></a>
+
+<h3 class="register">Offset 0x1200010000: QSFP_0_1 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_0_1_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_0_1_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_0_1_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_0_1</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200010000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200010000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_0_2"></a>
+
+<h3 class="register">Offset 0x1200020000: QSFP_0_2 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_0_2_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_0_2_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_0_2_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_0_2</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200020000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200020000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_0_3"></a>
+
+<h3 class="register">Offset 0x1200030000: QSFP_0_3 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_0_3_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_0_3_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_0_3_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_0_3</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200030000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200030000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_1_0"></a>
+
+<h3 class="register">Offset 0x1200040000: QSFP_1_0 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_1_0_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_1_0_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_1_0_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_1_0</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200040000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200040000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_1_1"></a>
+
+<h3 class="register">Offset 0x1200050000: QSFP_1_1 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_1_1_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_1_1_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_1_1_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_1_1</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200050000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200050000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_1_2"></a>
+
+<h3 class="register">Offset 0x1200060000: QSFP_1_2 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_1_2_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_1_2_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_1_2_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_1_2</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200060000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200060000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="AXI_HPM0_REGMAP|QSFP_1_3"></a>
+
+<h3 class="register">Offset 0x1200070000: QSFP_1_3 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#QSFP_REGMAP">QSFP_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('AXI_HPM0_REGMAP|QSFP_1_3_in')">(<span id="show_AXI_HPM0_REGMAP|QSFP_1_3_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_AXI_HPM0_REGMAP|QSFP_1_3_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_1_3</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1200070000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200070000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="MB_CPLD_PS_REGMAP"></a>
+ <h1 class="regmap">MB_CPLD_PS_REGMAP</h1>
+ <div class="xmlpmd">
+<p>This register map is available using the PS CPLD SPI interface.</p></div>
+ <div class="group"><a name="MB_CPLD_PS_REGMAP|MB_CPLD_PS_WINDOWS"></a><h2 class="group">MB_CPLD_PS_WINDOWS</h2>
+ <div class="xmlpmd">
+</div>
+ <div class="register">
+ <a name="MB_CPLD_PS_REGMAP|PS_REGISTERS"></a>
+
+<h3 class="register">Offset 0x0000: PS_REGISTERS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#PS_CPLD_BASE_REGMAP">PS_CPLD_BASE_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('MB_CPLD_PS_REGMAP|PS_REGISTERS_in')">(<span id="show_MB_CPLD_PS_REGMAP|PS_REGISTERS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_MB_CPLD_PS_REGMAP|PS_REGISTERS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">PS_REGISTERS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x40 (64 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file mb_cpld.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="MB_CPLD_PS_REGMAP|RECONFIG"></a>
+
+<h3 class="register">Offset 0x0040: RECONFIG Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#RECONFIG_REGMAP">RECONFIG_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('MB_CPLD_PS_REGMAP|RECONFIG_in')">(<span id="show_MB_CPLD_PS_REGMAP|RECONFIG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_MB_CPLD_PS_REGMAP|RECONFIG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RECONFIG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0040</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20 (32 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000040
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file mb_cpld.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="MB_CPLD_PS_REGMAP|POWER_REGISTERS"></a>
+
+<h3 class="register">Offset 0x0060: POWER_REGISTERS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#PS_POWER_REGMAP">PS_POWER_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('MB_CPLD_PS_REGMAP|POWER_REGISTERS_in')">(<span id="show_MB_CPLD_PS_REGMAP|POWER_REGISTERS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_MB_CPLD_PS_REGMAP|POWER_REGISTERS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">POWER_REGISTERS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0060</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20 (32 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000060
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file mb_cpld.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="MB_CPLD_PS_REGMAP|PS_SPI_ENDPOINTS"></a><h2 class="group">PS_SPI_ENDPOINTS</h2>
+ <div class="xmlpmd">
+</div>
+ <div class="enum">
+ <a name="MB_CPLD_PS_REGMAP|SPI_ENDPOINT"></a>
+
+<h3 class="enum">SPI_ENDPOINT Enumeration</h3>
+<div class="xmlpmd">
+</div>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' > Value </td>
+ <td class="l" colspan='1'> Name </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_MB_CPLD'></a>PS_CS_MB_CPLD</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_LMK32'></a>PS_CS_LMK32</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>2</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_TPM'></a>PS_CS_TPM</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>3</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_PHASE_DAC'></a>PS_CS_PHASE_DAC</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>4</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_DB0_CAL_EEPROM'></a>PS_CS_DB0_CAL_EEPROM</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>5</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_DB1_CAL_EEPROM'></a>PS_CS_DB1_CAL_EEPROM</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>6</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_CLK_AUX_DB'></a>PS_CS_CLK_AUX_DB</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>7</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='MB_CPLD_PS_REGMAP|SPI_ENDPOINT|PS_CS_IDLE'></a>PS_CS_IDLE</p>
+
+<p class="l"><div class="xmlpmd">
+</div>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file mb_cpld.v.
+ </p>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="CMAC_REGMAP"></a>
+ <h1 class="regmap">CMAC_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="CMAC_REGMAP|XILINX_CMAC_REGISTERS"></a><h2 class="group">XILINX_CMAC_REGISTERS</h2>
+ <div class="xmlpmd">
+<p>100G MAC ethernet registers (Link 0) defined in the CMAC Manual starting on pg 187.</p>
+<ul>
+<li>http://www.xilinx.com/support/documentation/ip_documentation/cmac_usplus/v2_4/pg203-cmac-usplus.pdf</li>
+</ul></div>
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="CONSTANTS_REGMAP"></a>
+ <h1 class="regmap">CONSTANTS_REGMAP</h1>
+
+ <div class="group"><a name="CONSTANTS_REGMAP|CONSTANTS_GROUP"></a><h2 class="group">CONSTANTS_GROUP</h2>
+ Basic registers containing version and capabilities information.
+ <div class="enum">
+ <a name="CONSTANTS_REGMAP|CONSTANTS_ENUM"></a>
+
+<h3 class="enum">CONSTANTS_ENUM Enumeration</h3>
+This enumeration is used to create the constants held in the basic registers.
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>173157671</td>
+
+ <td class='l'>0x0A522D27</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='CONSTANTS_REGMAP|CONSTANTS_ENUM|PS_CPLD_SIGNATURE'></a>PS_CPLD_SIGNATURE</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>538059028</td>
+
+ <td class='l'>0x20122114</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='CONSTANTS_REGMAP|CONSTANTS_ENUM|OLDEST_CPLD_REVISION'></a>OLDEST_CPLD_REVISION</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>553721877</td>
+
+ <td class='l'>0x21012015</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='CONSTANTS_REGMAP|CONSTANTS_ENUM|CPLD_REVISION'></a>CPLD_REVISION</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1071406151</td>
+
+ <td class='l'>0x3FDC5C47</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='CONSTANTS_REGMAP|CONSTANTS_ENUM|PL_CPLD_SIGNATURE'></a>PL_CPLD_SIGNATURE</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file mb_cpld.v.
+ </p>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="CORE_REGS_REGMAP"></a>
+ <h1 class="regmap">CORE_REGS_REGMAP</h1>
+ This is the map for the registers that the CORE_REGS window has access to
+ from the ARM_AXI_HPM0_FPD port.
+
+ The registers contained here conform the mboard-regs node that MPM uses
+ to manage general FPGA control/status calls, such as versioning,
+ timekeeper, GPIO, etc.
+ <div class="group"><a name="CORE_REGS_REGMAP|CORE_REGS"></a><h2 class="group">CORE_REGS</h2>
+
+ <div class="register">
+ <a name="CORE_REGS_REGMAP|GLOBAL_REGS"></a>
+
+<h3 class="register">Offset 0x0000: GLOBAL_REGS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#GLOBAL_REGS_REGMAP">GLOBAL_REGS_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('CORE_REGS_REGMAP|GLOBAL_REGS_in')">(<span id="show_CORE_REGS_REGMAP|GLOBAL_REGS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CORE_REGS_REGMAP|GLOBAL_REGS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GLOBAL_REGS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0xC00 (3 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file x4xx_core_common.v.</p>
+
+</div>
+
+<div class="info">
+
+Window to access global registers in the FPGA.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="CORE_REGS_REGMAP|VERSIONING_REGS"></a>
+
+<h3 class="register">Offset 0x0C00: VERSIONING_REGS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#VERSIONING_REGS_REGMAP">VERSIONING_REGS_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('CORE_REGS_REGMAP|VERSIONING_REGS_in')">(<span id="show_CORE_REGS_REGMAP|VERSIONING_REGS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CORE_REGS_REGMAP|VERSIONING_REGS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">VERSIONING_REGS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0C00</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x400 (1 Kbyte)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0C00
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file x4xx_core_common.v.</p>
+
+</div>
+
+<div class="info">
+
+Window to access versioning registers in the FPGA.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="CORE_REGS_REGMAP|TIMEKEEPER"></a>
+
+<h3 class="register">Offset 0x1000: TIMEKEEPER Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('CORE_REGS_REGMAP|TIMEKEEPER_in')">(<span id="show_CORE_REGS_REGMAP|TIMEKEEPER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CORE_REGS_REGMAP|TIMEKEEPER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">TIMEKEEPER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20 (32 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A1000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file x4xx_core_common.v.</p>
+
+</div>
+
+<div class="info">
+
+Window to access the timekeeper register map.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="CORE_REGS_REGMAP|DIO"></a>
+
+<h3 class="register">Offset 0x2000: DIO Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#DIO_REGMAP">DIO_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('CORE_REGS_REGMAP|DIO_in')">(<span id="show_CORE_REGS_REGMAP|DIO_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CORE_REGS_REGMAP|DIO_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DIO</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x2000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20 (32 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A2000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file x4xx_core_common.v.</p>
+
+</div>
+
+<div class="info">
+
+Window to access the DIO register map.
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="CPLD_INTERFACE_REGMAP"></a>
+ <h1 class="regmap">CPLD_INTERFACE_REGMAP</h1>
+
+ <div class="group"><a name="CPLD_INTERFACE_REGMAP|CPLD_INTERFACE_REGS"></a><h2 class="group">CPLD_INTERFACE_REGS</h2>
+ Basic registers containing version and capabilities information.
+ <div class="register">
+ <a name="CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER"></a>
+
+<h3 class="register">Offset 0x0000: SIGNATURE_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER_in')">(<span id="show_CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|BASE">PL_CPLD_REGMAP|BASE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SIGNATURE_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000080000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file cpld_interface_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Contains the product's signature.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="CPLD_INTERFACE_REGMAP|SIGNATURE_REGISTER|PRODUCT_SIGNATURE"></a>PRODUCT_SIGNATURE</span><span class="attr"> </span></p>
+ <p>fixed value 0xCB1D1FAC</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="CPLD_INTERFACE_REGMAP|SCRATCH_REGISTER"></a>
+
+<h3 class="register">Offset 0x000C: SCRATCH_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('CPLD_INTERFACE_REGMAP|SCRATCH_REGISTER_in')">(<span id="show_CPLD_INTERFACE_REGMAP|SCRATCH_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CPLD_INTERFACE_REGMAP|SCRATCH_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|BASE">PL_CPLD_REGMAP|BASE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SCRATCH_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x100008000C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file cpld_interface_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Read/write register for general software use.
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="CPLD_INTERFACE_REGMAP|CPLD_SPI_CONTROL_REGS"></a><h2 class="group">CPLD_SPI_CONTROL_REGS</h2>
+ Registers to control the SPI clock frequency of the CPLD interfaces.
+ The resulting clock frequency is calculated by <math><mrow><mfrac><mrow><msub><mi>f</mi><mrow><mi>PRC</mi></mrow></msub></mrow><mrow><mn>2</mn><mrow><mo form="prefix">(</mo><mo>divider</mi><mo>+</mo><mn>1</mn><mo form="postfix">)</mo></mrow></mrow></mfrac></mrow></math>.
+ <br>
+ Note that the PLL Reference Clock (PRC) is depending on the RF clocks.
+ <div class="register">
+ <a name="CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER"></a>
+
+<h3 class="register">Offset 0x0020: MOTHERBOARD_CPLD_DIVIDER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER_in')">(<span id="show_CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|BASE">PL_CPLD_REGMAP|BASE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MOTHERBOARD_CPLD_DIVIDER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0020</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000080020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000002
+</p>
+
+<p class="reg_info">This register is defined in HDL source file cpld_interface_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Clock divider used for SPI transactions targeting the MB CPLD.<br/>
+ Minimum required value is 2.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="CPLD_INTERFACE_REGMAP|MOTHERBOARD_CPLD_DIVIDER|MB_DIVIDER"></a>MB_DIVIDER</span><span class="attr"> &nbsp;&nbsp;(initialvalue=2)</span></p>
+ <p>Divider value</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER"></a>
+
+<h3 class="register">Offset 0x0024: DAUGHTERBOARD_CPLD_DIVIDER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER_in')">(<span id="show_CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|BASE">PL_CPLD_REGMAP|BASE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DAUGHTERBOARD_CPLD_DIVIDER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0024</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000080024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000005
+</p>
+
+<p class="reg_info">This register is defined in HDL source file cpld_interface_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Clock divider used for SPI transactions targeting any of the DB CPLDs.<br/>
+ Minimum required value is 5.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="CPLD_INTERFACE_REGMAP|DAUGHTERBOARD_CPLD_DIVIDER|DB_DIVIDER"></a>DB_DIVIDER</span><span class="attr"> &nbsp;&nbsp;(initialvalue=5)</span></p>
+ <p>Divider value</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="CPLD_INTERFACE_REGMAP|IPASS_REGS"></a><h2 class="group">IPASS_REGS</h2>
+
+ <div class="register">
+ <a name="CPLD_INTERFACE_REGMAP|IPASS_CONTROL"></a>
+
+<h3 class="register">Offset 0x0010: IPASS_CONTROL Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('CPLD_INTERFACE_REGMAP|IPASS_CONTROL_in')">(<span id="show_CPLD_INTERFACE_REGMAP|IPASS_CONTROL_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_CPLD_INTERFACE_REGMAP|IPASS_CONTROL_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|BASE">PL_CPLD_REGMAP|BASE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">IPASS_CONTROL</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000080010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file cpld_interface_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="CPLD_INTERFACE_REGMAP|IPASS_CONTROL|IPASS_ENABLE_TRANSFER"></a>IPASS_ENABLE_TRANSFER</span><span class="attr"> </span></p>
+ <p>If 1 enables the forwarding of iPass cable present signal to MB CPLD
+ using ctrlport requests. On change from 0 to 1 the current status is
+ transferred to the MB CPLD via SPI ctrlport request initially.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="DIO_REGMAP"></a>
+ <h1 class="regmap">DIO_REGMAP</h1>
+
+ <div class="group"><a name="DIO_REGMAP|DIO_REGS"></a><h2 class="group">DIO_REGS</h2>
+ Registers to control the GPIO buffer direction on the FPGA connected to the DIO board.
+ Further registers enable the PS to control and read the GPIO lines as master.
+ Make sure the GPIO lines between FPGA and GPIO board are not driven by two drivers.
+ Set the DIO registers in <a href="#PS_CPLD_BASE_REGMAP">PS_CPLD_BASE_REGMAP</a> appropriately.
+ <div class="register">
+ <a name="DIO_REGMAP|DIO_MASTER_REGISTER"></a>
+
+<h3 class="register">Offset 0x0000: DIO_MASTER_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('DIO_REGMAP|DIO_MASTER_REGISTER_in')">(<span id="show_DIO_REGMAP|DIO_MASTER_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_DIO_REGMAP|DIO_MASTER_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|DIO">CORE_REGS_REGMAP|DIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x002000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DIO_MASTER_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A2000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000000
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_dio.v.</p>
+
+</div>
+
+<div class="info">
+
+Sets whether the DIO signal line is driven by this register interface or the user application.<br/>
+ 0 = user application is master, 1 = PS is master
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..16</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_MASTER_REGISTER|DIO_MASTER_B"></a>DIO_MASTER_B</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_MASTER_REGISTER|DIO_MASTER_A"></a>DIO_MASTER_A</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="DIO_REGMAP|DIO_DIRECTION_REGISTER"></a>
+
+<h3 class="register">Offset 0x0004: DIO_DIRECTION_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('DIO_REGMAP|DIO_DIRECTION_REGISTER_in')">(<span id="show_DIO_REGMAP|DIO_DIRECTION_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_DIO_REGMAP|DIO_DIRECTION_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|DIO">CORE_REGS_REGMAP|DIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x002000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DIO_DIRECTION_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A2004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000000
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_dio.v.</p>
+
+</div>
+
+<div class="info">
+
+Set the direction of FPGA buffer connected to DIO ports on the DIO board.<br/>
+ Each bit represents one signal line. 0 = line is an input to the FPGA, 1 = line is an output driven by the FPGA.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..16</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_DIRECTION_REGISTER|DIO_DIRECTION_B"></a>DIO_DIRECTION_B</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_DIRECTION_REGISTER|DIO_DIRECTION_A"></a>DIO_DIRECTION_A</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="DIO_REGMAP|DIO_INPUT_REGISTER"></a>
+
+<h3 class="register">Offset 0x0008: DIO_INPUT_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('DIO_REGMAP|DIO_INPUT_REGISTER_in')">(<span id="show_DIO_REGMAP|DIO_INPUT_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_DIO_REGMAP|DIO_INPUT_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|DIO">CORE_REGS_REGMAP|DIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x002000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DIO_INPUT_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A2008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_dio.v.</p>
+
+</div>
+
+<div class="info">
+
+Status of each bit at the FPGA input.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..16</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_INPUT_REGISTER|DIO_INPUT_B"></a>DIO_INPUT_B</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_INPUT_REGISTER|DIO_INPUT_A"></a>DIO_INPUT_A</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="DIO_REGMAP|DIO_OUTPUT_REGISTER"></a>
+
+<h3 class="register">Offset 0x000C: DIO_OUTPUT_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('DIO_REGMAP|DIO_OUTPUT_REGISTER_in')">(<span id="show_DIO_REGMAP|DIO_OUTPUT_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_DIO_REGMAP|DIO_OUTPUT_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|DIO">CORE_REGS_REGMAP|DIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x002000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DIO_OUTPUT_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A200C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000000
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_dio.v.</p>
+
+</div>
+
+<div class="info">
+
+Controls the values on each DIO signal line in case the line master is set to PS in <a href="#DIO_REGMAP|DIO_MASTER_REGISTER">DIO_MASTER_REGISTER</a>.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..16</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_OUTPUT_REGISTER|DIO_OUTPUT_B"></a>DIO_OUTPUT_B</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="DIO_REGMAP|DIO_OUTPUT_REGISTER|DIO_OUTPUT_A"></a>DIO_OUTPUT_A</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="DMA_REGMAP"></a>
+ <h1 class="regmap">DMA_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="DMA_REGMAP|XILINX_DMA_REGISTERS"></a><h2 class="group">XILINX_DMA_REGISTERS</h2>
+ <div class="xmlpmd">
+<p>Scatter Gather DMA block defined in Xilinx DMA manual start on pg 11</p>
+<ul>
+<li>https://www.xilinx.com/support/documentation/ip_documentation/axi_dma/v7_1/pg021_axi_dma.pdf</li>
+</ul></div>
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="ETH_DMA_CTRL_REGMAP"></a>
+ <h1 class="regmap">ETH_DMA_CTRL_REGMAP</h1>
+ This is the map that the nixge driver uses in Ethernet DMA to
+ move data between the Processing System's architecture and the fabric.
+ This map is a combination of two main components: a Xilix AXI DMA engine
+ and some registers for MAC/PHY control.
+ <div class="group"><a name="ETH_DMA_CTRL_REGMAP|ETH_DMA_CTRL"></a><h2 class="group">ETH_DMA_CTRL</h2>
+
+ <div class="register">
+ <a name="ETH_DMA_CTRL_REGMAP|AXI_DMA_CTRL"></a>
+
+<h3 class="register">Offset 0x0000: AXI_DMA_CTRL Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('ETH_DMA_CTRL_REGMAP|AXI_DMA_CTRL_in')">(<span id="show_ETH_DMA_CTRL_REGMAP|AXI_DMA_CTRL_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_ETH_DMA_CTRL_REGMAP|AXI_DMA_CTRL_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|INT_ETH_DMA">AXI_HPM0_REGMAP|INT_ETH_DMA</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A4000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AXI_DMA_CTRL</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x4000 (16 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A4000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Refer to Xilinx' AXI DMA v7.1 IP product guide for further
+ information on this register map:
+ https://www.xilinx.com/support/documentation/ip_documentation/axi_dma/v7_1/pg021_axi_dma.pdf
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="ETH_DMA_CTRL_REGMAP|ETH_IO_CTRL"></a>
+
+<h3 class="register">Offset 0x4000: ETH_IO_CTRL Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('ETH_DMA_CTRL_REGMAP|ETH_IO_CTRL_in')">(<span id="show_ETH_DMA_CTRL_REGMAP|ETH_IO_CTRL_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_ETH_DMA_CTRL_REGMAP|ETH_IO_CTRL_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|INT_ETH_DMA">AXI_HPM0_REGMAP|INT_ETH_DMA</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A4000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">ETH_IO_CTRL</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x4000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x2000 (8 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A8000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+MAC/PHY control for the Ethernet interface.
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="GLOBAL_REGS_REGMAP"></a>
+ <h1 class="regmap">GLOBAL_REGS_REGMAP</h1>
+
+ <div class="group"><a name="GLOBAL_REGS_REGMAP|GLOBAL_REGS"></a><h2 class="group">GLOBAL_REGS</h2>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|COMPAT_NUM_REG"></a>
+
+<h3 class="register">Offset 0x0000: COMPAT_NUM_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|COMPAT_NUM_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|COMPAT_NUM_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|COMPAT_NUM_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">COMPAT_NUM_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Revision number
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..16</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|COMPAT_NUM_REG|COMPAT_MAJOR"></a>COMPAT_MAJOR</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|COMPAT_NUM_REG|COMPAT_MINOR"></a>COMPAT_MINOR</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG"></a>
+
+<h3 class="register">Offset 0x0004: DATESTAMP_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|DATESTAMP_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|DATESTAMP_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|DATESTAMP_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DATESTAMP_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Build datestamp (32-bit)
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..27</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG|DAY"></a>DAY</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">26..23</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG|MONTH"></a>MONTH</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">22..17</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG|YEAR"></a>YEAR</span><span class="attr"> </span></p>
+ <p>This is the year number after 2000 (e.g. 2019 = d19).</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16..12</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG|HOUR"></a>HOUR</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..6</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG|MINUTES"></a>MINUTES</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DATESTAMP_REG|SECONDS"></a>SECONDS</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|GIT_HASH_REG"></a>
+
+<h3 class="register">Offset 0x0008: GIT_HASH_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|GIT_HASH_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|GIT_HASH_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|GIT_HASH_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GIT_HASH_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Git hash of source commit.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|SCRATCH_REG"></a>
+
+<h3 class="register">Offset 0x000C: SCRATCH_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|SCRATCH_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|SCRATCH_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|SCRATCH_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SCRATCH_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A000C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Scratch register for testing.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|DEVICE_ID_REG"></a>
+
+<h3 class="register">Offset 0x0010: DEVICE_ID_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|DEVICE_ID_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|DEVICE_ID_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|DEVICE_ID_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DEVICE_ID_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Register that contains the motherboard's device ID.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DEVICE_ID_REG|PCIE_PRESENT_BIT"></a>PCIE_PRESENT_BIT</span><span class="attr"> </span></p>
+ <p>Set to 1 if PCI-Express core is present in FPGA design.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">30..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|DEVICE_ID_REG|DEVICE_ID"></a>DEVICE_ID</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|RFNOC_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0014: RFNOC_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|RFNOC_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|RFNOC_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|RFNOC_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RFNOC_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0014</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Register that provides information on the RFNoC protocol.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..16</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|RFNOC_INFO_REG|CHDR_WIDTH"></a>CHDR_WIDTH</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|RFNOC_INFO_REG|RFNOC_PROTO_MAJOR"></a>RFNOC_PROTO_MAJOR</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|RFNOC_INFO_REG|RFNOC_PROTO_MINOR"></a>RFNOC_PROTO_MINOR</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG"></a>
+
+<h3 class="register">Offset 0x0018: CLOCK_CTRL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CLOCK_CTRL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0018</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000000
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control register for clocking resources.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_BRC_DELAY"></a>PPS_BRC_DELAY</span><span class="attr"> </span></p>
+ <p>Number of base reference clock cycles from appearance of the PPS
+ rising edge to the occurrence of the aligned edge of base reference
+ clock and PLL reference clock at the sample PLL output. This number
+ is the sum of the actual value based on <a href="#GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_DELAY">PLL_SYNC_DELAY</a> (also
+ accumulate the fixed amount of clock cycles) and if any the number of
+ cycles the SPLL requires from issuing of the SYNC signal to the
+ aligned edge (with LMK04832 = 0).<br>
+ The number written to this register has to be reduced by 1 due to
+ HDL implementation.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_DELAY"></a>PLL_SYNC_DELAY</span><span class="attr"> </span></p>
+ <p>Due to the HDL implementation the rising edge of the SYNC signal for
+ the LMK04832 is generated 2 clock cycles after the PPS rising edge.
+ This delay can be further increased by setting this delay value
+ (e.g. PLL_SYNC_DELAY=3 will result in a total delay of 5 clock cycles).<br>
+ In case two X400 devices are connected using the PPS and reference clock the master delay value needs to be 3 clock cycles
+ higher than the slave delay value to align the LMK sync edges in time.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..10</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9r</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_DONE"></a>PLL_SYNC_DONE</span><span class="attr"> </span></p>
+ <p>Indicates the success of the PLL reset started by <a href="#GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_TRIGGER">PLL_SYNC_TRIGGER</a>. Reset on deassertion of <a href="#GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_TRIGGER">PLL_SYNC_TRIGGER</a>.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8w</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_TRIGGER"></a>PLL_SYNC_TRIGGER</span><span class="attr"> </span></p>
+ <p>Assertion triggers the SYNC signal generation for LMK04832 after the next appearance of the PPS rising edge.
+ There is no self reset on this trigger.
+ Keep this trigger asserted until <a href="#GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PLL_SYNC_DONE">PLL_SYNC_DONE</a> is asserted.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..6</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5..4</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT"></a>TRIGGER_IO_SELECT</span><span class="attr"> &nbsp;&nbsp;(initialvalue=TRIG_IO_INPUT)</span></p>
+ <p><b>IMPORTANT!</b> SW must ensure any TRIG_IO consumers (downstream devices) <b>ignore
+ and/or re-sync after enabling this port</b>, since the output-enable is basically
+ asynchronous to the actual TRIG_IO driver.</p>
+
+ <p>
+ The values for this bitfield are in the TRIG_IO_ENUM table.
+ <a class="sh_enum" href="javascript:sb('GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT')">(<span id="show_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT">show here</span>)</a>
+ </p>
+ <div class="sh_enum" id="div_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT">
+
+ <div class="enum">
+ <a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT|TRIG_IO_ENUM"></a>
+
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' > Value </td>
+ <td class="l" colspan='1'> Name </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT|TRIG_IO_ENUM|TRIG_IO_INPUT'></a>TRIG_IO_INPUT</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|TRIGGER_IO_SELECT|TRIG_IO_ENUM|TRIG_IO_PPS_OUTPUT'></a>TRIG_IO_PPS_OUTPUT</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file x4xx_global_regs.v.
+ </p>
+
+</div>
+
+</div>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3r</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|REFCLK_LOCKED"></a>REFCLK_LOCKED</span><span class="attr"> </span></p>
+ <p>RESERVED. This bit is not implemented on X4xx and reads as 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">2</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|REF_SELECT"></a>REF_SELECT</span><span class="attr"> </span></p>
+ <p>RESERVED. This bit is not implemented on X4xx and reads as 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT"></a>PPS_SELECT</span><span class="attr"> &nbsp;&nbsp;(initialvalue=PPS_INT_25MHZ)</span></p>
+ <p>Select the source of the PPS signal.
+ For the internal generation the value depending on the base reference clock has to be chosen.
+ The external reference is taken from the PPS_IN pin and is independent of the base reference clock.</p>
+
+ <p>
+ The values for this bitfield are in the PPS_ENUM table.
+ <a class="sh_enum" href="javascript:sb('GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT')">(<span id="show_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT">show here</span>)</a>
+ </p>
+ <div class="sh_enum" id="div_GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT">
+
+ <div class="enum">
+ <a name="GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT|PPS_ENUM"></a>
+
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' > Value </td>
+ <td class="l" colspan='1'> Name </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT|PPS_ENUM|PPS_INT_25MHZ'></a>PPS_INT_25MHZ</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT|PPS_ENUM|PPS_INT_10MHZ'></a>PPS_INT_10MHZ</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>2</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_SELECT|PPS_ENUM|PPS_EXT'></a>PPS_EXT</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file x4xx_global_regs.v.
+ </p>
+
+</div>
+
+</div>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|PPS_CTRL_REG"></a>
+
+<h3 class="register">Offset 0x001C: PPS_CTRL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|PPS_CTRL_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|PPS_CTRL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|PPS_CTRL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">PPS_CTRL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x001C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A001C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control registers for PPS generation.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|PPS_CTRL_REG|PPS_RC_ENABLED"></a>PPS_RC_ENABLED</span><span class="attr"> </span></p>
+ <p>Enables the PPS signal in radio clock domain. Please make sure that
+ the values of <a href="#GLOBAL_REGS_REGMAP|CLOCK_CTRL_REG|PPS_BRC_DELAY">PPS_BRC_DELAY</a>, <a href="#GLOBAL_REGS_REGMAP|PPS_CTRL_REG|PPS_PRC_DELAY">PPS_PRC_DELAY</a> and <a href="#GLOBAL_REGS_REGMAP|PPS_CTRL_REG|PRC_RC_DIVIDER">PRC_RC_DIVIDER</a> are
+ set before enabling this bit. It is recommended to disable the PPS
+ for changes on the other values. Use a wait time of at least 1 second
+ before changing this value to ensure the values are stable for the
+ next PPS edge.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">30</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">29..28</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|PPS_CTRL_REG|PRC_RC_DIVIDER"></a>PRC_RC_DIVIDER</span><span class="attr"> </span></p>
+ <p>Clock multiplier used to generate radio clock from PLL reference clock.
+ The value written to the register has to be reduced by 2 due to
+ HDL implementation.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..26</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">25..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|PPS_CTRL_REG|PPS_PRC_DELAY"></a>PPS_PRC_DELAY</span><span class="attr"> </span></p>
+ <p>The number of PLL reference clock cycles from one aligned edge to the
+ desired aligned edge to issue the PPS in radio clock domain. This
+ delay is configurable to any aligned edge within a maximum delay of 1
+ second (period of PPS). <br>
+ The value written to the register has to be reduced by 4 due to
+ HDL implementation.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG"></a>
+
+<h3 class="register">Offset 0x0020: CHDR_CLK_RATE_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CHDR_CLK_RATE_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0020</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x0BEBC200
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns the RFNoC bus clock rate (CHDR).
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG|CHDR_CLK"></a>CHDR_CLK</span><span class="attr"> &nbsp;&nbsp;(initialvalue=CHDR_CLK_VALUE)</span></p>
+ <p></p>
+
+ <p>
+ The values for this bitfield are in the CHDR_CLK_ENUM table.
+ <a class="sh_enum" href="javascript:sb('GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG|CHDR_CLK')">(<span id="show_GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG|CHDR_CLK">show here</span>)</a>
+ </p>
+ <div class="sh_enum" id="div_GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG|CHDR_CLK">
+
+ <div class="enum">
+ <a name="GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG|CHDR_CLK|CHDR_CLK_ENUM"></a>
+
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>200000000</td>
+
+ <td class='l'>0xBEBC200</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='GLOBAL_REGS_REGMAP|CHDR_CLK_RATE_REG|CHDR_CLK|CHDR_CLK_ENUM|CHDR_CLK_VALUE'></a>CHDR_CLK_VALUE</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file x4xx_global_regs.v.
+ </p>
+
+</div>
+
+</div>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|CHDR_CLK_COUNT_REG"></a>
+
+<h3 class="register">Offset 0x0024: CHDR_CLK_COUNT_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|CHDR_CLK_COUNT_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|CHDR_CLK_COUNT_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|CHDR_CLK_COUNT_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CHDR_CLK_COUNT_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0024</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns the count value of a free-running counter driven by the RFNoC
+ CHDR bus clock.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|GPS_CTRL_REG"></a>
+
+<h3 class="register">Offset 0x0038: GPS_CTRL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|GPS_CTRL_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|GPS_CTRL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|GPS_CTRL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GPS_CTRL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0038</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+RESERVED. This register is not implemented on X4xx. GPS is connected
+ to the PS via a UART.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|GPS_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x003C: GPS_STATUS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|GPS_STATUS_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|GPS_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|GPS_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GPS_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x003C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A003C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+RESERVED. This register is not implemented on X4xx. GPS is connected
+ to the PS via a UART.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|DBOARD_CTRL_REG"></a>
+
+<h3 class="register">Offset 0x0040: DBOARD_CTRL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|DBOARD_CTRL_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|DBOARD_CTRL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|DBOARD_CTRL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DBOARD_CTRL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0040
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+RESERVED. This register is not implemented on X4xx.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|DBOARD_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x0044: DBOARD_STATUS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|DBOARD_STATUS_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|DBOARD_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|DBOARD_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DBOARD_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0044</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0044
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+RESERVED. This register is not implemented on X4xx.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|NUM_TIMEKEEPERS_REG"></a>
+
+<h3 class="register">Offset 0x0048: NUM_TIMEKEEPERS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|NUM_TIMEKEEPERS_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|NUM_TIMEKEEPERS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|NUM_TIMEKEEPERS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">NUM_TIMEKEEPERS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0048</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0048
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Register that specifies the number of timekeepers in the core.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|SERIAL_NUM_LOW_REG"></a>
+
+<h3 class="register">Offset 0x004C: SERIAL_NUM_LOW_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|SERIAL_NUM_LOW_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|SERIAL_NUM_LOW_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|SERIAL_NUM_LOW_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SERIAL_NUM_LOW_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x004C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A004C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Least significant bytes of 8 byte serial number
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|SERIAL_NUM_HIGH_REG"></a>
+
+<h3 class="register">Offset 0x0050: SERIAL_NUM_HIGH_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|SERIAL_NUM_HIGH_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|SERIAL_NUM_HIGH_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|SERIAL_NUM_HIGH_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SERIAL_NUM_HIGH_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0050</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0050
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Most significant bytes of 8 byte serial number
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG"></a>
+
+<h3 class="register">Offset 0x0054: MFG_TEST_CTRL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MFG_TEST_CTRL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0054</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0054
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control register for mfg_test functions.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..2</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG|MFG_TEST_EN_FABRIC_CLK"></a>MFG_TEST_EN_FABRIC_CLK</span><span class="attr"> </span></p>
+ <p>When enabled, routes data_clk to FPGA_REF_CLK output port.
+ When disabled, the FPGA_REF_CLK output is driven to 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|MFG_TEST_CTRL_REG|MFG_TEST_EN_GTY_RCV_CLK"></a>MFG_TEST_EN_GTY_RCV_CLK</span><span class="attr"> </span></p>
+ <p>When enabled, routes data_clk to GTY_RCV_CLK output port.
+ When disabled, the GTY_RCV_CLK output is driven to 0.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x0058: MFG_TEST_STATUS_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MFG_TEST_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0058</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0058
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Status register for mfg_test functions.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..26</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">25..0</td>
+ <td>
+ <p><span class="name"><a name="GLOBAL_REGS_REGMAP|MFG_TEST_STATUS_REG|MFG_TEST_FPGA_AUX_REF_FREQ"></a>MFG_TEST_FPGA_AUX_REF_FREQ</span><span class="attr"> </span></p>
+ <p>Report the time between rising edges on the FPGA_REF_CLK
+ input port in 40 MHz Clock ticks. If the count extends
+ to 1.2 seconds without an edge, the value reported is set
+ to zero.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_0_0_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0060: QSFP_PORT_0_0_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_0_0_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_0_0_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_0_0_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_0_0_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0060
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP0 Lane0.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_0_1_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0064: QSFP_PORT_0_1_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_0_1_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_0_1_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_0_1_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_0_1_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0064</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0064
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP0 Lane1.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_0_2_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0068: QSFP_PORT_0_2_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_0_2_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_0_2_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_0_2_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_0_2_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0068</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0068
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP0 Lane2.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_0_3_INFO_REG"></a>
+
+<h3 class="register">Offset 0x006C: QSFP_PORT_0_3_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_0_3_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_0_3_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_0_3_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_0_3_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x006C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A006C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP0 Lane3.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_1_0_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0070: QSFP_PORT_1_0_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_1_0_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_1_0_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_1_0_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_1_0_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0070</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0070
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP1 Lane0.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_1_1_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0074: QSFP_PORT_1_1_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_1_1_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_1_1_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_1_1_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_1_1_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0074</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0074
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP1 Lane1.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_1_2_INFO_REG"></a>
+
+<h3 class="register">Offset 0x0078: QSFP_PORT_1_2_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_1_2_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_1_2_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_1_2_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_1_2_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0078</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0078
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP1 Lane2.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="GLOBAL_REGS_REGMAP|QSFP_PORT_1_3_INFO_REG"></a>
+
+<h3 class="register">Offset 0x007C: QSFP_PORT_1_3_INFO_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('GLOBAL_REGS_REGMAP|QSFP_PORT_1_3_INFO_REG_in')">(<span id="show_GLOBAL_REGS_REGMAP|QSFP_PORT_1_3_INFO_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_GLOBAL_REGS_REGMAP|QSFP_PORT_1_3_INFO_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|GLOBAL_REGS">CORE_REGS_REGMAP|GLOBAL_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">QSFP_PORT_1_3_INFO_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x007C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A007C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_global_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Returns information from the QSFP1 Lane3.
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="JTAG_REGMAP"></a>
+ <h1 class="regmap">JTAG_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="JTAG_REGMAP|JTAG_REGS"></a><h2 class="group">JTAG_REGS</h2>
+ <div class="xmlpmd">
+<p>This register map is present for each JTAG module.</p>
+<p>Basic operation would be:</p>
+<ul>
+<li>poll <a href="#JTAG_REGMAP|CONTROL|ready">ready</a> until asserted</li>
+<li>write / read data</li>
+<li>write <a href="#JTAG_REGMAP|CONTROL">CONTROL</a> register along with <a href="#JTAG_REGMAP|CONTROL|reset">reset</a> deasserted to start a transaction</li>
+</ul>
+<p>For resetting the BITQ FSM, simply assert <a href="#JTAG_REGMAP|CONTROL|reset">reset</a>.</p>
+<p>This operation seems a little strange, but it is what the axi_bitq driver
+expects. This behavior has been implemented in previous products.</p></div>
+ <div class="register">
+ <a name="JTAG_REGMAP|TX_DATA"></a>
+
+<h3 class="register">Offset 0x0000: TX_DATA Register (W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('JTAG_REGMAP|TX_DATA_in')">(<span id="show_JTAG_REGMAP|TX_DATA_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_JTAG_REGMAP|TX_DATA_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="2">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB0">MB_CPLD_PL_REGMAP|JTAG_DB0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">TX_DATA</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088060
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB1">MB_CPLD_PL_REGMAP|JTAG_DB1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000080</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088080
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_jtag.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Data to be transmitted (TDI)</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="JTAG_REGMAP|STB_DATA"></a>
+
+<h3 class="register">Offset 0x0004: STB_DATA Register (W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('JTAG_REGMAP|STB_DATA_in')">(<span id="show_JTAG_REGMAP|STB_DATA_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_JTAG_REGMAP|STB_DATA_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="2">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB0">MB_CPLD_PL_REGMAP|JTAG_DB0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">STB_DATA</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088064
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB1">MB_CPLD_PL_REGMAP|JTAG_DB1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000080</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088084
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_jtag.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Data to be transmitted (TMS)</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="JTAG_REGMAP|CONTROL"></a>
+
+<h3 class="register">Offset 0x0008: CONTROL Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('JTAG_REGMAP|CONTROL_in')">(<span id="show_JTAG_REGMAP|CONTROL_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_JTAG_REGMAP|CONTROL_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="2">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB0">MB_CPLD_PL_REGMAP|JTAG_DB0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CONTROL</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088068
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB1">MB_CPLD_PL_REGMAP|JTAG_DB1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000080</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088088
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000001
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_jtag.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>JTAG module status and control</p></div>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31r</td>
+ <td>
+ <p><span class="name"><a name="JTAG_REGMAP|CONTROL|ready"></a>ready</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Bitq FSM is ready for input (no data transmission in progress).</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">31w</td>
+ <td>
+ <p><span class="name"><a name="JTAG_REGMAP|CONTROL|reset"></a>reset</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>When asserted ('1') a soft-reset for the bitq FSM is triggered,
+preventing any transactions to take place.</p>
+<p>Deassert this bit, along with values for <a href="#JTAG_REGMAP|CONTROL|prescalar">prescalar</a> and <a href="#JTAG_REGMAP|CONTROL|length">length</a>
+to trigger a new transaction (start strobe).</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">30..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..13</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">12..8</td>
+ <td>
+ <p><span class="name"><a name="JTAG_REGMAP|CONTROL|length"></a>length</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>(Number of bits - 1) to be transferred</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="JTAG_REGMAP|CONTROL|prescalar"></a>prescalar</span><span class="attr"> &nbsp;&nbsp;(initialvalue=true)</span></p>
+ <p><div class="xmlpmd">
+<p>Clock divider. Resulting JTAG frequency will be f_ctrlport / (2*(prescalar + 1)). See window description for details on the initial/minimum value.</p></div></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="JTAG_REGMAP|RX_DATA"></a>
+
+<h3 class="register">Offset 0x000C: RX_DATA Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('JTAG_REGMAP|RX_DATA_in')">(<span id="show_JTAG_REGMAP|RX_DATA_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_JTAG_REGMAP|RX_DATA_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="2">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB0">MB_CPLD_PL_REGMAP|JTAG_DB0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="2">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RX_DATA</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x100008806C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|JTAG_DB1">MB_CPLD_PL_REGMAP|JTAG_DB1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000080</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x100008808C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_jtag.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Received data (TDO)</p></div>
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="MB_CPLD_PL_REGMAP"></a>
+ <h1 class="regmap">MB_CPLD_PL_REGMAP</h1>
+ <div class="xmlpmd">
+<p>This register map is available using the PL CPLD SPI interface.
+All protocol masters controller by this register map are running with a clock frequency of 50 MHz.</p></div>
+ <div class="group"><a name="MB_CPLD_PL_REGMAP|MB_CPLD_PL_WINDOWS"></a><h2 class="group">MB_CPLD_PL_WINDOWS</h2>
+ <div class="xmlpmd">
+</div>
+ <div class="register">
+ <a name="MB_CPLD_PL_REGMAP|PL_REGISTERS"></a>
+
+<h3 class="register">Offset 0x0000: PL_REGISTERS Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#PL_CPLD_BASE_REGMAP">PL_CPLD_BASE_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('MB_CPLD_PL_REGMAP|PL_REGISTERS_in')">(<span id="show_MB_CPLD_PL_REGMAP|PL_REGISTERS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_MB_CPLD_PL_REGMAP|PL_REGISTERS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">PL_REGISTERS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x40 (64 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file mb_cpld.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="MB_CPLD_PL_REGMAP|JTAG_DB0"></a>
+
+<h3 class="register">Offset 0x0060: JTAG_DB0 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#JTAG_REGMAP">JTAG_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('MB_CPLD_PL_REGMAP|JTAG_DB0_in')">(<span id="show_MB_CPLD_PL_REGMAP|JTAG_DB0_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_MB_CPLD_PL_REGMAP|JTAG_DB0_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">JTAG_DB0</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0060</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20 (32 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088060
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file mb_cpld.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>JTAG Master connected to first daugherboard's CPLD JTAG interface.</p>
+<p><strong>Use minimum value of 1 for <a href="#JTAG_REGMAP|CONTROL|prescalar">JTAG_REGMAP.prescalar</a> because the DB CPLD JTAG interface maximum clock frequency is 20 MHz.</strong></p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="MB_CPLD_PL_REGMAP|JTAG_DB1"></a>
+
+<h3 class="register">Offset 0x0080: JTAG_DB1 Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#JTAG_REGMAP">JTAG_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('MB_CPLD_PL_REGMAP|JTAG_DB1_in')">(<span id="show_MB_CPLD_PL_REGMAP|JTAG_DB1_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_MB_CPLD_PL_REGMAP|JTAG_DB1_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">JTAG_DB1</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0080</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x20 (32 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088080
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file mb_cpld.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>JTAG Master connected to second daugherboard's CPLD JTAG interface.</p>
+<p><strong>Use minimum value of 1 for <a href="#JTAG_REGMAP|CONTROL|prescalar">JTAG_REGMAP.prescalar</a> because the DB CPLD JTAG interface maximum clock frequency is 20 MHz.</strong></p></div>
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="NIXGE_REGMAP"></a>
+ <h1 class="regmap">NIXGE_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="NIXGE_REGMAP|XGE_MAC_REGS"></a><h2 class="group">XGE_MAC_REGS</h2>
+ <div class="xmlpmd">
+<p>nixge (maps to 10g mac if present)</p></div>
+ <div class="register">
+ <a name="NIXGE_REGMAP|PORT_INFO"></a>
+
+<h3 class="register">Offset 0x0000: PORT_INFO Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|PORT_INFO_in')">(<span id="show_NIXGE_REGMAP|PORT_INFO_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|PORT_INFO_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">PORT_INFO</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|PORT_INFO|COMPAT_NUM"></a>COMPAT_NUM</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Constant indicating version for this space.
+Not used by the NIXGE driver (12/4/2020)</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..18</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">17</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|PORT_INFO|ACTIVITY"></a>ACTIVITY</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Generically this mirrors the activity LED. Specific meaning varies based on the MGT_PROTOCOL.</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|PORT_INFO|LINK_UP"></a>LINK_UP</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Generically means that a connection with a peer has been established. Specific
+meaning varies based on the MGT_PROTOCOL.</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|PORT_INFO|MGT_PROTOCOL"></a>MGT_PROTOCOL</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Constant indicating what flavor of communication this port is using</p>
+<ul>
+<li>0 = NONE</li>
+<li>1 = 1GbE</li>
+<li>2 = 10GbE</li>
+<li>3 = Aurora</li>
+<li>4 = WhiteRabbit</li>
+<li>5 = 100GbE</li>
+</ul></div></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|PORT_INFO|PORTNUM"></a>PORTNUM</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Constant indicating which port this register is hooked to</p>
+<ul>
+<li>0 = QSFP0</li>
+<li>1 = QSFP1</li>
+</ul></div></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|MAC_CTRL_STATUS"></a>
+
+<h3 class="register">Offset 0x0004: MAC_CTRL_STATUS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|MAC_CTRL_STATUS_in')">(<span id="show_NIXGE_REGMAP|MAC_CTRL_STATUS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|MAC_CTRL_STATUS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MAC_CTRL_STATUS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Definition of this register depends on Protocol</p>
+<p><strong>10GBE</strong></p>
+<p><em>READ - Status</em></p>
+<ul>
+<li>0 = status_crc_error</li>
+<li>1 = status_fragment_error</li>
+<li>2 = status_txdfifo_ovflow</li>
+<li>3 = status_txdfifo_udflow</li>
+<li>4 = status_rxdfifo_ovflow</li>
+<li>5 = status_rxdfifo_udflow</li>
+<li>6 = status_pause_frame_rx</li>
+<li>7 = status_local_fault</li>
+<li>8 = status_remote_fault</li>
+</ul>
+<p><em>WRITE - Ctl</em></p>
+<ul>
+<li>0 = ctrl_tx_enable</li>
+</ul>
+<p><strong>100 GBE</strong></p>
+<p><em>READ - Status</em></p>
+<ul>
+<li>0 = tx_ovfout - Sets if TX overflow reported by CMAC
+ (Stays set till MAC is reset). This is a fatal error</li>
+<li>1 = tx_unfout - Sets if TX underflow reported by CMAC
+ (Stays set till MAC is reset). This is a fatal error</li>
+<li>2 = stat_rx_aligned - goes high when CMAC has finished
+ alignment, and is ready to start reception of traffic.</li>
+<li>3 = mac_dropped_packet - If the mac RX wants to push data(TVALID)
+ but upstream is trying to hold(TREADY)off we drop a packet.
+ Upstream circuitry should detect this when traffic is forked
+ between CHDR and CPU, so this bit will only set if there is a
+ HW design error.</li>
+<li>4 = auto_config_done - This bit goes high when the auto_config
+ state machine finishes operation. It is very similiar to
+ stat_rx_alligned, but waits for extra writes which occur
+ after allignement to complete.</li>
+<li>24:16 = pause_mask - readable version of pause_mask bellow.</li>
+</ul>
+<p><em>WRITE - Ctl</em></p>
+<ul>
+<li>0 = auto_enable - Defaults to ON after reset - Enables a
+ state machine that performs CMAC register writes to
+ bring up the MAC without SW intervention.</li>
+<li>24:16 = pause_mask - A second layer of enables(the first being
+ register in the CMAC) on the pause_request mechanic. Bits
+ 7:0 of enable pause on PFC7:0. Bit 8 enables global pause
+ request (not priority controlled). The mask is used for TX
+ and RX.</li>
+</ul></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|MAC_PHY_STATUS"></a>
+
+<h3 class="register">Offset 0x0008: MAC_PHY_STATUS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|MAC_PHY_STATUS_in')">(<span id="show_NIXGE_REGMAP|MAC_PHY_STATUS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|MAC_PHY_STATUS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MAC_PHY_STATUS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Definition of this register depends on Protocol</p>
+<p><strong>10GBE</strong></p>
+<p>*READ - Status *</p>
+<ul>
+<li>0 = core_status 0 - link_up</li>
+<li>1 = core_status 1</li>
+<li>2 = core_status 2</li>
+<li>3 = core_status 3</li>
+<li>4 = core_status 4</li>
+<li>5 = core_status 5</li>
+<li>6 = core_status 6</li>
+<li>7 = core_status 7</li>
+</ul>
+<p><strong>100 GBE</strong></p>
+<p><em>READ - Status</em></p>
+<ul>
+<li>0 = usr_tx_reset - TX PLL's have locked - The clock for the 100G mac isn't stable till this bit sets.</li>
+<li>1 = usr_rx_reset - RX PLL's have locked</li>
+</ul></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|MAC_LED_CTL"></a>
+
+<h3 class="register">Offset 0x000C: MAC_LED_CTL Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|MAC_LED_CTL_in')">(<span id="show_NIXGE_REGMAP|MAC_LED_CTL_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|MAC_LED_CTL_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MAC_LED_CTL</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..2</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|MAC_LED_CTL|identify_value"></a>identify_value</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>When identify_enable is set, this value controls the activity LED.</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="NIXGE_REGMAP|MAC_LED_CTL|identify_enable"></a>identify_enable</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>When set identify_value is used to control the activity LED.
+When clear the activity LED set on any TX or RX traffic to the mgt</p></div></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|ETH_MDIO_BASE"></a>
+
+<h3 class="register">Offset 0x0010: ETH_MDIO_BASE Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|ETH_MDIO_BASE_in')">(<span id="show_NIXGE_REGMAP|ETH_MDIO_BASE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|ETH_MDIO_BASE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">ETH_MDIO_BASE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>The x4xx family of products does not use MDIO.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|AURORA_OVERRUNS"></a>
+
+<h3 class="register">Offset 0x0020: AURORA_OVERRUNS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|AURORA_OVERRUNS_in')">(<span id="show_NIXGE_REGMAP|AURORA_OVERRUNS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|AURORA_OVERRUNS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AURORA_OVERRUNS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0020</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Only valid if the protocol is Aurora.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|AURORA_CHECKSUM_ERRORS"></a>
+
+<h3 class="register">Offset 0x0024: AURORA_CHECKSUM_ERRORS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|AURORA_CHECKSUM_ERRORS_in')">(<span id="show_NIXGE_REGMAP|AURORA_CHECKSUM_ERRORS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|AURORA_CHECKSUM_ERRORS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AURORA_CHECKSUM_ERRORS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0024</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078024
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Only valid if the protocol is Aurora.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|AURORA_BIST_CHECKER_SAMPS"></a>
+
+<h3 class="register">Offset 0x0028: AURORA_BIST_CHECKER_SAMPS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|AURORA_BIST_CHECKER_SAMPS_in')">(<span id="show_NIXGE_REGMAP|AURORA_BIST_CHECKER_SAMPS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|AURORA_BIST_CHECKER_SAMPS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AURORA_BIST_CHECKER_SAMPS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0028</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078028
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Only valid if the protocol is Aurora.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="NIXGE_REGMAP|AURORA_BIST_CHECKER_ERRORS"></a>
+
+<h3 class="register">Offset 0x002C: AURORA_BIST_CHECKER_ERRORS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|AURORA_BIST_CHECKER_ERRORS_in')">(<span id="show_NIXGE_REGMAP|AURORA_BIST_CHECKER_ERRORS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|AURORA_BIST_CHECKER_ERRORS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AURORA_BIST_CHECKER_ERRORS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x002C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007802C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Only valid if the protocol is Aurora.</p></div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="NIXGE_REGMAP|XGE_MAC_WINDOW"></a><h2 class="group">XGE_MAC_WINDOW</h2>
+ <div class="xmlpmd">
+</div>
+ <div class="register">
+ <a name="NIXGE_REGMAP|XGE_MAC"></a>
+
+<h3 class="register">Offset 0x1000: XGE_MAC Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#XGE_MAC_REGMAP">XGE_MAC_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('NIXGE_REGMAP|XGE_MAC_in')">(<span id="show_NIXGE_REGMAP|XGE_MAC_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_NIXGE_REGMAP|XGE_MAC_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|NIXGE">QSFP_REGMAP|NIXGE</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">XGE_MAC</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x1000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x1000 (4 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200009000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200019000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200029000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200039000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200049000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200059000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200069000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200079000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="PL_CPLD_BASE_REGMAP"></a>
+ <h1 class="regmap">PL_CPLD_BASE_REGMAP</h1>
+
+ <div class="group"><a name="PL_CPLD_BASE_REGMAP|MB_CPLD_LED_REGS"></a><h2 class="group">MB_CPLD_LED_REGS</h2>
+ Register Map to control QSFP LEDs.
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|LED_REGISTER"></a>
+
+<h3 class="register">Offset 0x0020: LED_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|LED_REGISTER_in')">(<span id="show_PL_CPLD_BASE_REGMAP|LED_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|LED_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">LED_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0020</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Provides to the LEDs of the QSFP ports.
+ Write access will directly change the LED status.
+ The LED lights up if the corresponding bit is set.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|LED_REGISTER|QSFP1_LED_ACTIVE"></a>QSFP1_LED_ACTIVE</span><span class="attr"> </span></p>
+ <p>Active LEDs of QSFP port 1</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..8</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|LED_REGISTER|QSFP1_LED_LINK"></a>QSFP1_LED_LINK</span><span class="attr"> </span></p>
+ <p>Link LEDs of QSFP port 1</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..4</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|LED_REGISTER|QSFP0_LED_ACTIVE"></a>QSFP0_LED_ACTIVE</span><span class="attr"> </span></p>
+ <p>Active LEDs of QSFP port 0</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3..0</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|LED_REGISTER|QSFP0_LED_LINK"></a>QSFP0_LED_LINK</span><span class="attr"> </span></p>
+ <p>Link LEDs of QSFP port 0</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="PL_CPLD_BASE_REGMAP|PL_CMI_REGS"></a><h2 class="group">PL_CMI_REGS</h2>
+ Cable present status register.
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG"></a>
+
+<h3 class="register">Offset 0x0030: CABLE_PRESENT_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG_in')">(<span id="show_PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CABLE_PRESENT_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0030</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Information from FPGA about the cable present status.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG|IPASS1_CABLE_PRESENT"></a>IPASS1_CABLE_PRESENT</span><span class="attr"> </span></p>
+ <p>Set to 1 if cable present in iPass 1 connector.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|CABLE_PRESENT_REG|IPASS0_CABLE_PRESENT"></a>IPASS0_CABLE_PRESENT</span><span class="attr"> </span></p>
+ <p>Set to 1 if cable present in iPass 0 connector.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="PL_CPLD_BASE_REGMAP|PL_CPLD_BASE_REGS"></a><h2 class="group">PL_CPLD_BASE_REGS</h2>
+ Basic registers containing version and capabilities information.
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER"></a>
+
+<h3 class="register">Offset 0x0000: SIGNATURE_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER_in')">(<span id="show_PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SIGNATURE_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Contains the product's signature.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|SIGNATURE_REGISTER|PRODUCT_SIGNATURE"></a>PRODUCT_SIGNATURE</span><span class="attr"> </span></p>
+ <p>Fixed value PL_CPLD_SIGNATURE of <a href="#CONSTANTS_REGMAP">CONSTANTS_REGMAP</a></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|REVISION_REGISTER"></a>
+
+<h3 class="register">Offset 0x0004: REVISION_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|REVISION_REGISTER_in')">(<span id="show_PL_CPLD_BASE_REGMAP|REVISION_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|REVISION_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">REVISION_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Contains the CPLD revision (see CPLD_REVISION of <a href="#CONSTANTS_REGMAP">CONSTANTS_REGMAP</a>)
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_YY"></a>REVISION_YY</span><span class="attr"> </span></p>
+ <p>Contains revision year code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_MM"></a>REVISION_MM</span><span class="attr"> </span></p>
+ <p>Contains revision month code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_DD"></a>REVISION_DD</span><span class="attr"> </span></p>
+ <p>Contains revision day code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_HH"></a>REVISION_HH</span><span class="attr"> </span></p>
+ <p>Contains revision hour code.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER"></a>
+
+<h3 class="register">Offset 0x0008: OLDEST_COMPATIBLE_REVISION_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER_in')">(<span id="show_PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">OLDEST_COMPATIBLE_REVISION_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+This register returns (in YYMMDDHH format) the oldest revision
+ that is still compatible with this one. Compatible means that
+ registers or register bits may have been added, but not
+ modified or deleted (see OLDEST_CPLD_REVISION of <a href="#CONSTANTS_REGMAP">CONSTANTS_REGMAP</a>).
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_YY"></a>OLD_REVISION_YY</span><span class="attr"> </span></p>
+ <p>Contains revision year code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_MM"></a>OLD_REVISION_MM</span><span class="attr"> </span></p>
+ <p>Contains revision month code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_DD"></a>OLD_REVISION_DD</span><span class="attr"> </span></p>
+ <p>Contains revision day code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_HH"></a>OLD_REVISION_HH</span><span class="attr"> </span></p>
+ <p>Contains revision hour code.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|SCRATCH_REGISTER"></a>
+
+<h3 class="register">Offset 0x000C: SCRATCH_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|SCRATCH_REGISTER_in')">(<span id="show_PL_CPLD_BASE_REGMAP|SCRATCH_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|SCRATCH_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SCRATCH_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x100008800C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Read/write register for general software use.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER"></a>
+
+<h3 class="register">Offset 0x0010: GIT_HASH_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER_in')">(<span id="show_PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#PL_CPLD_REGMAP|MB_CPLD">PL_CPLD_REGMAP|MB_CPLD</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PL_REGMAP|PL_REGISTERS">MB_CPLD_PL_REGMAP|PL_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GIT_HASH_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file pl_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Git hash of commit used to build this image.<br>
+ Value equals 0xDEADBEEF if the git hash was not used during synthesis.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER|GIT_CLEAN"></a>GIT_CLEAN</span><span class="attr"> </span></p>
+ <p>0x0 in case the git status was clean<br>
+ 0xF in case there were uncommitted changes</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..0</td>
+ <td>
+ <p><span class="name"><a name="PL_CPLD_BASE_REGMAP|GIT_HASH_REGISTER|GIT_HASH"></a>GIT_HASH</span><span class="attr"> </span></p>
+ <p>7 hex digit hash code of the commit</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="PL_CPLD_REGMAP"></a>
+ <h1 class="regmap">PL_CPLD_REGMAP</h1>
+ This register map is available from the PS via AXI and MPM endpoint.
+ Its size is 128K (17 bits). Only the 17 LSBs are used as address in this documentation.
+ <div class="group"><a name="PL_CPLD_REGMAP|PL_CPLD_WINDOWS"></a><h2 class="group">PL_CPLD_WINDOWS</h2>
+
+ <div class="register">
+ <a name="PL_CPLD_REGMAP|BASE"></a>
+
+<h3 class="register">Offset 0x0000: BASE Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#CPLD_INTERFACE_REGMAP">CPLD_INTERFACE_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_REGMAP|BASE_in')">(<span id="show_PL_CPLD_REGMAP|BASE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_REGMAP|BASE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">BASE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x40 (64 bytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000080000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file cpld_interface.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_REGMAP|MB_CPLD"></a>
+
+<h3 class="register">Offset 0x8000: MB_CPLD Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#MB_CPLD_PL_REGMAP">MB_CPLD_PL_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_REGMAP|MB_CPLD_in')">(<span id="show_PL_CPLD_REGMAP|MB_CPLD_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_REGMAP|MB_CPLD_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MB_CPLD</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x8000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x8000 (32 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000088000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file cpld_interface.v.</p>
+
+</div>
+
+<div class="info">
+
+All registers of the MB CPLD (PL part).
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_REGMAP|DB0_CPLD"></a>
+
+<h3 class="register">Offset 0x10000: DB0_CPLD Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_REGMAP|DB0_CPLD_in')">(<span id="show_PL_CPLD_REGMAP|DB0_CPLD_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_REGMAP|DB0_CPLD_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DB0_CPLD</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x10000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x8000 (32 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000090000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file cpld_interface.v.</p>
+
+</div>
+
+<div class="info">
+
+All registers of the first DB CPLD. Register map will be added later on.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PL_CPLD_REGMAP|DB1_CPLD"></a>
+
+<h3 class="register">Offset 0x18000: DB1_CPLD Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PL_CPLD_REGMAP|DB1_CPLD_in')">(<span id="show_PL_CPLD_REGMAP|DB1_CPLD_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_CPLD_REGMAP|DB1_CPLD_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|MPM_ENDPOINT">AXI_HPM0_REGMAP|MPM_ENDPOINT</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000080000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DB1_CPLD</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x18000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x8000 (32 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000098000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file cpld_interface.v.</p>
+
+</div>
+
+<div class="info">
+
+All registers of the second DB CPLD. Register map will be added later on.
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="PL_DMA_MASTER_REGMAP"></a>
+ <h1 class="regmap">PL_DMA_MASTER_REGMAP</h1>
+ This is a regmap to document the different ports that have access to the PS system memory.
+ Each port may have different restrictions on system memory. See the corresponding window
+ for details
+ <div class="group"><a name="PL_DMA_MASTER_REGMAP|HPC0_DMA"></a><h2 class="group">HPC0_DMA</h2>
+
+ <div class="register">
+ <a name="PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW"></a>
+
+<h3 class="register">Offset 0x0000: AXI_HPC0_WINDOW Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target port = <a href="#X4XX_FPGA|ARM_S_AXI_HPC0">X4XX_FPGA|ARM_S_AXI_HPC0</a></p>
+ <a class="sh_addrs" href="javascript:sa('PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW_in')">(<span id="show_PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AXI_HPC0_WINDOW</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000000000 (1024 Gbytes)</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+The HPC0 port of the PS is used for general purpose cache-coherent accesses
+ to the PS system memory. Different applications may use it for different
+ purposes. Its access is configured as follows: <br>
+ <table border="1">
+ <tr><th>Offset</th> <th>Size</th> <th>Description</th><tr>
+ <tr><td>0x000800000000</td><td>0x000800000000</td><td>DDR_HIGH</td><tr>
+ <tr><td>0x00000000</td> <td>0x80000000</td> <td>DDR_LOW</td><tr>
+ <tr><td>0xFF000000</td> <td>0x01000000</td> <td>LPS_OCM</td><tr>
+ <tr><td>0xC0000000</td> <td>0x20000000</td> <td>QSPI</td><tr>
+ </table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="PL_DMA_MASTER_REGMAP|HPC1_DMA"></a><h2 class="group">HPC1_DMA</h2>
+
+ <div class="register">
+ <a name="PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW"></a>
+
+<h3 class="register">Offset 0x0000: AXI_HPC1_WINDOW Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target port = <a href="#X4XX_FPGA|ARM_S_AXI_HPC1">X4XX_FPGA|ARM_S_AXI_HPC1</a></p>
+ <a class="sh_addrs" href="javascript:sa('PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW_in')">(<span id="show_PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">AXI_HPC1_WINDOW</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x1000000000 (64 Gbytes)</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+The HPC1 port of the PS is connected to the Ethernet DMA module. Three slave
+ interfaces are lumped together in this window: scatter-gather, dma-rx, and dma-tx.
+ Its access is configured as follows: <br>
+ <table border="1">
+ <tr><th>Offset</th> <th>Size</th> <th>Description</th><tr>
+ <tr><td>0x000800000000</td><td>0x000800000000</td><td>DDR_HIGH</td><tr>
+ <tr><td>0x00000000</td> <td>0x80000000</td> <td>DDR_LOW</td><tr>
+ <tr><td>0xC0000000</td> <td>0x20000000</td> <td>QSPI</td><tr>
+ </table>
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="PS_CPLD_BASE_REGMAP"></a>
+ <h1 class="regmap">PS_CPLD_BASE_REGMAP</h1>
+
+ <div class="group"><a name="PS_CPLD_BASE_REGMAP|DIO_REGS"></a><h2 class="group">DIO_REGS</h2>
+ Registers to control the GPIO buffer direction on the DIO board connected to the FPGA.
+ Make sure the GPIO lines between FPGA and GPIO board are not driven by two drivers.
+ Set the direction in the FPGA's DIO register appropriately.
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER"></a>
+
+<h3 class="register">Offset 0x0030: DIO_DIRECTION_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DIO_DIRECTION_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0030</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000000
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Set the direction of FPGA buffer connected to DIO ports on the DIO board.<br/>
+ Each bit represents one signal line. 0 = line is an input to the FPGA, 1 = line is an output driven by the FPGA.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..16</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER|DIO_DIRECTION_B"></a>DIO_DIRECTION_B</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|DIO_DIRECTION_REGISTER|DIO_DIRECTION_A"></a>DIO_DIRECTION_A</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="PS_CPLD_BASE_REGMAP|PS_CMI_REGS"></a><h2 class="group">PS_CMI_REGS</h2>
+ Cable present status register.
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|SERIAL_NUM_LOW_REG"></a>
+
+<h3 class="register">Offset 0x0034: SERIAL_NUM_LOW_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|SERIAL_NUM_LOW_REG_in')">(<span id="show_PS_CPLD_BASE_REGMAP|SERIAL_NUM_LOW_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|SERIAL_NUM_LOW_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SERIAL_NUM_LOW_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0034</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Least significant bytes of 5 byte serial number.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|SERIAL_NUM_HIGH_REG"></a>
+
+<h3 class="register">Offset 0x0038: SERIAL_NUM_HIGH_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|SERIAL_NUM_HIGH_REG_in')">(<span id="show_PS_CPLD_BASE_REGMAP|SERIAL_NUM_HIGH_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|SERIAL_NUM_HIGH_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SERIAL_NUM_HIGH_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0038</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Most significant byte of 5 byte serial number.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS"></a>
+
+<h3 class="register">Offset 0x003C: CMI_CONTROL_STATUS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS_in')">(<span id="show_PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CMI_CONTROL_STATUS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x003C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x00003C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control CMI communication and delivers information on the CMI link status.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31r</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS|OTHER_SIDE_DETECTED"></a>OTHER_SIDE_DETECTED</span><span class="attr"> </span></p>
+ <p>1 if an upstream CMI device has been detected.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">30..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|CMI_CONTROL_STATUS|CMI_READY"></a>CMI_READY</span><span class="attr"> </span></p>
+ <p>Set if the device is ready to establish a PCI-Express link (affects CMI_CLP_READY bit).</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="PS_CPLD_BASE_REGMAP|PS_CONTROL_REGS"></a><h2 class="group">PS_CONTROL_REGS</h2>
+ Register Map to control MB CPLD functions.
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER"></a>
+
+<h3 class="register">Offset 0x0020: PL_DB_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|PL_DB_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|PL_DB_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|PL_DB_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">PL_DB_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0020</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Register to control the PL part DB SPI connection and reset generation.
+ The DB connection is clocked with PLL reference clock. Ensure this clock is stable
+ and enabled before starting any SPI request.
+ The PLL reference clock can be disabled if both DB connections are disabled or inactive.
+ To enable the DB connection, enable clock with one write access and release
+ reset with the next write access.
+ To disable the DB connection, assert reset with one write access and
+ disable clocks with the next write access.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..22</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">21w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ASSERT_RESET_DB1"></a>ASSERT_RESET_DB1</span><span class="attr"> </span></p>
+ <p>Writing with this flag set asserts reset for DB 1 (overrides <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|RELEASE_RESET_DB1">RELEASE_RESET_DB1</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">20w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ASSERT_RESET_DB0"></a>ASSERT_RESET_DB0</span><span class="attr"> </span></p>
+ <p>Writing with this flag set asserts reset for DB 0 (overrides <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|RELEASE_RESET_DB0">RELEASE_RESET_DB0</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">19..18</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">17w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|RELEASE_RESET_DB1"></a>RELEASE_RESET_DB1</span><span class="attr"> </span></p>
+ <p>Writing with this flag set releases DB 1 reset. (may be overwritten by <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ASSERT_RESET_DB1">ASSERT_RESET_DB1</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|RELEASE_RESET_DB0"></a>RELEASE_RESET_DB0</span><span class="attr"> </span></p>
+ <p>Writing with this flag set releases DB 0 reset. (may be overwritten by <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ASSERT_RESET_DB0">ASSERT_RESET_DB0</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">14w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DISABLE_PLL_REF_CLOCK"></a>DISABLE_PLL_REF_CLOCK</span><span class="attr"> </span></p>
+ <p>Writing with this flag set disables the PLL reference clock (overrides <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ENABLE_PLL_REF_CLOCK">ENABLE_PLL_REF_CLOCK</a>). Assert this flag to reconfigure the clock.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">13w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DISABLE_CLOCK_DB1"></a>DISABLE_CLOCK_DB1</span><span class="attr"> </span></p>
+ <p>Writing with this flag set disables DB 1 clock forwarding (overrides <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ENABLE_CLOCK_DB1">ENABLE_CLOCK_DB1</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">12w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DISABLE_CLOCK_DB0"></a>DISABLE_CLOCK_DB0</span><span class="attr"> </span></p>
+ <p>Writing with this flag set disables DB 0 clock forwarding (overrides <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ENABLE_CLOCK_DB0">ENABLE_CLOCK_DB0</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">10w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ENABLE_PLL_REF_CLOCK"></a>ENABLE_PLL_REF_CLOCK</span><span class="attr"> </span></p>
+ <p>Writing with this flag set enables the PLL reference clock. Assert this flag after PLL reference clock is stable. (may be overwritten by <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DISABLE_PLL_REF_CLOCK">DISABLE_PLL_REF_CLOCK</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ENABLE_CLOCK_DB1"></a>ENABLE_CLOCK_DB1</span><span class="attr"> </span></p>
+ <p>Writing with this flag set enables DB 1 clock forwarding. (may be overwritten by <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DISABLE_CLOCK_DB1">DISABLE_CLOCK_DB1</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8w</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|ENABLE_CLOCK_DB0"></a>ENABLE_CLOCK_DB0</span><span class="attr"> </span></p>
+ <p>Writing with this flag set enables DB 0 clock forwarding. (may be overwritten by <a href="#PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DISABLE_CLOCK_DB0">DISABLE_CLOCK_DB0</a>)</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..6</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5r</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DB1_RESET_ASSERTED"></a>DB1_RESET_ASSERTED</span><span class="attr"> </span></p>
+ <p>Indicates that reset is asserted for DB 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4r</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DB0_RESET_ASSERTED"></a>DB0_RESET_ASSERTED</span><span class="attr"> </span></p>
+ <p>Indicates that reset is asserted for DB 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">2r</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|PLL_REF_CLOCK_ENABLED"></a>PLL_REF_CLOCK_ENABLED</span><span class="attr"> </span></p>
+ <p>Indicates if the PLL reference clock for the PL interface is enabled.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1r</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DB1_CLOCK_ENABLED"></a>DB1_CLOCK_ENABLED</span><span class="attr"> </span></p>
+ <p>Indicates if a clock is forwarded to DB 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0r</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|PL_DB_REGISTER|DB0_CLOCK_ENABLED"></a>DB0_CLOCK_ENABLED</span><span class="attr"> </span></p>
+ <p>Indicates if a clock is forwarded to DB 0.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="PS_CPLD_BASE_REGMAP|PS_CPLD_BASE_REGS"></a><h2 class="group">PS_CPLD_BASE_REGS</h2>
+ Basic registers containing version and capabilites information.
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER"></a>
+
+<h3 class="register">Offset 0x0000: SIGNATURE_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SIGNATURE_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Contains the product's signature.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|SIGNATURE_REGISTER|PRODUCT_SIGNATURE"></a>PRODUCT_SIGNATURE</span><span class="attr"> </span></p>
+ <p>Fixed value PS_CPLD_SIGNATURE of <a href="#CONSTANTS_REGMAP">CONSTANTS_REGMAP</a></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|REVISION_REGISTER"></a>
+
+<h3 class="register">Offset 0x0004: REVISION_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|REVISION_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|REVISION_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|REVISION_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">REVISION_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Contains the CPLD revision (see CPLD_REVISION of <a href="#CONSTANTS_REGMAP">CONSTANTS_REGMAP</a>).
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_YY"></a>REVISION_YY</span><span class="attr"> </span></p>
+ <p>Contains revision year code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_MM"></a>REVISION_MM</span><span class="attr"> </span></p>
+ <p>Contains revision month code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_DD"></a>REVISION_DD</span><span class="attr"> </span></p>
+ <p>Contains revision day code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|REVISION_REGISTER|REVISION_HH"></a>REVISION_HH</span><span class="attr"> </span></p>
+ <p>Contains revision hour code.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER"></a>
+
+<h3 class="register">Offset 0x0008: OLDEST_COMPATIBLE_REVISION_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">OLDEST_COMPATIBLE_REVISION_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+This register returns (in YYMMDDHH format) the oldest revision
+ that is still compatible with this one. Compatible means that
+ registers or register bits may have been added, but not
+ modified or deleted (see OLDEST_CPLD_REVISION of <a href="#CONSTANTS_REGMAP">CONSTANTS_REGMAP</a>).
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_YY"></a>OLD_REVISION_YY</span><span class="attr"> </span></p>
+ <p>Contains revision year code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_MM"></a>OLD_REVISION_MM</span><span class="attr"> </span></p>
+ <p>Contains revision month code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_DD"></a>OLD_REVISION_DD</span><span class="attr"> </span></p>
+ <p>Contains revision day code.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|OLDEST_COMPATIBLE_REVISION_REGISTER|OLD_REVISION_HH"></a>OLD_REVISION_HH</span><span class="attr"> </span></p>
+ <p>Contains revision hour code.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|SCRATCH_REGISTER"></a>
+
+<h3 class="register">Offset 0x000C: SCRATCH_REGISTER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|SCRATCH_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|SCRATCH_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|SCRATCH_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SCRATCH_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x00000C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Read/write register for general software use.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER"></a>
+
+<h3 class="register">Offset 0x0010: GIT_HASH_REGISTER Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER_in')">(<span id="show_PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|PS_REGISTERS">MB_CPLD_PS_REGMAP|PS_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GIT_HASH_REGISTER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_cpld_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Git hash of commit used to build this image.<br>
+ Value equals 0xDEADBEEF if the git hash was not used during synthesis.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..28</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER|GIT_CLEAN"></a>GIT_CLEAN</span><span class="attr"> </span></p>
+ <p>0x0 in case the git status was clean<br>
+ 0xF in case there were uncommitted changes</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..0</td>
+ <td>
+ <p><span class="name"><a name="PS_CPLD_BASE_REGMAP|GIT_HASH_REGISTER|GIT_HASH"></a>GIT_HASH</span><span class="attr"> </span></p>
+ <p>7 hex digit hash code of the commit</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="PS_POWER_REGMAP"></a>
+ <h1 class="regmap">PS_POWER_REGMAP</h1>
+
+ <div class="group"><a name="PS_POWER_REGMAP|PS_POWER_REGS"></a><h2 class="group">PS_POWER_REGS</h2>
+ Registers to control power supplies on the motherboard.
+ <div class="register">
+ <a name="PS_POWER_REGMAP|IPASS_POWER_REG"></a>
+
+<h3 class="register">Offset 0x0000: IPASS_POWER_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_POWER_REGMAP|IPASS_POWER_REG_in')">(<span id="show_PS_POWER_REGMAP|IPASS_POWER_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_POWER_REGMAP|IPASS_POWER_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|POWER_REGISTERS">MB_CPLD_PS_REGMAP|POWER_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">IPASS_POWER_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000060
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_power_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Controls the power supplies for the iPass connectors.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31r</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_POWER_FAULT1"></a>IPASS_POWER_FAULT1</span><span class="attr"> </span></p>
+ <p>Asserted signal indicates a power fault in power switch for iPass
+ connector 1. Sticky bit. Asserted on occurrence. Reset using
+ <a href="#PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_CLEAR_POWER_FAULT1">IPASS_CLEAR_POWER_FAULT1</a>.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">31w</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_CLEAR_POWER_FAULT1"></a>IPASS_CLEAR_POWER_FAULT1</span><span class="attr"> </span></p>
+ <p>Clear <a href="#PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_POWER_FAULT1">IPASS_POWER_FAULT1</a>.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">30r</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_POWER_FAULT0"></a>IPASS_POWER_FAULT0</span><span class="attr"> </span></p>
+ <p>Asserted signal indicates a power fault in power switch for iPass
+ connector 0. Sticky bit. Asserted on occurrence. Reset using
+ <a href="#PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_CLEAR_POWER_FAULT0">IPASS_CLEAR_POWER_FAULT0</a>.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">30w</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_CLEAR_POWER_FAULT0"></a>IPASS_CLEAR_POWER_FAULT0</span><span class="attr"> </span></p>
+ <p>Clear <a href="#PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_POWER_FAULT0">IPASS_POWER_FAULT0</a>.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">29..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|IPASS_POWER_REG|IPASS_DISABLE_POWER_BIT"></a>IPASS_DISABLE_POWER_BIT</span><span class="attr"> </span></p>
+ <p>Set to 1 to disable power for both iPass connectors.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="PS_POWER_REGMAP|OSC_POWER_REG"></a>
+
+<h3 class="register">Offset 0x0004: OSC_POWER_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('PS_POWER_REGMAP|OSC_POWER_REG_in')">(<span id="show_PS_POWER_REGMAP|OSC_POWER_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_PS_POWER_REGMAP|OSC_POWER_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|POWER_REGISTERS">MB_CPLD_PS_REGMAP|POWER_REGISTERS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000060</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">OSC_POWER_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000064
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ps_power_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Controls the power supplies for the oscillators.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..2</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|OSC_POWER_REG|OSC_122_88"></a>OSC_122_88</span><span class="attr"> </span></p>
+ <p>Enables 5V power switch for the 122.88 MHz oscillator.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="PS_POWER_REGMAP|OSC_POWER_REG|OSC_100"></a>OSC_100</span><span class="attr"> </span></p>
+ <p>Enables 5V power switch for the 100 MHz oscillator.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="QSFP_REGMAP"></a>
+ <h1 class="regmap">QSFP_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="QSFP_REGMAP|QSFP_WINDOWS"></a><h2 class="group">QSFP_WINDOWS</h2>
+ <div class="xmlpmd">
+<p>Register space for a single QSFP Communication port. This currently breaks into 2 possible configurations</p>
+<ul>
+<li>1X10GB Ethernet - Using OpenCore XGE MAC</li>
+<li>1x100GB Ethernet - Using Xilinx CMAC</li>
+<li>(future possible) - Xilinx Aurora (various rates and lane widths)</li>
+<li>(future possible) - 4X10GB Ethernet</li>
+</ul></div>
+ <div class="register">
+ <a name="QSFP_REGMAP|ETH_DMA"></a>
+
+<h3 class="register">Offset 0x0000: ETH_DMA Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#DMA_REGMAP">DMA_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('QSFP_REGMAP|ETH_DMA_in')">(<span id="show_QSFP_REGMAP|ETH_DMA_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_QSFP_REGMAP|ETH_DMA_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">ETH_DMA</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x4000 (16 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200000000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200010000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200020000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200030000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200040000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200050000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200060000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200070000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="QSFP_REGMAP|NIXGE"></a>
+
+<h3 class="register">Offset 0x8000: NIXGE Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#NIXGE_REGMAP">NIXGE_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('QSFP_REGMAP|NIXGE_in')">(<span id="show_QSFP_REGMAP|NIXGE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_QSFP_REGMAP|NIXGE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">NIXGE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x8000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x2000 (8 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200008000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200018000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200028000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200038000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200048000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200058000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200068000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1200078000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="QSFP_REGMAP|UIO"></a>
+
+<h3 class="register">Offset 0xA000: UIO Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#UIO_REGMAP">UIO_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('QSFP_REGMAP|UIO_in')">(<span id="show_QSFP_REGMAP|UIO_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_QSFP_REGMAP|UIO_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">UIO</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0xA000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x2000 (8 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="QSFP_REGMAP|CMAC"></a>
+
+<h3 class="register">Offset 0xC000: CMAC Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#CMAC_REGMAP">CMAC_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('QSFP_REGMAP|CMAC_in')">(<span id="show_QSFP_REGMAP|CMAC_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_QSFP_REGMAP|CMAC_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CMAC</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0xC000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x2000 (8 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007C000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="RADIO_CTRLPORT_REGMAP"></a>
+ <h1 class="regmap">RADIO_CTRLPORT_REGMAP</h1>
+
+ <div class="group"><a name="RADIO_CTRLPORT_REGMAP|RADIO_CTRLPORT_WINDOWS"></a><h2 class="group">RADIO_CTRLPORT_WINDOWS</h2>
+ Each radio's CtrlPort peripheral interface is divided into the
+ following memory spaces. Note that the CtrlPort peripheral interface
+ starts at offset 0x80000 in the RFNoC Radio block's register space.
+ <div class="register">
+ <a name="RADIO_CTRLPORT_REGMAP|DB_WINDOW"></a>
+
+<h3 class="register">Offset 0x0000: DB_WINDOW Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RADIO_CTRLPORT_REGMAP|DB_WINDOW_in')">(<span id="show_RADIO_CTRLPORT_REGMAP|DB_WINDOW_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RADIO_CTRLPORT_REGMAP|DB_WINDOW_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">DB_WINDOW</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x8000 (32 Kbytes)</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file rfdc_timing_control.v.</p>
+
+</div>
+
+<div class="info">
+
+Daughterboard GPIO interface. Register access within this space
+ is directed to the associated daughterboard CPLD.
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW"></a>
+
+<h3 class="register">Offset 0x8000: RFDC_TIMING_WINDOW Window (R|W)</h3>
+<p class="offset_info">&nbsp;&nbsp;Target regmap = <a href="#RFDC_TIMING_REGMAP">RFDC_TIMING_REGMAP</a></p>
+ <a class="sh_addrs" href="javascript:sa('RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW_in')">(<span id="show_RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RFDC_TIMING_WINDOW</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x8000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x8000 (32 Kbytes)</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file rfdc_timing_control.v.</p>
+
+</div>
+
+<div class="info">
+
+RFDC timing control interface.
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="RECONFIG_REGMAP"></a>
+ <h1 class="regmap">RECONFIG_REGMAP</h1>
+
+ <div class="group"><a name="RECONFIG_REGMAP|RECONFIG_REGS"></a><h2 class="group">RECONFIG_REGS</h2>
+ These registers are used to upload and verify a new primary image to the
+ Max 10 FPGA on-chip flash when configured to support dual configuration
+ images. The steps below outline the process of verifying/preparing the
+ new image to be written, erasing the current image, writing the new
+ image, and verifying the new image was successfully written.
+ <p><b>Prepare the data...</b>
+ <ol><li><p>The Max 10 FPGA build should generate a *cfm0_auto.rpd
+ file The *.rpd file is a "raw programming
+ data" file holding all data related to the
+ configuration image (CFM0). There are two
+ important items to note regarding the addresses.
+ First the *rpd data uses <b>byte</b> addresses.
+ Second, the start/end addresses defined by
+ FLASH_PRIMARY_IMAGE_ADDR_ENUM are 32-bit word addresses</p></li>
+ <li><p>As a sanity check, verify the size of the raw
+ programming data for CFM0 correspond to the address
+ range of FLASH_PRIMARY_IMAGE_ADDR_ENUM. Do this by
+ reading the values from FLASH_CFM0_START_ADDR_REG and
+ FLASH_CFM0_END_ADDR, subtract both values, add one and
+ multiply by four.
+ </p></li>
+ <li><p>Having passed the sanity check the *.rpd data must
+ now be manipulated into the form required by Altera's
+ on-chip flash IP. Two operations must be performed.
+ First the data must be converted from bytes to 32-bit
+ words. Second the bit order must be reversed. This is
+ illustrated in in the following table which shows byte
+ address and data from the *.rpd file compared to the
+ word address and data to be written to the on-chip
+ flash.
+ <table border=1>
+ <tr><td>.Map Addr</td><td>.Map Data</td><td>Flash Addr</td><td>Flash Data</td></tr>
+ <tr><td>0x2B800</td><td>0x01</td><td rowspan=4>0xAC00</td><td rowspan=4>0x8040C020</td></tr>
+ <tr><td>0x2B801</td><td>0x02</td></tr>
+ <tr><td>0x2B802</td><td>0x03</td></tr>
+ <tr><td>0x2B803</td><td>0x04</td></tr>
+ <tr><td>0x2B804</td><td>0x05</td><td rowspan=4>0xAC01</td><td rowspan=4>0xA060E010</td></tr>
+ <tr><td>0x2B805</td><td>0x06</td></tr>
+ <tr><td>0x2B806</td><td>0x07</td></tr>
+ <tr><td>0x2B807</td><td>0x08</td></tr>
+ </table>
+ </p></li>
+ <li><p>The resulting set of flash address data pairs should
+ be used when writing FLASH_ADDR_REG and
+ FLASH_WRITE_DATA_REG to update the CFM0 image.
+ However, prior to writing the new image the old image
+ must be erased.
+ </p></li>
+ </ol>
+ </p>
+ <p><b>Erase the current primary flash image...</b>
+ <ol><p><li>Read FLASH_STATUS_REG and verify no error bits are
+ asserted and that all read, write, and erase operations
+ are idle.</p></li>
+ <p><li>Disable write protection of the flash by strobing the
+ FLASH_DISABLE_WP_STB bit of FLASH_CONTROL_REG.
+ </p></li>
+ <p><li>Verify write protection is disabled and no errors are
+ present by reading FLASH_STATUS_REG.</p></li>
+ <p><li>Initiate the erase operation by setting
+ <a href="#RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_ERASE_SECTOR">FLASH_ERASE_SECTOR</a> and strobing FLASH_ERASE_STB of
+ FLASH_CONTROL_REG.</p></li>
+ <p><li>Poll the FLASH_ERASE_IDLE bit of
+ FLASH_STATUS_REG until it de-asserts indicating the
+ erase operation is complete, then verify the operation
+ was successful by checking that the FLASH_ERASE_ERR
+ bit is de-asserted. Erase operations are expected to
+ take a maximum of 350 msec. Upon completion of the erase
+ operation write protection will remain disabled.
+ </p></li>
+ <p><li>Erase additional sectors as required (see
+ <a href="#RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_ERASE_SECTOR">FLASH_ERASE_SECTOR</a> for details) by restarting with first
+ step.</p></li>
+ </ol>
+ </p>
+ <p><b>Write the new primary flash image...</b>
+ <ol><p><li>Read FLASH_STATUS_REG and verify no error bits are
+ asserted, all read, write, and erase operations are
+ idle, and write protection is disabled.</li>
+ <p><li>Set the target address for the write to the Max 10
+ on-chip flash by writing value from
+ FLASH_CFM0_START_ADDR_REG to FLASH_ADDR_REG.</li></p>
+ <p><li>Set the data to be written to this address by writing
+ the new 32-bit word of the new image to
+ FLASH_WRITE_DATA_REG.</li></p>
+ <p><li>Initiate the write by strobing FLASH_WRITE_STB of
+ FLASH_CONTROL_REG.</li></p>
+ <p><li>Poll the FLASH_WRITE_IDLE bit of
+ FLASH_STATUS_REG until it de-asserts indicating the
+ write operation is complete, then verify the operation
+ was successful by checking that the FLASH_WRITE_ERR
+ bit is de-asserted. Write operations are expected to
+ take a maximum of 550 usec.</li></p>
+ <p><li>Upon completion of the write operation return to step
+ 2, incrementing the target address by one, and writing
+ the next 32-bit word to Max10FlashWriteDatReg. If this
+ was the last write, indicated by writing to
+ FLASH_PRIMARY_IMAGE_END_ADDR, proceed to the next step
+ to enable write protection.</li></p>
+ <p><li>After writing the new image enable write protection
+ by strobing the FLASH_ENABLE_WP_STB bit of
+ FLASH_CONTROL_REG.</li></p>
+ </ol>
+ </p>
+ <p><b>Verify the new primary flash image...</b>
+ <ol><p><li>Read FLASH_STATUS_REG and verify no error bits are
+ asserted and that all read, write, and erase operations
+ are idle.</li></p>
+ <p><li>Set the target address for the read in the Max 10
+ on-chip flash by writing value from
+ FLASH_CFM0_START_ADDR_REG to FLASH_ADDR_REG.</li></p>
+ <p><li>Initiate the read by strobing FLASH_READ_STB of
+ FLASH_CONTROL_REG.</li></p>
+ <p><li>Poll the FLASH_READ_IDLE bit of
+ FLASH_STATUS_REG until it de-asserts indicating the
+ read operation is complete, then verify the operation
+ was successful by checking that the FLASH_READ_ERR
+ bit is de-asserted. There is no guidance on exactly how
+ long reads take to complete, but they are expected to be
+ fairly quick. A very conservative timeout on this
+ polling would be similar to that used for write
+ operations.</li></p>
+ <p><li>Upon completion of the read operation the resulting
+ data returned by the on-chip flash will be available in
+ Max10FlashReadDatReg. Read this register, compare to
+ expected value previously written, and ensure they
+ match.</li></p>
+ <p><li>Return to step 2, incrementing the target
+ address by one. If this was the last read verification
+ is complete and no further action is required.</li></p>
+ </ol>
+ </p>
+ <p>After the flash has been erased, programmed, and verified, a power
+ cycle is required for the new image to become active.
+ </p>
+ <div class="enum">
+ <a name="RECONFIG_REGMAP|FLASH_PRIMARY_IMAGE_ADDR_ENUM"></a>
+
+<h3 class="enum">FLASH_PRIMARY_IMAGE_ADDR_ENUM Enumeration</h3>
+Those values are the start and end address of the CFM image flash
+ sector from Intel's On-Chip Flash IP Generator. Note that the values
+ given in the IP generator are byte based where the values of this enum
+ are U32 based (divided by 4).
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>4096</td>
+
+ <td class='l'>0x01000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RECONFIG_REGMAP|FLASH_PRIMARY_IMAGE_ADDR_ENUM|FLASH_PRIMARY_IMAGE_START_ADDR_MEM_INIT'></a>FLASH_PRIMARY_IMAGE_START_ADDR_MEM_INIT</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>39936</td>
+
+ <td class='l'>0x09C00</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RECONFIG_REGMAP|FLASH_PRIMARY_IMAGE_ADDR_ENUM|FLASH_PRIMARY_IMAGE_START_ADDR'></a>FLASH_PRIMARY_IMAGE_START_ADDR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>75775</td>
+
+ <td class='l'>0x127FF</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RECONFIG_REGMAP|FLASH_PRIMARY_IMAGE_ADDR_ENUM|FLASH_PRIMARY_IMAGE_END_ADDR'></a>FLASH_PRIMARY_IMAGE_END_ADDR</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file reconfig_engine.v.
+ </p>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x0000: FLASH_STATUS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_STATUS_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000040
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..17</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_MEM_INIT_ENABLED"></a>FLASH_MEM_INIT_ENABLED</span><span class="attr"> </span></p>
+ <p>This bit is asserted when the flash can hold an image with memory
+ initialization.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..14</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">13</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_WRITE_ERR"></a>FLASH_WRITE_ERR</span><span class="attr"> </span></p>
+ <p>This bit is asserted when write operation fails. Clear this error
+ by strobing the CLEAR_FLASH_WRITE_ERROR_STB bit of this register. In
+ the event of a write error...
+ <li><b>the primary configuration image may be corrupted,</b> and
+ power cycling the board may result unknown behavior.</li>
+ <li>write protection of the flash will automatically be
+ re-enabled.</li>
+ <li>attempts to disable write protection will be ignored.</li>
+ <li>attempts to read/write/erase the flash will be ignored.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">12</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_WRITE_IDLE"></a>FLASH_WRITE_IDLE</span><span class="attr"> </span></p>
+ <p>This bit is de-asserted when a write operation is in progress. Poll
+ this bit after strobing the FLASH_WRITE_STB bit of
+ FLASH_CONTROL_REG to determine when the write operation has
+ completed, then check the FLASH_WRITE_ERR bit to verify the
+ operation was successful.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..10</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_ERASE_ERR"></a>FLASH_ERASE_ERR</span><span class="attr"> </span></p>
+ <p>This bit is asserted when an erase operation fails. Clear this
+ error by strobing CLEAR_FLASH_ERASE_ERROR_STB of this register. In
+ the event of an erase error...
+ <li><b>the primary configuration image may be corrupted,</b> and
+ power cycling the board may result in unknown behavior.</li>
+ <li>write protection of the flash will automatically be
+ re-enabled.</li>
+ <li>attempts to disable write protection will be ignored.</li>
+ <li>attempts to read/write/erase the flash will be ignored.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_ERASE_IDLE"></a>FLASH_ERASE_IDLE</span><span class="attr"> </span></p>
+ <p>This bit is de-asserted when an erase operation is in progress. Poll
+ this bit after strobing the FLASH_ERASE_STB bit of
+ FLASH_CONTROL_REG to determine when the erase operation has
+ completed, then check the FLASH_ERASE_ERR bit to verify the
+ operation was successful.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..6</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_READ_ERR"></a>FLASH_READ_ERR</span><span class="attr"> </span></p>
+ <p>This bit is asserted when a read operation fails. Clear this error
+ by strobing the CLEAR_FLASH_READ_ERROR_STB of this register. In the
+ event of a read error...
+ <li>the data in FLASH_READ_DATA_REG is invalid.</li>
+ <li>attempts to disable write protection will be ignored.</li>
+ <li>attempts to read/write/erase the flash will be ignored.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_READ_IDLE"></a>FLASH_READ_IDLE</span><span class="attr"> </span></p>
+ <p>This bit is de-asserted when a read operation is in progress. Poll
+ this bit after strobing the FLASH_READ_STB bit of
+ FLASH_CONTROL_REG to determine when the read operation has
+ completed, then check the FLASH_READ_ERR bit to verify the
+ operation was successful.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_WP_ENABLED"></a>FLASH_WP_ENABLED</span><span class="attr"> </span></p>
+ <p>This bit is asserted when the flash is write protected and
+ de-asserted when write protection is disabled.
+ <li>Write protection must be enabled prior to performing read
+ operations.</li>
+ <li>Write protection must be disabled prior to performing write and
+ erase operations.</li></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_CONTROL_REG"></a>
+
+<h3 class="register">Offset 0x0004: FLASH_CONTROL_REG Register (W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_CONTROL_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_CONTROL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_CONTROL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_CONTROL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000044
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..11</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">10w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|CLEAR_FLASH_ERASE_ERROR_STB"></a>CLEAR_FLASH_ERASE_ERROR_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to clear an erase error.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|CLEAR_FLASH_WRITE_ERROR_STB"></a>CLEAR_FLASH_WRITE_ERROR_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to clear a write error.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|CLEAR_FLASH_READ_ERROR_STB"></a>CLEAR_FLASH_READ_ERROR_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to clear a read error.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..5w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_ERASE_SECTOR"></a>FLASH_ERASE_SECTOR</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Defines the sector to be erased. Has to be set latest with the
+ write access which starts the erase operation by strobing
+ <a href="#RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_ERASE_STB">FLASH_ERASE_STB</a>.<br>
+ If the flash is configured to support memory initialization (see
+ <a href="#RECONFIG_REGMAP|FLASH_STATUS_REG|FLASH_MEM_INIT_ENABLED">FLASH_MEM_INIT_ENABLED</a> flag) the sectors 2 to 4 have to be erased.
+ If the flag is not asserted only sector 4 has to be erased.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_ERASE_STB"></a>FLASH_ERASE_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to erase the primary Max10 configuration image
+ (CFM0).
+ <li>Prior to strobing this bit verify no other write or erase
+ operations are in progress, write protection is disabled, and no
+ error bits are asserted by reading FLASH_STATUS_REG.</li>
+ <li>Attempts to erase the primary image while other write or erase
+ operations are in progress will be ignored.
+ <li>Attempts to erase the primary image when write protection is
+ enabled will be ignored.</li>
+ <li>Strobing this bit and FLASH_WRITE_STB simultaneously will
+ result both the erase and the write operation being ignored, both
+ corresponding error bits being set, and write protection being
+ re-enabled.</li>
+ <li>After strobing this bit poll the FLASH_ERASE_IDLE and
+ FLASH_ERASE_ERR bits of FLASH_STATUS_REG to determine when
+ the erase operation is complete and if it was successful.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_WRITE_STB"></a>FLASH_WRITE_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to write the data contained in
+ FLASH_WRITE_DATA_REG to the flash address identified in
+ FLASH_ADDR_REG.
+ <li>The flash must be erased before writing new data.</li>
+ <li>Prior to strobing this bit verify write protection is
+ disabled, no other write or erase operations are in progress, and
+ no error bits are asserted by reading FLASH_STATUS_REG.</li>
+ <li>Attempts to write data while other write or erase operations
+ are in progress will be ignored.</li>
+ <li>Attempts to write data with write protection enabled will be
+ ignored.</li>
+ <li>Strobing this bit and FLASH_ERASE_STB simultaneously will
+ result in both the write and erase operation being ignored,
+ both corresponding error bits being set, and write protection
+ being re-enabled.</li>
+ <li>After strobing this bit poll theMax10FlashWriteIdle and
+ FLASH_WRITE_ERR bits of FLASH_STATUS_REG to determine when
+ the write operation is complete and if it was successful.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">2w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_READ_STB"></a>FLASH_READ_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to read data from the flash address identified in
+ FLASH_ADDR_REG.
+ <li>Prior to strobing this bit verify no read, write, or erase
+ operations are in progress, no error bits are asserted, and
+ write protection is enabled by reading FLASH_STATUS_REG.</li>
+ <li>Attempts to read data while other operations are in progress
+ or while write protection is disabled will be ignored.</li>
+ <li>After strobing this bit poll the FLASH_READ_IDLE and
+ FLASH_READ_ERR bits of FLASH_STATUS_REG to determine when
+ the read operation is complete and if it was successful.</li>
+ <li>Upon successful completion the data read from flash will be
+ available in FLASH_READ_DATA_REG.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_DISABLE_WP_STB"></a>FLASH_DISABLE_WP_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to disable write protection to the section of the
+ Max 10 on-chip flash storing the primary configuration image
+ (CFM0).
+ <li>Read the FLASH_WP_ENABLED bit of FLASH_STATUS_REG to
+ determine the current state of write protection.</li>
+ <li>Prior to strobing this bit verify no read operations are in
+ progress and no error bits are asserted by reading
+ FLASH_STATUS_REG.</li>
+ <li>Attempts to disable write protection while a read is in
+ progress will be ignored.</li>
+ <li>Attempts to disable write protection will be ignored if
+ this bit is strobed simultaneously with either FLASH_READ_STB
+ or FLASH_ENABLE_WP_STB.</li>
+ <li>Write protection must be disabled prior to performing erase or
+ write operations.</li>
+ <li>Upon completion of erase/write operations write protection
+ will remain disabled. When not actively erasing or writing a new
+ image write protection should be enabled to avoid data
+ corruption.</li></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CONTROL_REG|FLASH_ENABLE_WP_STB"></a>FLASH_ENABLE_WP_STB</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Strobe this bit to enable write protection to the section of the
+ Max 10 on-chip flash storing the primary configuration image
+ (CFM0).
+ <li>Read the FLASH_WP_ENABLED bit of FLASH_STATUS_REG to
+ determine the current state of write protection.</li>
+ <li>Prior to strobing this bit verify no write or erase operations
+ are in progress and no error bits are asserted by reading
+ FLASH_STATUS_REG.</li>
+ <li>Attempts to enable write protection while erase or write
+ operations are in progress will be ignored.</li>
+ <li>Write protection must be enabled prior to performing
+ read operations.</li>
+ <li>Write protection should be enabled after completing
+ write or erase operations to prevent data corruption.</li></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_ADDR_REG"></a>
+
+<h3 class="register">Offset 0x0008: FLASH_ADDR_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_ADDR_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_ADDR_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_ADDR_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_ADDR_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000048
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..17</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16..0</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_ADDR_REG|FLASH_ADDR"></a>FLASH_ADDR</span><span class="attr"> </span></p>
+ <p>This field holds the target address for the next read or
+ write operation. Set this field prior to strobing the
+ FLASH_WRITE_STB and FLASH_READ_STB bits of
+ FLASH_CONTROL_REG. Valid addresses are defined by the
+ FLASH_PRIMARY_IMAGE_ADDR_ENUM enumeration.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_WRITE_DATA_REG"></a>
+
+<h3 class="register">Offset 0x000C: FLASH_WRITE_DATA_REG Register (W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_WRITE_DATA_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_WRITE_DATA_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_WRITE_DATA_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_WRITE_DATA_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x00004C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0w</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_WRITE_DATA_REG|FLASH_WRITE_DATA"></a>FLASH_WRITE_DATA</span><span class="attr"> </span></p>
+ <p>Data in this register will be written to the flash at the address
+ identified in FLASH_ADDR_REG when a successful write operation
+ is executed.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_READ_DATA_REG"></a>
+
+<h3 class="register">Offset 0x0010: FLASH_READ_DATA_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_READ_DATA_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_READ_DATA_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_READ_DATA_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_READ_DATA_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000050
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_READ_DATA_REG|FLASH_READ_DATA"></a>FLASH_READ_DATA</span><span class="attr"> </span></p>
+ <p>This register contains data read from the flash address identified
+ in FLASH_ADDR_REG after a successful read operation is executed.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG"></a>
+
+<h3 class="register">Offset 0x0014: FLASH_CFM0_START_ADDR_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_CFM0_START_ADDR_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0014</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000054
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CFM0_START_ADDR_REG|FLASH_CFM0_START_ADDR"></a>FLASH_CFM0_START_ADDR</span><span class="attr"> </span></p>
+ <p>Start address of CFM0 image within flash memory (as defined in FLASH_PRIMARY_IMAGE_ADDR_ENUM).</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG"></a>
+
+<h3 class="register">Offset 0x0018: FLASH_CFM0_END_ADDR_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG_in')">(<span id="show_RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_SPI1_CS3">ARM_SPI1_CS3</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#MB_CPLD_PS_REGMAP|RECONFIG">MB_CPLD_PS_REGMAP|RECONFIG</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000040</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FLASH_CFM0_END_ADDR_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0018</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x000058
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file reconfig_engine.v.</p>
+
+</div>
+
+<div class="info">
+
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..0</td>
+ <td>
+ <p><span class="name"><a name="RECONFIG_REGMAP|FLASH_CFM0_END_ADDR_REG|FLASH_CFM0_END_ADDR"></a>FLASH_CFM0_END_ADDR</span><span class="attr"> </span></p>
+ <p>Last address of CFM0 image within flash memory (as defined in FLASH_PRIMARY_IMAGE_ADDR_ENUM).</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="RFDC_REGS_REGMAP"></a>
+ <h1 class="regmap">RFDC_REGS_REGMAP</h1>
+
+ <div class="group"><a name="RFDC_REGS_REGMAP|RFDC_REGS"></a><h2 class="group">RFDC_REGS</h2>
+ These are the registers located within the RFDC block design
+ that provide control and status support for the RF chain.
+ <div class="enum">
+ <a name="RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM"></a>
+
+<h3 class="enum">FABRIC_DSP_BW_ENUM Enumeration</h3>
+
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_NONE'></a>FABRIC_DSP_BW_NONE</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>100</td>
+
+ <td class='l'>0x064</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_100M'></a>FABRIC_DSP_BW_100M</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>200</td>
+
+ <td class='l'>0x0C8</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_200M'></a>FABRIC_DSP_BW_200M</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>400</td>
+
+ <td class='l'>0x190</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_400M'></a>FABRIC_DSP_BW_400M</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|MMCM"></a>
+
+<h3 class="register">Offset 0x0000: MMCM Window (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|MMCM_in')">(<span id="show_RFDC_REGS_REGMAP|MMCM_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|MMCM_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MMCM</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;size=0x10000 (64 Kbytes)</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000140000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">This window is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Register space for controlling the data clock MMCM instance
+ within the RFDC block design.
+ Refer to Xilinx' Clocking Wizard v6.0 Product Guide for the
+ regiter space description in chapter 2.
+ (https://www.xilinx.com/support/documentation/ip_documentation/clk_wiz/v6_0/pg065-clk-wiz.pdf)
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|INVERT_IQ_REG"></a>
+
+<h3 class="register">Offset 0x10000: INVERT_IQ_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|INVERT_IQ_REG_in')">(<span id="show_RFDC_REGS_REGMAP|INVERT_IQ_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|INVERT_IQ_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">INVERT_IQ_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x10000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000150000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control register for inverting I/Q data.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_DAC3_IQ"></a>INVERT_DB1_DAC3_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">14</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_DAC2_IQ"></a>INVERT_DB1_DAC2_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">13</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_DAC1_IQ"></a>INVERT_DB1_DAC1_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">12</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_DAC0_IQ"></a>INVERT_DB1_DAC0_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_DAC3_IQ"></a>INVERT_DB0_DAC3_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">10</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_DAC2_IQ"></a>INVERT_DB0_DAC2_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_DAC1_IQ"></a>INVERT_DB0_DAC1_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_DAC0_IQ"></a>INVERT_DB0_DAC0_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_ADC3_IQ"></a>INVERT_DB1_ADC3_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">6</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_ADC2_IQ"></a>INVERT_DB1_ADC2_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_ADC1_IQ"></a>INVERT_DB1_ADC1_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB1_ADC0_IQ"></a>INVERT_DB1_ADC0_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_ADC3_IQ"></a>INVERT_DB0_ADC3_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">2</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_ADC2_IQ"></a>INVERT_DB0_ADC2_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_ADC1_IQ"></a>INVERT_DB0_ADC1_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|INVERT_IQ_REG|INVERT_DB0_ADC0_IQ"></a>INVERT_DB0_ADC0_IQ</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|MMCM_RESET_REG"></a>
+
+<h3 class="register">Offset 0x11000: MMCM_RESET_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|MMCM_RESET_REG_in')">(<span id="show_RFDC_REGS_REGMAP|MMCM_RESET_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|MMCM_RESET_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">MMCM_RESET_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x11000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000151000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control register for resetting the data clock MMCM.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|MMCM_RESET_REG|RESET_MMCM"></a>RESET_MMCM</span><span class="attr"> </span></p>
+ <p>Write a '1' to this bit to reset the MMCM. Then write a
+ '0' to place the MMCM out of reset.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG"></a>
+
+<h3 class="register">Offset 0x12000: RF_RESET_CONTROL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG_in')">(<span id="show_RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RF_RESET_CONTROL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x12000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000152000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Control register for the RF reset controller.
+ Verify the FSM ID before polling starting any reset sequence.
+ To use the SW reset triggers: Wait until DB*_DONE is de-asserted.
+ Assert either the *_RESET or *_ENABLE bitfields.
+ Wait until DB*_DONE is asserted to release the trigger.
+ The DB*_DONE signal should then de-assert.<BR/>
+ <b>Note: The *_DB1 constants are not used in the HDL, their purpose is
+ merely for documentation.</b>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..10</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG|DAC_ENABLE"></a>DAC_ENABLE</span><span class="attr"> </span></p>
+ <p>Write a '1' to this bit to trigger the enable sequence for
+ the daughterboard 0 DAC chain. Write a '0' once
+ db0_dac_seq_done is asserted.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG|DAC_RESET"></a>DAC_RESET</span><span class="attr"> </span></p>
+ <p>Write a '1' to this bit to trigger a reset for the
+ daughterboard 0 DAC chain. Write a '0' once db0_dac_seq_done
+ is asserted.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..6</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG|ADC_ENABLE"></a>ADC_ENABLE</span><span class="attr"> </span></p>
+ <p>Write a '1' to this bit to trigger the enable sequence for
+ the daughterboard 0 ADC chain. Write a '0' once
+ db0_adc_seq_done is asserted.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG|ADC_RESET"></a>ADC_RESET</span><span class="attr"> </span></p>
+ <p>Write a '1' to this bit to trigger a reset for the
+ daughterboard 0 ADC chain. Write a '0' once db0_adc_seq_done
+ is asserted.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_CONTROL_REG|FSM_RESET"></a>FSM_RESET</span><span class="attr"> </span></p>
+ <p>Write a '1' to this bit to reset the RF reset controller.
+ Write a '0' once db0_fsm_reset_done asserts.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|RF_RESET_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x12008: RF_RESET_STATUS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|RF_RESET_STATUS_REG_in')">(<span id="show_RFDC_REGS_REGMAP|RF_RESET_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|RF_RESET_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RF_RESET_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x12008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000152008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Status register for the RF reset controller.
+ Verify the FSM ID before polling starting any reset sequence.
+ Refer to RF_RESET_CONTROL_REG for instructions on how to use
+ the status bits in this register.<BR/>
+ <b>Note: The *_DB1 constants are not used in the HDL, their purpose is
+ merely for documentation.</b>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_STATUS_REG|DAC_SEQ_DONE"></a>DAC_SEQ_DONE</span><span class="attr"> </span></p>
+ <p>This bit asserts ('1') when the DB0 DAC chain reset sequence
+ is completed. The bitfield deasserts ('0') after
+ deasserting the issued triggered (enable or reset).</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">10..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_STATUS_REG|ADC_SEQ_DONE"></a>ADC_SEQ_DONE</span><span class="attr"> </span></p>
+ <p>This bit asserts ('1') when the DB0 ADC chain reset sequence
+ is completed. The bitfield deasserts ('0') after
+ deasserting the issued triggered (enable or reset).</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">6..4</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_RESET_STATUS_REG|FSM_RESET_DONE"></a>FSM_RESET_DONE</span><span class="attr"> </span></p>
+ <p>This bit asserts ('1') when the DB0 RF reset controller FSM
+ reset sequence is completed. The bitfield deasserts ('0')
+ after deasserting db0_fsm_reset.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">2..0</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x13000: RF_AXI_STATUS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|RF_AXI_STATUS_REG_in')">(<span id="show_RFDC_REGS_REGMAP|RF_AXI_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|RF_AXI_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RF_AXI_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x13000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000153000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Status register for the RF AXI-Stream interfaces.<BR/>
+ <b>Note: The *_DB1 constants are not used in the HDL, their purpose is
+ merely for documentation.</b>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..30</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|USER_ADC_TREADY_DB1"></a>USER_ADC_TREADY_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the user's ADC (DB1) AXI-Stream
+ TReady handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">29..28</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|USER_ADC_TVALID_DB1"></a>USER_ADC_TVALID_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the user's ADC (DB1) AXI-Stream
+ TValid handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..26</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_I_TVALID_DB1"></a>RFDC_ADC_I_TVALID_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+ TValid handshake signals (I portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">25..24</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_Q_TVALID_DB1"></a>RFDC_ADC_Q_TVALID_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+ TValid handshake signals (Q portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..22</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_I_TREADY_DB1"></a>RFDC_ADC_I_TREADY_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+ TReady handshake signals (I portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">21..20</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_Q_TREADY_DB1"></a>RFDC_ADC_Q_TREADY_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+ TReady handshake signals (Q portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">19..18</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_DAC_TVALID_DB1"></a>RFDC_DAC_TVALID_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's DAC (DB1) AXI-Stream
+ TValid handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">17..16</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_DAC_TREADY_DB1"></a>RFDC_DAC_TREADY_DB1</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's DAC (DB1) AXI-Stream
+ TReady handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..14</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|USER_ADC_TREADY"></a>USER_ADC_TREADY</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the user's ADC (DB0) AXI-Stream
+ TReady handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">13..12</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|USER_ADC_TVALID"></a>USER_ADC_TVALID</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the user's ADC (DB0) AXI-Stream
+ TValid handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..10</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_I_TVALID"></a>RFDC_ADC_I_TVALID</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+ TValid handshake signals (I portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9..8</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_Q_TVALID"></a>RFDC_ADC_Q_TVALID</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+ TValid handshake signals (Q portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..6</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_I_TREADY"></a>RFDC_ADC_I_TREADY</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+ TReady handshake signals (I portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5..4</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_ADC_Q_TREADY"></a>RFDC_ADC_Q_TREADY</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+ TReady handshake signals (Q portion). The LSB is channel 0
+ and the MSB is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3..2</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_DAC_TVALID"></a>RFDC_DAC_TVALID</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's DAC (DB0) AXI-Stream
+ TValid handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1..0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_AXI_STATUS_REG|RFDC_DAC_TREADY"></a>RFDC_DAC_TREADY</span><span class="attr"> </span></p>
+ <p>This bitfield is wired to the RFDC's DAC (DB0) AXI-Stream
+ TReady handshake signals. The LSB is channel 0 and the MSB
+ is channel 1.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG"></a>
+
+<h3 class="register">Offset 0x13008: FABRIC_DSP_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|FABRIC_DSP_REG_in')">(<span id="show_RFDC_REGS_REGMAP|FABRIC_DSP_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|FABRIC_DSP_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">FABRIC_DSP_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x13008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000153008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value = 0x00000000
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+This register provides information to the driver on the type
+ of DSP that is instantiated in the fabric.<BR/>
+ The X410 platform supports multiple RF daughterboards, each requiring
+ a different fabric RF DSP chain that works with specific RFDC settings.
+ Each bandwidth DSP chain has a unique identifier (BW in MHz), this
+ information is conveyed in this register to let the driver
+ configure the RFDC with the proper settings.
+ Also, channel count for the DSP module is included.<BR/>
+ <b>Note: The *_DB1 constants are not used in the HDL, their purpose is
+ merely for documentation.</b>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..30</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_TX_CNT_DB1"></a>FABRIC_DSP_TX_CNT_DB1</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Fabric DSP TX channel count for daughterboard 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">29..28</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_RX_CNT_DB1"></a>FABRIC_DSP_RX_CNT_DB1</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Fabric DSP RX channel count for daughterboard 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">27..16</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW_DB1"></a>FABRIC_DSP_BW_DB1</span><span class="attr"> &nbsp;&nbsp;(initialvalue=FABRIC_DSP_BW_NONE)</span></p>
+ <p>Fabric DSP BW in MHz for daughterboard 1.</p>
+
+ <p>
+ The values for this bitfield are in the <a href="#RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM">FABRIC_DSP_BW_ENUM</a> table.
+ <a class="sh_enum" href="javascript:sb('RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW_DB1')">(<span id="show_RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW_DB1">show here</span>)</a>
+ </p>
+ <div class="sh_enum" id="div_RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW_DB1">
+
+ <div class="enum">
+ <a name="RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM"></a>
+
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_NONE'></a>FABRIC_DSP_BW_NONE</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>100</td>
+
+ <td class='l'>0x064</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_100M'></a>FABRIC_DSP_BW_100M</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>200</td>
+
+ <td class='l'>0x0C8</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_200M'></a>FABRIC_DSP_BW_200M</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>400</td>
+
+ <td class='l'>0x190</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_400M'></a>FABRIC_DSP_BW_400M</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+</div>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..14</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_TX_CNT"></a>FABRIC_DSP_TX_CNT</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Fabric DSP TX channel count for daughterboard 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">13..12</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_RX_CNT"></a>FABRIC_DSP_RX_CNT</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Fabric DSP RX channel count for daughterboard 0.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW"></a>FABRIC_DSP_BW</span><span class="attr"> &nbsp;&nbsp;(initialvalue=FABRIC_DSP_BW_NONE)</span></p>
+ <p>Fabric DSP BW in MHz for daughterboard 0.</p>
+
+ <p>
+ The values for this bitfield are in the <a href="#RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM">FABRIC_DSP_BW_ENUM</a> table.
+ <a class="sh_enum" href="javascript:sb('RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW')">(<span id="show_RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW">show here</span>)</a>
+ </p>
+ <div class="sh_enum" id="div_RFDC_REGS_REGMAP|FABRIC_DSP_REG|FABRIC_DSP_BW">
+
+ <div class="enum">
+ <a name="RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM"></a>
+
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_NONE'></a>FABRIC_DSP_BW_NONE</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>100</td>
+
+ <td class='l'>0x064</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_100M'></a>FABRIC_DSP_BW_100M</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>200</td>
+
+ <td class='l'>0x0C8</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_200M'></a>FABRIC_DSP_BW_200M</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>400</td>
+
+ <td class='l'>0x190</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='RFDC_REGS_REGMAP|FABRIC_DSP_BW_ENUM|FABRIC_DSP_BW_400M'></a>FABRIC_DSP_BW_400M</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file common_regs.v.
+ </p>
+
+</div>
+
+</div>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|CALIBRATION_DATA"></a>
+
+<h3 class="register">Offset 0x14000: CALIBRATION_DATA Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|CALIBRATION_DATA_in')">(<span id="show_RFDC_REGS_REGMAP|CALIBRATION_DATA_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|CALIBRATION_DATA_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CALIBRATION_DATA</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x14000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000154000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+The fields of this register provide data to all the DAC channels when enabled
+ by the CALIBRATION_ENABLE register.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..16</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|CALIBRATION_DATA|Q_DATA"></a>Q_DATA</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|CALIBRATION_DATA|I_DATA"></a>I_DATA</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|CALIBRATION_ENABLE"></a>
+
+<h3 class="register">Offset 0x14008: CALIBRATION_ENABLE Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|CALIBRATION_ENABLE_in')">(<span id="show_RFDC_REGS_REGMAP|CALIBRATION_ENABLE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|CALIBRATION_ENABLE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CALIBRATION_ENABLE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x14008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000154008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+This register enables calibration data in the DAC data path for each of the
+ four channels. Each of these bits is normally '0'. When written '1', DAC data
+ for the corresponding channel will be constantly driven with the contents of
+ the CALIBRATION_DATA register.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..6</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">5</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|CALIBRATION_ENABLE|ENABLE_CALIBRATION_DATA_3"></a>ENABLE_CALIBRATION_DATA_3</span><span class="attr"> </span></p>
+ <p>Enables calibration data for channel 3.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|CALIBRATION_ENABLE|ENABLE_CALIBRATION_DATA_2"></a>ENABLE_CALIBRATION_DATA_2</span><span class="attr"> </span></p>
+ <p>Enables calibration data for channel 2.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3..2</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|CALIBRATION_ENABLE|ENABLE_CALIBRATION_DATA_1"></a>ENABLE_CALIBRATION_DATA_1</span><span class="attr"> </span></p>
+ <p>Enables calibration data for channel 1.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|CALIBRATION_ENABLE|ENABLE_CALIBRATION_DATA_0"></a>ENABLE_CALIBRATION_DATA_0</span><span class="attr"> </span></p>
+ <p>Enables calibration data for channel 0.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS"></a>
+
+<h3 class="register">Offset 0x15000: THRESHOLD_STATUS Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|THRESHOLD_STATUS_in')">(<span id="show_RFDC_REGS_REGMAP|THRESHOLD_STATUS_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|THRESHOLD_STATUS_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">THRESHOLD_STATUS</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x15000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000155000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+This register shows threshold status for the ADCs. Each bit reflects the
+ RFDC's real-time ADC status signals, which will assert when the ADC input
+ signal exceeds the programmed threshold value. The status will remain
+ asserted until cleared by software.
+ The bitfield names follow the pattern ADCX_ZZ_over_threshold(1|2), where X is
+ the location of the tile in the converter column and ZZ is either 01 (the
+ lower RF-ADC in the tile) or 23 (the upper RF-ADC in the tile).
+ See also the Xilinx document PG269.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..12</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC2_23_THRESHOLD2"></a>ADC2_23_THRESHOLD2</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">10</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC2_23_THRESHOLD1"></a>ADC2_23_THRESHOLD1</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">9</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC2_01_THRESHOLD2"></a>ADC2_01_THRESHOLD2</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC2_01_THRESHOLD1"></a>ADC2_01_THRESHOLD1</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..4</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC0_23_THRESHOLD2"></a>ADC0_23_THRESHOLD2</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">2</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC0_23_THRESHOLD1"></a>ADC0_23_THRESHOLD1</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC0_01_THRESHOLD2"></a>ADC0_01_THRESHOLD2</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|THRESHOLD_STATUS|ADC0_01_THRESHOLD1"></a>ADC0_01_THRESHOLD1</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG"></a>
+
+<h3 class="register">Offset 0x16000: RF_PLL_CONTROL_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG_in')">(<span id="show_RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RF_PLL_CONTROL_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x16000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000156000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Enable RF MMCM outputs.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..17</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG|CLEAR_DATA_CLK_UNLOCKED"></a>CLEAR_DATA_CLK_UNLOCKED</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..13</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">12</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG|ENABLE_RF_CLK_2X"></a>ENABLE_RF_CLK_2X</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..9</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">8</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG|ENABLE_RF_CLK"></a>ENABLE_RF_CLK</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..5</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">4</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG|ENABLE_DATA_CLK_2X"></a>ENABLE_DATA_CLK_2X</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">3..1</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_CONTROL_REG|ENABLE_DATA_CLK"></a>ENABLE_DATA_CLK</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_REGS_REGMAP|RF_PLL_STATUS_REG"></a>
+
+<h3 class="register">Offset 0x16008: RF_PLL_STATUS_REG Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_REGS_REGMAP|RF_PLL_STATUS_REG_in')">(<span id="show_RFDC_REGS_REGMAP|RF_PLL_STATUS_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_REGS_REGMAP|RF_PLL_STATUS_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|RFDC_REGS">AXI_HPM0_REGMAP|RFDC_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1000140000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RF_PLL_STATUS_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x16008</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x1000156008
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file common_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Data Clk Pll Status Register
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..21</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">20</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_STATUS_REG|DATA_CLK_PLL_LOCKED"></a>DATA_CLK_PLL_LOCKED</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">19..17</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">16</td>
+ <td>
+ <p><span class="name"><a name="RFDC_REGS_REGMAP|RF_PLL_STATUS_REG|DATA_CLK_PLL_UNLOCKED_STICKY"></a>DATA_CLK_PLL_UNLOCKED_STICKY</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="RFDC_TIMING_REGMAP"></a>
+ <h1 class="regmap">RFDC_TIMING_REGMAP</h1>
+
+ <div class="group"><a name="RFDC_TIMING_REGMAP|RFDC_TIMING_REGS"></a><h2 class="group">RFDC_TIMING_REGS</h2>
+
+ <div class="register">
+ <a name="RFDC_TIMING_REGMAP|NCO_RESET_REG"></a>
+
+<h3 class="register">Offset 0x0000: NCO_RESET_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_TIMING_REGMAP|NCO_RESET_REG_in')">(<span id="show_RFDC_TIMING_REGMAP|NCO_RESET_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_TIMING_REGMAP|NCO_RESET_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW">RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">NCO_RESET_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x008000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file rfdc_timing_control.v.</p>
+
+</div>
+
+<div class="info">
+
+NCO reset control register.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..2</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1r</td>
+ <td>
+ <p><span class="name"><a name="RFDC_TIMING_REGMAP|NCO_RESET_REG|NCO_RESET_DONE"></a>NCO_RESET_DONE</span><span class="attr"> </span></p>
+ <p>When 1, indicates that the NCO reset has completed.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0w</td>
+ <td>
+ <p><span class="name"><a name="RFDC_TIMING_REGMAP|NCO_RESET_REG|NCO_RESET_START"></a>NCO_RESET_START</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>Write a 1 to this bit to start a reset the RFDC's NCO.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="RFDC_TIMING_REGMAP|GEARBOX_RESET_REG"></a>
+
+<h3 class="register">Offset 0x0004: GEARBOX_RESET_REG Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('RFDC_TIMING_REGMAP|GEARBOX_RESET_REG_in')">(<span id="show_RFDC_TIMING_REGMAP|GEARBOX_RESET_REG_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_RFDC_TIMING_REGMAP|GEARBOX_RESET_REG_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW">RADIO_CTRLPORT_REGMAP|RFDC_TIMING_WINDOW</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x008000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">GEARBOX_RESET_REG</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x008004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file rfdc_timing_control.v.</p>
+
+</div>
+
+<div class="info">
+
+Gearbox reset control register.
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..2</td>
+ <td>
+ <p><span class="name">Reserved</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">1w</td>
+ <td>
+ <p><span class="name"><a name="RFDC_TIMING_REGMAP|GEARBOX_RESET_REG|DAC_RESET"></a>DAC_RESET</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>This reset is for the gearbox on the DAC data path that is used to
+ move data from one clock domain to another outside the RFDC. Write
+ a 1 to this bit to send a reset pulse to the DAC gearbox.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">0w</td>
+ <td>
+ <p><span class="name"><a name="RFDC_TIMING_REGMAP|GEARBOX_RESET_REG|ADC_RESET"></a>ADC_RESET</span><span class="attr"> &nbsp;&nbsp;(Strobe)</span></p>
+ <p>This reset is for the gearbox on the ADC data path that is used to
+ move data from one clock domain to another outside the RFDC. Write
+ a 1 to this bit to send a reset pulse to the ADC gearbox.</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="SPI_REGMAP"></a>
+ <h1 class="regmap">SPI_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="SPI_REGMAP|SPI_REGS"></a><h2 class="group">SPI_REGS</h2>
+ <div class="xmlpmd">
+<p>This register map is present for each SPI master.</p>
+<p>For information about the register content and the way to interact with the core see the
+<a href="https://opencores.org/websvn/filedetails?repname=spi&path=%2Fspi%2Ftrunk%2Fdoc%2Fspi.pdf" target="_blank">documentation</a>
+of the SPI master from opencores used internally.</p>
+<p>The core is configured to operate with 16 slave signal signals, up to 128 bits per transmission and 8 bit clock divider.
+Only 64 bits of data are available via this register interface.</p>
+<p>For the different SPI modes use the following table to derive the bits in <a href="#SPI_REGMAP|CONTROL">CONTROL</a> register. Only option 0 (CPOL=0, CPHA=0) has been tested.</p>
+<table>
+<thead>
+<tr>
+<th>CPOL</th>
+<th>CPHA</th>
+<th>TX_NEG</th>
+<th>RX_NEG</th>
+</tr>
+</thead>
+<tbody>
+<tr>
+<td>0</td>
+<td>0</td>
+<td>1</td>
+<td>0</td>
+</tr>
+<tr>
+<td>0</td>
+<td>1</td>
+<td>0</td>
+<td>1</td>
+</tr>
+<tr>
+<td>1</td>
+<td>0</td>
+<td>0</td>
+<td>1</td>
+</tr>
+<tr>
+<td>1</td>
+<td>1</td>
+<td>1</td>
+<td>0</td>
+</tr>
+</tbody>
+</table></div>
+ <div class="register">
+ <a name="SPI_REGMAP|RX_DATA_LOW"></a>
+
+<h3 class="register">Offset 0x0000: RX_DATA_LOW Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|RX_DATA_LOW_in')">(<span id="show_SPI_REGMAP|RX_DATA_LOW_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|RX_DATA_LOW_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RX_DATA_LOW</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Lower 32 bits of the received word. (RxWord[31:0])</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="SPI_REGMAP|RX_DATA_HIGH"></a>
+
+<h3 class="register">Offset 0x0004: RX_DATA_HIGH Register (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|RX_DATA_HIGH_in')">(<span id="show_SPI_REGMAP|RX_DATA_HIGH_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|RX_DATA_HIGH_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RX_DATA_HIGH</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Higher 32 bits of the received word. (RxWord[63:32])</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="SPI_REGMAP|TX_DATA_LOW"></a>
+
+<h3 class="register">Offset 0x0008: TX_DATA_LOW Register (W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|TX_DATA_LOW_in')">(<span id="show_SPI_REGMAP|TX_DATA_LOW_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|TX_DATA_LOW_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">TX_DATA_LOW</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Lower 32 bits of the received word. (TxWord[31:0])</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="SPI_REGMAP|TX_DATA_HIGH"></a>
+
+<h3 class="register">Offset 0x000C: TX_DATA_HIGH Register (W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|TX_DATA_HIGH_in')">(<span id="show_SPI_REGMAP|TX_DATA_HIGH_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|TX_DATA_HIGH_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">TX_DATA_HIGH</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Higher 32 bits of the received word. (TxWord[63:32])</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="SPI_REGMAP|CONTROL"></a>
+
+<h3 class="register">Offset 0x0010: CONTROL Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|CONTROL_in')">(<span id="show_SPI_REGMAP|CONTROL_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|CONTROL_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CONTROL</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Control register</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="SPI_REGMAP|CLOCK_DIVIDER"></a>
+
+<h3 class="register">Offset 0x0014: CLOCK_DIVIDER Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|CLOCK_DIVIDER_in')">(<span id="show_SPI_REGMAP|CLOCK_DIVIDER_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|CLOCK_DIVIDER_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CLOCK_DIVIDER</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0014</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="SPI_REGMAP|CLOCK_DIVIDER|Divider"></a>Divider</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Clock Divider.</p></div></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="SPI_REGMAP|SLAVE_SELECT"></a>
+
+<h3 class="register">Offset 0x0018: SLAVE_SELECT Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('SPI_REGMAP|SLAVE_SELECT_in')">(<span id="show_SPI_REGMAP|SLAVE_SELECT_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_SPI_REGMAP|SLAVE_SELECT_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">SLAVE_SELECT</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0018</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file ctrlport_to_spi.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="SPI_REGMAP|SLAVE_SELECT|SS"></a>SS</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>Slave select.</p></div></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="UIO_REGMAP"></a>
+ <h1 class="regmap">UIO_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="UIO_REGMAP|UIO_REGS"></a><h2 class="group">UIO_REGS</h2>
+ <div class="xmlpmd">
+<p>UIO</p></div>
+ <div class="register">
+ <a name="UIO_REGMAP|IP"></a>
+
+<h3 class="register">Offset 0x0000: IP Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|IP_in')">(<span id="show_UIO_REGMAP|IP_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|IP_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">IP</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A000
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Set this port's IP address</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|UDP"></a>
+
+<h3 class="register">Offset 0x0004: UDP Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|UDP_in')">(<span id="show_UIO_REGMAP|UDP_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|UDP_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">UDP</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A004
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Set the UDP port for CHDR_traffic</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|BRIDGE_MAC_LSB"></a>
+
+<h3 class="register">Offset 0x0010: BRIDGE_MAC_LSB Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|BRIDGE_MAC_LSB_in')">(<span id="show_UIO_REGMAP|BRIDGE_MAC_LSB_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|BRIDGE_MAC_LSB_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">BRIDGE_MAC_LSB</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0010</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A010
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>If BRIDGE_ENABLE is set use this MAC_ID</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|BRIDGE_MAC_MSB"></a>
+
+<h3 class="register">Offset 0x0014: BRIDGE_MAC_MSB Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|BRIDGE_MAC_MSB_in')">(<span id="show_UIO_REGMAP|BRIDGE_MAC_MSB_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|BRIDGE_MAC_MSB_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">BRIDGE_MAC_MSB</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0014</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A014
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>If BRIDGE_ENABLE is set use this MAC_ID</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|BRIDGE_IP"></a>
+
+<h3 class="register">Offset 0x0018: BRIDGE_IP Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|BRIDGE_IP_in')">(<span id="show_UIO_REGMAP|BRIDGE_IP_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|BRIDGE_IP_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">BRIDGE_IP</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0018</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A018
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>If BRIDGE_ENABLE is set use this IP Address</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|BRIDGE_UDP"></a>
+
+<h3 class="register">Offset 0x001C: BRIDGE_UDP Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|BRIDGE_UDP_in')">(<span id="show_UIO_REGMAP|BRIDGE_UDP_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|BRIDGE_UDP_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">BRIDGE_UDP</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x001C</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A01C
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>If BRIDGE_ENABLE is set use this UDP Port for CHDR_traffic</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|BRIDGE_ENABLE"></a>
+
+<h3 class="register">Offset 0x0020: BRIDGE_ENABLE Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|BRIDGE_ENABLE_in')">(<span id="show_UIO_REGMAP|BRIDGE_ENABLE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|BRIDGE_ENABLE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">BRIDGE_ENABLE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0020</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A020
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Bit 0 Controls the following logic</p>
+<pre><code class="language-verilog">always_comb begin : bridge_mux
+my_mac = bridge_en ? bridge_mac_reg : mac_reg;
+my_ip = bridge_en ? bridge_ip_reg : ip_reg;
+my_udp_chdr_port = bridge_en ? bridge_udp_port : udp_port;
+end
+</code></pre></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|CHDR_DROPPED"></a>
+
+<h3 class="register">Offset 0x0030: CHDR_DROPPED Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|CHDR_DROPPED_in')">(<span id="show_UIO_REGMAP|CHDR_DROPPED_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|CHDR_DROPPED_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CHDR_DROPPED</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0030</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A030
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Count the number of Packets dropped that were addressed to the CHDR section.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|CPU_DROPPED"></a>
+
+<h3 class="register">Offset 0x0034: CPU_DROPPED Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|CPU_DROPPED_in')">(<span id="show_UIO_REGMAP|CPU_DROPPED_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|CPU_DROPPED_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CPU_DROPPED</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0034</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A034
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+<p>Count the number of Packets dropped that were addressed to us, but not to the CHDR section.</p></div>
+
+</div>
+
+</div>
+
+ <div class="register">
+ <a name="UIO_REGMAP|PAUSE"></a>
+
+<h3 class="register">Offset 0x0038: PAUSE Register (R|W)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('UIO_REGMAP|PAUSE_in')">(<span id="show_UIO_REGMAP|PAUSE_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_UIO_REGMAP|PAUSE_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="8">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_0">AXI_HPM0_REGMAP|QSFP_0_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200000000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#QSFP_REGMAP|UIO">QSFP_REGMAP|UIO</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x00A000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="8">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">PAUSE</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0038</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120000A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_1">AXI_HPM0_REGMAP|QSFP_0_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200010000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120001A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_2">AXI_HPM0_REGMAP|QSFP_0_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200020000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120002A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_0_3">AXI_HPM0_REGMAP|QSFP_0_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200030000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120003A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_0">AXI_HPM0_REGMAP|QSFP_1_0</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200040000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120004A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_1">AXI_HPM0_REGMAP|QSFP_1_1</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200050000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120005A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_2">AXI_HPM0_REGMAP|QSFP_1_2</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200060000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120006A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|QSFP_1_3">AXI_HPM0_REGMAP|QSFP_1_3</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x1200070000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x120007A038
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file uhd_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+<div class="xmlpmd">
+</div>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..16</td>
+ <td>
+ <p><span class="name"><a name="UIO_REGMAP|PAUSE|pause_clear"></a>pause_clear</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>If the fullness of the CHDR_FIFO in ETH_W words falls bellow this value stop requesting an ethernet pause.
+<em>Pause clear must be less than pause set or terrible things will happen.</em>
+The clearing of the pause request causes the MAC to send a request to resume traffic. This feature is only
+used with 100Gb ethernet</p></div></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..0</td>
+ <td>
+ <p><span class="name"><a name="UIO_REGMAP|PAUSE|pause_set"></a>pause_set</span><span class="attr"> </span></p>
+ <p><div class="xmlpmd">
+<p>If the fullness of the CHDR_FIFO in ETH_W words exceeds this value request an ethernet pause. This feature is only
+used with 100Gb ethernet</p></div></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="VERSIONING_REGS_REGMAP"></a>
+ <h1 class="regmap">VERSIONING_REGS_REGMAP</h1>
+
+ <div class="group"><a name="VERSIONING_REGS_REGMAP|VERSIONING_CONSTANTS"></a><h2 class="group">VERSIONING_CONSTANTS</h2>
+
+ <div class="enum">
+ <a name="VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION"></a>
+
+<h3 class="enum">CPLD_IFC_VERSION Enumeration</h3>
+CPLD interface module.<BR/>
+ For guidance on when to update these revision numbers,
+ please refer to the register map documentation accordingly:
+ <li> Current version: <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a>
+ <li> Oldest compatible version: <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a>
+ <li> Version last modified: <a href="#VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED">VERSION_LAST_MODIFIED</a>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_CURRENT_VERSION_MINOR'></a>CPLD_IFC_CURRENT_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_CURRENT_VERSION_BUILD'></a>CPLD_IFC_CURRENT_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MINOR'></a>CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_OLDEST_COMPATIBLE_VERSION_BUILD'></a>CPLD_IFC_OLDEST_COMPATIBLE_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>2</td>
+
+ <td class='l'>0x00000002</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_CURRENT_VERSION_MAJOR'></a>CPLD_IFC_CURRENT_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>2</td>
+
+ <td class='l'>0x00000002</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR'></a>CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>553719817</td>
+
+ <td class='l'>0x21011809</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|CPLD_IFC_VERSION|CPLD_IFC_VERSION_LAST_MODIFIED_TIME'></a>CPLD_IFC_VERSION_LAST_MODIFIED_TIME</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file cpld_interface_regs.v.
+ </p>
+
+</div>
+
+ <div class="enum">
+ <a name="VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION"></a>
+
+<h3 class="enum">DB_GPIO_IFC_VERSION Enumeration</h3>
+Daughterboard GPIO interface.<BR/>
+ For guidance on when to update these revision numbers,
+ please refer to the register map documentation accordingly:
+ <li> Current version: <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a>
+ <li> Oldest compatible version: <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a>
+ <li> Version last modified: <a href="#VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED">VERSION_LAST_MODIFIED</a>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_CURRENT_VERSION_MINOR'></a>DB_GPIO_IFC_CURRENT_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_CURRENT_VERSION_BUILD'></a>DB_GPIO_IFC_CURRENT_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MINOR'></a>DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_BUILD'></a>DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class='l'>0x00000001</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_CURRENT_VERSION_MAJOR'></a>DB_GPIO_IFC_CURRENT_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class='l'>0x00000001</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR'></a>DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>537986582</td>
+
+ <td class='l'>0x20110616</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|DB_GPIO_IFC_VERSION|DB_GPIO_IFC_VERSION_LAST_MODIFIED_TIME'></a>DB_GPIO_IFC_VERSION_LAST_MODIFIED_TIME</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file db_gpio_interface.v.
+ </p>
+
+</div>
+
+ <div class="enum">
+ <a name="VERSIONING_REGS_REGMAP|FPGA_VERSION"></a>
+
+<h3 class="enum">FPGA_VERSION Enumeration</h3>
+FPGA version.<BR/>
+ For guidance on when to update these revision numbers,
+ please refer to the register map documentation accordingly:
+ <li> Current version: <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a>
+ <li> Oldest compatible version: <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a>
+ <li> Version last modified: <a href="#VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED">VERSION_LAST_MODIFIED</a>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_CURRENT_VERSION_BUILD'></a>FPGA_CURRENT_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_OLDEST_COMPATIBLE_VERSION_MINOR'></a>FPGA_OLDEST_COMPATIBLE_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_OLDEST_COMPATIBLE_VERSION_BUILD'></a>FPGA_OLDEST_COMPATIBLE_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>3</td>
+
+ <td class='l'>0x00000003</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_CURRENT_VERSION_MINOR'></a>FPGA_CURRENT_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>7</td>
+
+ <td class='l'>0x00000007</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_CURRENT_VERSION_MAJOR'></a>FPGA_CURRENT_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>7</td>
+
+ <td class='l'>0x00000007</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR'></a>FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>553915926</td>
+
+ <td class='l'>0x21041616</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|FPGA_VERSION|FPGA_VERSION_LAST_MODIFIED_TIME'></a>FPGA_VERSION_LAST_MODIFIED_TIME</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file x4xx.v.
+ </p>
+
+</div>
+
+ <div class="enum">
+ <a name="VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION"></a>
+
+<h3 class="enum">RF_CORE_100M_VERSION Enumeration</h3>
+100 MHz RF core.<BR/>
+ For guidance on when to update these revision numbers,
+ please refer to the register map documentation accordingly:
+ <li> Current version: <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a>
+ <li> Oldest compatible version: <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a>
+ <li> Version last modified: <a href="#VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED">VERSION_LAST_MODIFIED</a>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_CURRENT_VERSION_MINOR'></a>RF_CORE_100M_CURRENT_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_CURRENT_VERSION_BUILD'></a>RF_CORE_100M_CURRENT_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MINOR'></a>RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_BUILD'></a>RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class='l'>0x00000001</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_CURRENT_VERSION_MAJOR'></a>RF_CORE_100M_CURRENT_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class='l'>0x00000001</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MAJOR'></a>RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>537929239</td>
+
+ <td class='l'>0x20102617</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_100M_VERSION|RF_CORE_100M_VERSION_LAST_MODIFIED_TIME'></a>RF_CORE_100M_VERSION_LAST_MODIFIED_TIME</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file rf_core_100m.v.
+ </p>
+
+</div>
+
+ <div class="enum">
+ <a name="VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION"></a>
+
+<h3 class="enum">RF_CORE_400M_VERSION Enumeration</h3>
+400 MHz RF core.<BR/>
+ For guidance on when to update these revision numbers,
+ please refer to the register map documentation accordingly:
+ <li> Current version: <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a>
+ <li> Oldest compatible version: <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a>
+ <li> Version last modified: <a href="#VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED">VERSION_LAST_MODIFIED</a>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' colspan='2'> Value </td>
+ <td class="l" rowspan='2' colspan='1'> Name </td>
+
+</tr>
+
+<tr class="header2" valign="bottom">
+
+<td class='value'> Dec </td>
+
+<td class='l'> Hex </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_CURRENT_VERSION_MINOR'></a>RF_CORE_400M_CURRENT_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_CURRENT_VERSION_BUILD'></a>RF_CORE_400M_CURRENT_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MINOR'></a>RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MINOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class='l'>0x00000000</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_BUILD'></a>RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_BUILD</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class='l'>0x00000001</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_CURRENT_VERSION_MAJOR'></a>RF_CORE_400M_CURRENT_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class='l'>0x00000001</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MAJOR'></a>RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MAJOR</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>537929239</td>
+
+ <td class='l'>0x20102617</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|RF_CORE_400M_VERSION|RF_CORE_400M_VERSION_LAST_MODIFIED_TIME'></a>RF_CORE_400M_VERSION_LAST_MODIFIED_TIME</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file rf_core_400m.v.
+ </p>
+
+</div>
+
+</div>
+
+ <div class="group"><a name="VERSIONING_REGS_REGMAP|VERSIONING_REGS"></a><h2 class="group">VERSIONING_REGS</h2>
+
+ <div class="enum">
+ <a name="VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES"></a>
+
+<h3 class="enum">COMPONENTS_INDEXES Enumeration</h3>
+This enum contains indexes for all the components in the X410
+ (both common and app-specific) which version information is
+ desired to be available for compatibility tracking purposes.<BR/>
+ <table border="1">
+ <tr><th>Description</th> <th>Index range</th> <th>Max # of components</th></tr>
+ <tr><td>Common components</td> <td>0 to 23</td> <td>24</td></tr>
+ <tr><td>UHD-specific components</td> <td>24 to 43</td> <td>20</td></tr>
+ <tr><td>LV-specific components</td> <td>44 to 63</td> <td>20</td></tr>
+ </table>
+ <table class="enum" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header" valign="center">
+
+ <td class='value' > Value </td>
+ <td class="l" colspan='1'> Name </td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>0</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES|FPGA_VERSION_INDEX'></a>FPGA_VERSION_INDEX</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>1</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES|CPLD_IFC_INDEX'></a>CPLD_IFC_INDEX</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>2</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES|DB0_RF_CORE_INDEX'></a>DB0_RF_CORE_INDEX</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>3</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES|DB1_RF_CORE_INDEX'></a>DB1_RF_CORE_INDEX</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>4</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES|DB0_GPIO_IFC_INDEX'></a>DB0_GPIO_IFC_INDEX</p>
+
+</td>
+
+</tr>
+
+<tr valign="top">
+
+ <td class='value'>5</td>
+
+ <td class="l" style="text-align: left;">
+ <p class="name"><a name='VERSIONING_REGS_REGMAP|COMPONENTS_INDEXES|DB1_GPIO_IFC_INDEX'></a>DB1_GPIO_IFC_INDEX</p>
+
+</td>
+
+</tr>
+
+</table>
+
+ <p class="enum_info">
+ This enumerated type is defined in HDL source file x4xx_versioning_regs.v.
+ </p>
+
+</div>
+
+ <div class="register">
+ <a name="VERSIONING_REGS_REGMAP|CURRENT_VERSION"></a>
+
+<h3 class="register">Offset 0x0000: CURRENT_VERSION(63:0) Register Array (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('VERSIONING_REGS_REGMAP|CURRENT_VERSION_in')">(<span id="show_VERSIONING_REGS_REGMAP|CURRENT_VERSION_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_VERSIONING_REGS_REGMAP|CURRENT_VERSION_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|VERSIONING_REGS">CORE_REGS_REGMAP|VERSIONING_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000C00</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">CURRENT_VERSION</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0000 + i*0x10</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Cannot determine accessibility through this path</td></tr>
+<tr><td class="offset_info">
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0C00 + i*0x10
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info"><B>Initial Values</B><BR/>
+<table>
+ <tr><td>default</td><td>=&gt;</td><td>0x00000000</td></tr>
+</table>
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_versioning_regs.v.<BR/>
+It uses RegType <b>VERSION_TYPE</b> which is defined in HDL source file x4xx_versioning_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Component's current version.<BR/>
+ This register contains the current component's version implemented in HDL.
+ The current version shall be used to detect a component being too
+ old for the driver/software:<BR/>
+ <b>SW oldest compatible version > Component's current version --> Component is too old.</b>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..23</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR"></a>MAJOR</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Major number (max = 511): an increase reflects a breaking change.<BR/>
+ <b>IMPORTANT!</b> <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> must always remain in sync between the component's
+ <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a> and <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a> registers.<BR/><BR/>
+ Update <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> when:
+ <li>the component has changed and requires a software changes as a result.
+ <li>the component's bitfields/registers have been modified or deleted.
+ <li>the component's bitfields/registers are initialized to different value (unexpected by software).
+ <li>new bitfields/registers are added that require software interaction for the component to operate.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">22..12</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR"></a>MINOR</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Minor number (max = 2047): an increase reflects a non-breaking change that the driver should be aware of.<BR/><BR/>
+ Update <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a> when:
+ <li>a new feature is added to the component, which does not conflict with the driver.
+ <li>minor implementation changes were made to the component which are worth tracking.
+ <li>the component has added new bitfields/registers that do not require software interaction
+ (i.e. the default value is 0 and writing 0 does not change behavior, assuming SW writes 0's to
+ previously undefined bits).
+ <li><a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> is updated (reset <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a> to 0).</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|CURRENT_VERSION|BUILD"></a>BUILD</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Build number (max = 4095): an increase reflects a change in the source code that yields a new implementation,
+ but that should not impact the component's behavior <BR/>
+ Eventually, this number is intended to be automatically incremented for any new build.<BR/><BR/>
+ Meanwhile, update <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|BUILD">BUILD</a> when:
+ <li>the component's source code changes are not captured by <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> or <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a>.
+ <li><a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a> or <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> are updated (reset <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|BUILD">BUILD</a> to 0).</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION"></a>
+
+<h3 class="register">Offset 0x0004: OLDEST_COMPATIBLE_VERSION(63:0) Register Array (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION_in')">(<span id="show_VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|VERSIONING_REGS">CORE_REGS_REGMAP|VERSIONING_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000C00</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">OLDEST_COMPATIBLE_VERSION</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0004 + i*0x10</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Cannot determine accessibility through this path</td></tr>
+<tr><td class="offset_info">
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0C04 + i*0x10
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info"><B>Initial Values</B><BR/>
+<table>
+ <tr><td>default</td><td>=&gt;</td><td>0x00000000</td></tr>
+</table>
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_versioning_regs.v.<BR/>
+It uses RegType <b>VERSION_TYPE</b> which is defined in HDL source file x4xx_versioning_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Component's oldest compatible version.<BR/>
+ This register contains the oldest compatible component's version, that is the oldest
+ component's implementation that is compatible with the current implementation.<BR/>
+ The oldest compatible version shall be used to detect a component being too
+ new for the driver/software:<BR/>
+ <b>SW current version < Component's oldest compatible version --> Component is too new.</b>
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..23</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION|MAJOR"></a>MAJOR</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Major number (max = 511): an increase reflects a breaking change.<BR/>
+ <b>IMPORTANT!</b> <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> must always remain in sync between the component's
+ <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION">CURRENT_VERSION</a> and <a href="#VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION">OLDEST_COMPATIBLE_VERSION</a> registers.<BR/><BR/>
+ Update <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> when:
+ <li>the component has changed and requires a software changes as a result.
+ <li>the component's bitfields/registers have been modified or deleted.
+ <li>the component's bitfields/registers are initialized to different value (unexpected by software).
+ <li>new bitfields/registers are added that require software interaction for the component to operate.</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">22..12</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION|MINOR"></a>MINOR</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Minor number (max = 2047): an increase reflects a non-breaking change that the driver should be aware of.<BR/><BR/>
+ Update <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a> when:
+ <li>a new feature is added to the component, which does not conflict with the driver.
+ <li>minor implementation changes were made to the component which are worth tracking.
+ <li>the component has added new bitfields/registers that do not require software interaction
+ (i.e. the default value is 0 and writing 0 does not change behavior, assuming SW writes 0's to
+ previously undefined bits).
+ <li><a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> is updated (reset <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a> to 0).</p>
+
+ </td>
+ </tr>
+
+ <tr valign="top">
+ <td class="bits">11..0</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|OLDEST_COMPATIBLE_VERSION|BUILD"></a>BUILD</span><span class="attr"> &nbsp;&nbsp;(initialvalue=0)</span></p>
+ <p>Build number (max = 4095): an increase reflects a change in the source code that yields a new implementation,
+ but that should not impact the component's behavior <BR/>
+ Eventually, this number is intended to be automatically incremented for any new build.<BR/><BR/>
+ Meanwhile, update <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|BUILD">BUILD</a> when:
+ <li>the component's source code changes are not captured by <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> or <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a>.
+ <li><a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MINOR">MINOR</a> or <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|MAJOR">MAJOR</a> are updated (reset <a href="#VERSIONING_REGS_REGMAP|CURRENT_VERSION|BUILD">BUILD</a> to 0).</p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED"></a>
+
+<h3 class="register">Offset 0x0008: VERSION_LAST_MODIFIED(63:0) Register Array (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED_in')">(<span id="show_VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|VERSIONING_REGS">CORE_REGS_REGMAP|VERSIONING_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000C00</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">VERSION_LAST_MODIFIED</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x0008 + i*0x10</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Cannot determine accessibility through this path</td></tr>
+<tr><td class="offset_info">
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0C08 + i*0x10
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_versioning_regs.v.<BR/>
+It uses RegType <b>TIMESTAMP_TYPE</b> which is defined in HDL source file x4xx_versioning_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Component's versions update time.<BR/>
+ This register provides the time stamp for the last modification to
+ the component's versions (current & oldest compatible).
+ The time stamp is provided in hexadecimal format: 0xYYMMDDHH.<BR/>
+
+
+</div>
+
+ <table class="bitfields" border="0" cellspacing="0" cellpadding="0">
+ <tr class="header"><td class="bits">Bits</td><td>Name</td></tr>
+
+ <tr valign="top">
+ <td class="bits">31..24</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED|YY"></a>YY</span><span class="attr"> </span></p>
+ <p>This is the year number after 2000 (e.g. 2019 = 0x19).</p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">23..16</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED|MM"></a>MM</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">15..8</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED|DD"></a>DD</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+ <tr class='byte' valign="top">
+ <td class="bits">7..0</td>
+ <td>
+ <p><span class="name"><a name="VERSIONING_REGS_REGMAP|VERSION_LAST_MODIFIED|HH"></a>HH</span><span class="attr"> </span></p>
+ <p></p>
+
+ </td>
+ </tr>
+
+</table>
+
+</div>
+
+ <div class="register">
+ <a name="VERSIONING_REGS_REGMAP|RESERVED"></a>
+
+<h3 class="register">Offset 0x000C: RESERVED(63:0) Register Array (R)</h3>
+
+ <a class="sh_addrs" href="javascript:sa('VERSIONING_REGS_REGMAP|RESERVED_in')">(<span id="show_VERSIONING_REGS_REGMAP|RESERVED_in">show</span> extended info)</a>
+ <div class="sh_addrs" id="div_VERSIONING_REGS_REGMAP|RESERVED_in">
+
+ <table class="extended_info">
+
+<tr>
+
+<td class="outercell" rowspan="1">
+
+ <table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">Port <a href="#X4XX_FPGA|ARM_M_AXI_HPM0">ARM_M_AXI_HPM0</a></td></tr>
+ </table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#AXI_HPM0_REGMAP|CORE_REGS">AXI_HPM0_REGMAP|CORE_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x10000A0000</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right"><a href="#CORE_REGS_REGMAP|VERSIONING_REGS">CORE_REGS_REGMAP|VERSIONING_REGS</a></td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;0x000C00</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+ <tr><td class="offset_info" align="right">RESERVED</td></tr>
+ <tr><td class="offset_info" align="right">&nbsp;&nbsp;offset=0x000C + i*0x10</td></tr>
+</table>
+
+</td>
+
+<td class="outercell" rowspan="1">
+
+<table border="0" cellspacing="0" cellpadding="0">
+
+<tr><td class="offset_info">
+
+
+Cannot determine accessibility through this path</td></tr>
+<tr><td class="offset_info">
+Total Offset =</td></tr>
+<tr><td class="offset_info">&nbsp;&nbsp;0x10000A0C0C + i*0x10
+
+</td></tr>
+</table>
+
+</td>
+
+</tr>
+
+</table><p/>
+
+<p class="reg_info">Initial Value not specified
+</p>
+
+<p class="reg_info">This register is defined in HDL source file x4xx_versioning_regs.v.<BR/>
+It uses RegType <b>RESERVED_TYPE</b> which is defined in HDL source file x4xx_versioning_regs.v.</p>
+
+</div>
+
+<div class="info">
+
+Reserved.<BR/>
+
+
+</div>
+
+</div>
+
+</div>
+
+</div>
+
+ <div class="regmap">
+ <a name="XGE_MAC_REGMAP"></a>
+ <h1 class="regmap">XGE_MAC_REGMAP</h1>
+ <div class="xmlpmd">
+</div>
+ <div class="group"><a name="XGE_MAC_REGMAP|OPENCORE_XGE_REGISTERS"></a><h2 class="group">OPENCORE_XGE_REGISTERS</h2>
+ <div class="xmlpmd">
+<p>10G MAC ethernet registers defined in the USRP OSS distribution fpga/usrp3/lib/xge/doc/xge_mac_spec.pdf</p></div>
+</div>
+
+</div>
+
+ </body>
+</HTML> \ No newline at end of file
diff --git a/fpga/usrp3/top/x400/dts/usrp_x410_fpga_C1.dts b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_C1.dts
new file mode 100644
index 000000000..00ad4d25d
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_C1.dts
@@ -0,0 +1,20 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+/dts-v1/;
+/plugin/;
+
+#include "x410-version-info.dtsi"
+
+#include "x410-fpga.dtsi"
+
+#include "x410-common.dtsi"
+
+#include "x410-rfdc.dtsi"
+
+#include "x410-dma.dtsi"
+
+#include "x410-100gbe-port0.dtsi"
diff --git a/fpga/usrp3/top/x400/dts/usrp_x410_fpga_CG.dts b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_CG.dts
new file mode 100644
index 000000000..35d9238e2
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_CG.dts
@@ -0,0 +1,22 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+/dts-v1/;
+/plugin/;
+
+#include "x410-version-info.dtsi"
+
+#include "x410-fpga.dtsi"
+
+#include "x410-common.dtsi"
+
+#include "x410-rfdc.dtsi"
+
+#include "x410-dma.dtsi"
+
+#include "x410-100gbe-port0.dtsi"
+
+#include "x410-100gbe-port1.dtsi"
diff --git a/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X1.dts b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X1.dts
new file mode 100644
index 000000000..42142cd9b
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X1.dts
@@ -0,0 +1,21 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+/dts-v1/;
+/plugin/;
+
+#include "x410-version-info.dtsi"
+
+#include "x410-fpga.dtsi"
+
+#include "x410-common.dtsi"
+
+#include "x410-rfdc.dtsi"
+
+#include "x410-dma.dtsi"
+
+#include "x410-10gbe-port0.dtsi"
+
diff --git a/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4.dts b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4.dts
new file mode 100644
index 000000000..8fd7e450b
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4.dts
@@ -0,0 +1,20 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+/dts-v1/;
+/plugin/;
+
+#include "x410-version-info.dtsi"
+
+#include "x410-fpga.dtsi"
+
+#include "x410-common.dtsi"
+
+#include "x410-rfdc.dtsi"
+
+#include "x410-dma.dtsi"
+
+#include "x410-10gbe-port0-x4.dtsi"
diff --git a/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4C.dts b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4C.dts
new file mode 100644
index 000000000..be2c23a03
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_X4C.dts
@@ -0,0 +1,22 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+/dts-v1/;
+/plugin/;
+
+#include "x410-version-info.dtsi"
+
+#include "x410-fpga.dtsi"
+
+#include "x410-common.dtsi"
+
+#include "x410-rfdc.dtsi"
+
+#include "x410-dma.dtsi"
+
+#include "x410-10gbe-port0-x4.dtsi"
+
+#include "x410-100gbe-port1.dtsi"
diff --git a/fpga/usrp3/top/x400/dts/usrp_x410_fpga_XG.dts b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_XG.dts
new file mode 100644
index 000000000..46f7c0526
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/usrp_x410_fpga_XG.dts
@@ -0,0 +1,22 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+/dts-v1/;
+/plugin/;
+
+#include "x410-version-info.dtsi"
+
+#include "x410-fpga.dtsi"
+
+#include "x410-common.dtsi"
+
+#include "x410-rfdc.dtsi"
+
+#include "x410-dma.dtsi"
+
+#include "x410-10gbe-port0.dtsi"
+
+#include "x410-10gbe-port1.dtsi"
diff --git a/fpga/usrp3/top/x400/dts/x410-100gbe-port0.dtsi b/fpga/usrp3/top/x400/dts/x410-100gbe-port0.dtsi
new file mode 100644
index 000000000..7b6470dd6
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-100gbe-port0.dtsi
@@ -0,0 +1,41 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ nixge0: ethernet@1200000000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00000000 0x0 0x4000
+ 0x12 0x00008000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 108 4 0 109 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth1_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "internal";
+
+ fixed-link {
+ speed = <1000>;
+ full-duplex;
+ link-gpios = <&gpio 94 0>;
+ };
+ };
+
+ misc_enet_regs_0: uio@120000A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0000A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs0";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-100gbe-port1.dtsi b/fpga/usrp3/top/x400/dts/x410-100gbe-port1.dtsi
new file mode 100644
index 000000000..6897671b9
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-100gbe-port1.dtsi
@@ -0,0 +1,41 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ nixge1: ethernet@1200040000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00040000 0x0 0x4000
+ 0x12 0x00048000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 110 4 0 111 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth5_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "internal";
+
+ fixed-link {
+ speed = <1000>;
+ full-duplex;
+ link-gpios = <&gpio 98 0>;
+ };
+ };
+
+ misc_enet_regs_1: uio@120004A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0004A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs1";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-10gbe-port0-x4.dtsi b/fpga/usrp3/top/x400/dts/x410-10gbe-port0-x4.dtsi
new file mode 100644
index 000000000..1a3b8a487
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-10gbe-port0-x4.dtsi
@@ -0,0 +1,134 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ nixge0: ethernet@1200000000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00000000 0x0 0x4000
+ 0x12 0x00008000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 108 4 0 109 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth1_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 94 0>;
+ };
+ };
+
+ nixge0_1: ethernet@1200010000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00010000 0x0 0x4000
+ 0x12 0x00018000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 108 4 0 109 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth2_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 95 0>;
+ };
+ };
+
+ nixge0_2: ethernet@1200020000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00020000 0x0 0x4000
+ 0x12 0x00028000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 108 4 0 109 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth3_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 96 0>;
+ };
+ };
+
+ nixge0_3: ethernet@1200030000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00030000 0x0 0x4000
+ 0x12 0x00038000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 108 4 0 109 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth4_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 97 0>;
+ };
+ };
+
+ misc_enet_regs_0: uio@120000A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0000A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs0";
+ };
+
+ misc_enet_regs_0_1: uio@120001A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0001A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs0-1";
+ };
+
+ misc_enet_regs_0_2: uio@120002A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0002A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs0-2";
+ };
+
+ misc_enet_regs_0_3: uio@120003A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0003A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs0-3";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-10gbe-port0.dtsi b/fpga/usrp3/top/x400/dts/x410-10gbe-port0.dtsi
new file mode 100644
index 000000000..968c9bb98
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-10gbe-port0.dtsi
@@ -0,0 +1,41 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ nixge0: ethernet@1200000000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00000000 0x0 0x4000
+ 0x12 0x00008000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 108 4 0 109 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth1_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 94 0>;
+ };
+ };
+
+ misc_enet_regs_0: uio@120000A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0000A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs0";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-10gbe-port1-x4.dtsi b/fpga/usrp3/top/x400/dts/x410-10gbe-port1-x4.dtsi
new file mode 100644
index 000000000..5f4f5c8ed
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-10gbe-port1-x4.dtsi
@@ -0,0 +1,134 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ nixge1: ethernet@1200040000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00040000 0x0 0x4000
+ 0x12 0x00048000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 110 4 0 111 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth5_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 98 0>;
+ };
+ };
+
+ nixge1_1: ethernet@1200050000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00050000 0x0 0x4000
+ 0x12 0x00058000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 110 4 0 111 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth6_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 99 0>;
+ };
+ };
+
+ nixge1_2: ethernet@1200060000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00060000 0x0 0x4000
+ 0x12 0x00068000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 110 4 0 111 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth7_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 100 0>;
+ };
+ };
+
+ nixge1_3: ethernet@1200070000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00070000 0x0 0x4000
+ 0x12 0x00078000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 110 4 0 111 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth8_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 101 0>;
+ };
+ };
+
+ misc_enet_regs_1: uio@120004A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0004A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs1";
+ };
+
+ misc_enet_regs_1_1: uio@120005A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0005A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs1-1";
+ };
+
+ misc_enet_regs_1_2: uio@120006A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0006A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs1-2";
+ };
+
+ misc_enet_regs_1_3: uio@120007A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0007A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs1-3";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-10gbe-port1.dtsi b/fpga/usrp3/top/x400/dts/x410-10gbe-port1.dtsi
new file mode 100644
index 000000000..62a82cf3b
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-10gbe-port1.dtsi
@@ -0,0 +1,41 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ nixge1: ethernet@1200040000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x12 0x00040000 0x0 0x4000
+ 0x12 0x00048000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ interrupts = <0 110 4 0 111 4>;
+ interrupt-names = "rx", "tx";
+ interrupt-parent = <&gic>;
+
+ nvmem-cells = <&eth5_addr>;
+ nvmem-cell-names = "address";
+
+ status = "okay";
+
+ phy-mode = "xgmii";
+
+ fixed-link {
+ speed = <10000>;
+ full-duplex;
+ link-gpios = <&gpio 98 0>;
+ };
+ };
+
+ misc_enet_regs_1: uio@120004A000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x12 0x0004A000 0x0 0x2000>;
+ reg-names = "misc-enet-regs1";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-common.dtsi b/fpga/usrp3/top/x400/dts/x410-common.dtsi
new file mode 100644
index 000000000..b42266f50
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-common.dtsi
@@ -0,0 +1,28 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+ uio@1000000000 {
+ compatible = "usrp-uio";
+ reg = <0x10 0x00000000 0x0 0x1000>;
+ reg-names = "jtag-0";
+ status = "okay";
+ };
+ uio@1000080000 {
+ compatible = "usrp-uio";
+ reg = <0x10 0x00080000 0x0 0x20000>;
+ reg-names = "ctrlport-mboard-regs";
+ status = "okay";
+ };
+ uio@10000A0000 {
+ compatible = "usrp-uio";
+ reg = <0x10 0x000A0000 0x0 0x4000>;
+ reg-names = "mboard-regs";
+ status = "okay";
+ };
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-dma.dtsi b/fpga/usrp3/top/x400/dts/x410-dma.dtsi
new file mode 100644
index 000000000..d90fee699
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-dma.dtsi
@@ -0,0 +1,50 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ misc_clk_3: misc_clk_3 {
+ #clock-cells = <0>;
+ clock-frequency = <200000000>;
+ compatible = "fixed-clock";
+ };
+
+ // AXI DMA engine + control
+ nixge_internal: ethernet@10000A4000 {
+ compatible = "ni,xge-enet-3.00";
+ reg = <0x10 0x000A4000 0x0 0x4000
+ 0x10 0x000A8000 0x0 0x2000>;
+ reg-names = "dma", "ctrl";
+
+ clocks = <&misc_clk_3>;
+ clock-names = "bus_clk";
+
+ interrupts = <0 104 4 0 105 4>;
+ interrupt-names = "tx", "rx";
+ interrupt-parent = <&gic>;
+
+ status = "okay";
+
+ phy-mode = "internal";
+ local-mac-address = <0x00 0x01 0x02 0x03 0x04 0x05>;
+
+ fixed-link {
+ speed = <1000>;
+ full-duplex;
+ };
+ };
+
+ // Misc internal Ethernet registers
+ uio@10000AA000 {
+ compatible = "usrp-uio";
+ reg = <0x10 0x000AA000 0x0 0x2000>;
+ reg-names = "misc-enet-int-regs";
+ status = "okay";
+ };
+
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-fpga.dtsi b/fpga/usrp3/top/x400/dts/x410-fpga.dtsi
new file mode 100644
index 000000000..66afb4091
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-fpga.dtsi
@@ -0,0 +1,9 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ firmware-name = "x410.bin";
+};
diff --git a/fpga/usrp3/top/x400/dts/x410-rfdc.dtsi b/fpga/usrp3/top/x400/dts/x410-rfdc.dtsi
new file mode 100644
index 000000000..039f3afab
--- /dev/null
+++ b/fpga/usrp3/top/x400/dts/x410-rfdc.dtsi
@@ -0,0 +1,38 @@
+/*
+ * Copyright 2021 Ettus Research, a National Instruments Brand
+ *
+ * SPDX-License-Identifier: LGPL-3.0-or-later
+ */
+
+&fpga_full {
+ #address-cells = <2>;
+ #size-cells = <2>;
+
+ misc_clk_1: misc_clk_1 {
+ #clock-cells = <0>;
+ clock-frequency = <40000000>;
+ compatible = "fixed-clock";
+ };
+
+ misc_clk_2: misc_clk_2 {
+ #clock-cells = <0>;
+ clock-frequency = <184320000>;
+ compatible = "fixed-clock";
+ };
+
+ rf_data_converter: usp_rf_data_converter@1000100000 {
+ clock-names = "s_axi_aclk", "m0_axis_aclk", "m2_axis_aclk", "s0_axis_aclk", "s1_axis_aclk";
+ clocks = <&misc_clk_1>, <&misc_clk_2>, <&misc_clk_2>, <&misc_clk_2>, <&misc_clk_2>;
+ compatible = "xlnx,usp-rf-data-converter-2.1";
+ num-insts = <0x1>;
+ param-list = [ 00 00 00 00 00 10 00 10 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 01 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 0f d6 ff 39 cc 97 07 40 0a d7 a3 70 3d 0a a7 40 0a d7 a3 70 3d 0a 67 40 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 9e ef a7 c6 4b 37 1a 40 04 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 10 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 10 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 01 00 00 00 00 00 00 00 0f d6 ff 39 cc 97 07 40 0a d7 a3 70 3d 0a a7 40 0a d7 a3 70 3d 0a 67 40 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 9e ef a7 c6 4b 37 1a 40 04 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 10 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 10 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 00 00 00 00 9a 99 99 99 99 99 19 40 00 00 00 00 00 00 b9 40 00 00 00 00 00 00 00 00 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 9e ef a7 c6 4b 37 1a 40 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 00 00 00 00 9a 99 99 99 99 99 19 40 00 00 00 00 00 00 b9 40 00 00 00 00 00 00 00 00 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 9e ef a7 c6 4b 37 1a 40 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 10 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 01 00 00 00 00 00 00 00 0f d6 ff 39 cc 97 07 40 0a d7 a3 70 3d 0a a7 40 0a d7 a3 70 3d 0a 67 40 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 fc a9 f1 d2 4d 62 10 40 02 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 40 00 00 00 00 00 40 9f 40 00 00 00 00 00 00 00 00 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 fc a9 f1 d2 4d 62 10 40 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 01 00 00 00 00 00 00 00 0f d6 ff 39 cc 97 07 40 0a d7 a3 70 3d 0a a7 40 0a d7 a3 70 3d 0a 67 40 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 fc a9 f1 d2 4d 62 10 40 02 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 01 00 00 00 08 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 40 00 00 00 00 00 40 9f 40 00 00 00 00 00 00 00 00 0a 00 00 00 02 00 00 00 01 00 00 00 00 00 00 00 fc a9 f1 d2 4d 62 10 40 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 00 00 00 00 03 00 00 00];
+ reg = <0x00000010 0x00100000 0x0 0x40000>;
+ };
+
+ rfdc_regs: uio@1000140000 {
+ status = "okay";
+ compatible = "usrp-uio";
+ reg = <0x10 0x00140000 0x0 0x20000>;
+ reg-names = "rfdc-regs";
+ };
+};
diff --git a/fpga/usrp3/top/x400/ip/Makefile.inc b/fpga/usrp3/top/x400/ip/Makefile.inc
new file mode 100644
index 000000000..7904740f9
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/Makefile.inc
@@ -0,0 +1,87 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(IP_DIR)/xge_pcs_pma/Makefile.inc
+include $(IP_DIR)/x4xx_ps_rfdc_bd/Makefile.inc
+include $(IP_DIR)/axi_interconnect_app_bd/Makefile.inc
+include $(IP_DIR)/axi_interconnect_eth_bd/Makefile.inc
+include $(IP_DIR)/axi_interconnect_dma_bd/Makefile.inc
+include $(IP_DIR)/ddr4_64bits/Makefile.inc
+include $(IP_DIR)/adc_100m_bd/Makefile.inc
+include $(IP_DIR)/adc_400m_bd/Makefile.inc
+include $(IP_DIR)/dac_100m_bd/Makefile.inc
+include $(IP_DIR)/dac_400m_bd/Makefile.inc
+include $(IP_DIR)/axi64_4k_2clk_fifo/Makefile.inc
+include $(IP_DIR)/fifo_short_2clk/Makefile.inc
+include $(IP_DIR)/fifo_4k_2clk/Makefile.inc
+include $(IP_DIR)/eth_100g_bd/Makefile.inc
+include $(IP_DIR)/axi_eth_dma_bd/Makefile.inc
+include $(IP_DIR)/hb47_1to2/Makefile.inc
+include $(IP_DIR)/hb47_2to1/Makefile.inc
+
+BD_SRCS = \
+$(IP_X4XX_PS_RFDC_BD_SRCS) \
+$(IP_X4XX_PS_RFDC_HDL_SRCS) \
+$(IP_AXI_INTERCONNECT_APP_BD_SRCS) \
+$(IP_AXI_INTERCONNECT_ETH_BD_SRCS) \
+$(IP_AXI_INTERCONNECT_ETH_HDL_SRCS) \
+$(IP_AXI_INTERCONNECT_DMA_BD_SRCS) \
+$(IP_AXI_INTERCONNECT_DMA_HDL_SRCS) \
+$(IP_ADC_100M_BD_SRCS) \
+$(IP_ADC_100M_HDL_SRCS) \
+$(IP_DAC_100M_BD_SRCS) \
+$(IP_DAC_100M_HDL_SRCS) \
+$(IP_ADC_400M_BD_SRCS) \
+$(IP_ADC_400M_HDL_SRCS) \
+$(IP_DAC_400M_BD_SRCS) \
+$(IP_DAC_400M_HDL_SRCS) \
+$(IP_100G_BD_SRCS) \
+$(IP_100G_HDL_SRCS) \
+$(IP_AXI_ETH_DMA_BD_SRCS) \
+$(IP_AXI_ETH_DMA_BD_HDL_SRCS)
+
+IP_XCI_SRCS = \
+$(IP_DDR4_64BITS_SRCS) \
+$(IP_XGE_PCS_PMA_SRCS) \
+$(IP_AXI64_4K_2CLK_FIFO_SRCS) \
+$(IP_FIFO_SHORT_2CLK_SRCS) \
+$(IP_FIFO_4K_2CLK_SRCS) \
+$(IP_HB47_1TO2_SRCS) \
+$(IP_HB47_2TO1_SRCS) \
+
+BD_OUTPUTS = \
+$(BD_X4XX_PS_RFDC_BD_OUTS) \
+$(BD_AXI_INTERCONNECT_APP_BD_OUTS) \
+$(BD_AXI_INTERCONNECT_ETH_BD_OUTS) \
+$(BD_AXI_INTERCONNECT_DMA_BD_OUTS) \
+$(BD_ADC_100M_BD_OUTS) \
+$(BD_ADC_400M_BD_OUTS) \
+$(BD_DAC_100M_BD_OUTS) \
+$(BD_DAC_400M_BD_OUTS) \
+$(BD_100G_BD_OUTS) \
+$(BD_AXI_ETH_DMA_BD_OUTS)
+
+IP_SYNTH_OUTPUTS = \
+$(IP_DDR4_64BITS_OUTS) \
+$(IP_XGE_PCS_PMA_OUTS) \
+$(IP_AXI64_4K_2CLK_FIFO_OUTS) \
+$(IP_FIFO_SHORT_2CLK_OUTS) \
+$(IP_FIFO_4K_2CLK_OUTS) \
+$(IP_HB47_1TO2_OUTS) \
+$(IP_HB47_2TO1_OUTS) \
+
+IP_HDL_SIM_SRCS = \
+$(IP_AXI64_4K_2CLK_FIFO_HDL_SIM_SRCS) \
+$(IP_FIFO_4K_2CLK_HDL_SIM_SRCS) \
+$(IP_FIFO_SHORT_2CLK_HDL_SIM_SRCS) \
+$(IP_AXI_INTERCONNECT_ETH_HDL_SIM_SRCS) \
+$(IP_AXI_INTERCONNECT_DMA_HDL_SIM_SRCS) \
+$(IP_100G_HDL_SIM_SRCS) \
+$(IP_XGE_PCS_PMA_HDL_SIM_SRCS)
+
+ip: $(IP_SYNTH_OUTPUTS) $(BD_OUTPUTS)
+
+.PHONY: ip
diff --git a/fpga/usrp3/top/x400/ip/adc_100m_bd/.gitignore b/fpga/usrp3/top/x400/ip/adc_100m_bd/.gitignore
new file mode 100644
index 000000000..49f7d3710
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_100m_bd/.gitignore
@@ -0,0 +1 @@
+synthstub/
diff --git a/fpga/usrp3/top/x400/ip/adc_100m_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/adc_100m_bd/Makefile.inc
new file mode 100644
index 000000000..91130f5ad
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_100m_bd/Makefile.inc
@@ -0,0 +1,38 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_ADC_100M_ORIG_SRCS = $(addprefix $(IP_DIR)/adc_100m_bd/, \
+adc_100m_bd.tcl \
+)
+
+IP_ADC_100M_HDL_SRCS = $(addprefix $(BASE_DIR)/x400/rf/, \
+100m/ddc_saturate.vhd \
+100m/adc_3_1_clk_converter.vhd \
+100m/adc_gearbox_2x1.v \
+common/PkgRf.vhd \
+common/scale_2x.vhd \
+)
+
+IP_ADC_100M_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/adc_100m_bd/, \
+adc_100m_bd.tcl \
+)
+
+IP_ADC_100M_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/adc_100m_bd/, \
+adc_100m_bd/adc_100m_bd.bd \
+)
+
+BD_ADC_100M_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/adc_100m_bd/, \
+adc_100m_bd.bd.out \
+adc_100m_bd/adc_100m_bd_ooc.xdc \
+adc_100m_bd/synth/adc_100m_bd.v \
+)
+
+EMPTY_IP_SRCS =
+
+$(IP_ADC_100M_BD_SRCS) $(BD_ADC_100M_BD_OUTS) $(IP_ADC_100M_BDTCL_SRCS): $(IP_ADC_100M_ORIG_SRCS) $(IP_ADC_100M_HDL_SRCS)
+ $(call BUILD_VIVADO_BDTCL,adc_100m_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(EMPTY_IP_SRCS),$(IP_ADC_100M_HDL_SRCS),)
diff --git a/fpga/usrp3/top/x400/ip/adc_100m_bd/adc_100m_bd.tcl b/fpga/usrp3/top/x400/ip/adc_100m_bd/adc_100m_bd.tcl
new file mode 100644
index 000000000..a3fcc6edc
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_100m_bd/adc_100m_bd.tcl
@@ -0,0 +1,435 @@
+
+################################################################
+# This is a generated script based on design: adc_100m_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source adc_100m_bd_script.tcl
+
+
+# The design that will be created by this Tcl script contains the following
+# module references:
+# adc_3_1_clk_converter, adc_gearbox_2x1, ddc_saturate, scale_2x
+
+# Please add the sources of those modules before sourcing this Tcl script.
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name adc_100m_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+ # Add USER_COMMENTS on $design_name
+ set_property USER_COMMENTS.comment_0 "Scale_2x is a simple shift to left by 2 logic and does not need any pipeline stage" [get_bd_designs $design_name]
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+xilinx.com:ip:axis_register_slice:1.1\
+xilinx.com:ip:xlconstant:1.1\
+xilinx.com:ip:fir_compiler:7.2\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+##################################################################
+# CHECK Modules
+##################################################################
+set bCheckModules 1
+if { $bCheckModules == 1 } {
+ set list_check_mods "\
+adc_3_1_clk_converter\
+adc_gearbox_2x1\
+ddc_saturate\
+scale_2x\
+"
+
+ set list_mods_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following modules exist in the project's sources: $list_check_mods ."
+
+ foreach mod_vlnv $list_check_mods {
+ if { [can_resolve_reference $mod_vlnv] == 0 } {
+ lappend list_mods_missing $mod_vlnv
+ }
+ }
+
+ if { $list_mods_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following module(s) are not found in the project: $list_mods_missing" }
+ common::send_msg_id "BD_TCL-008" "INFO" "Please add source files for the missing module(s) above."
+ set bCheckIPsPassed 0
+ }
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set adc_data_out [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_data_out ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $adc_data_out
+
+ set adc_i_data_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 adc_i_data_in ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $adc_i_data_in
+
+ set adc_q_data_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 adc_q_data_in ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $adc_q_data_in
+
+
+ # Create ports
+ set adc_data_out_resetn_dclk [ create_bd_port -dir I -type rst adc_data_out_resetn_dclk ]
+ set data_clk [ create_bd_port -dir I -type clk data_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {adc_data_out} \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $data_clk
+ set enable_data_to_fir_rclk [ create_bd_port -dir I enable_data_to_fir_rclk ]
+ set fir_resetn_rclk2x [ create_bd_port -dir I -type rst fir_resetn_rclk2x ]
+ set rfdc_adc_axi_resetn_rclk [ create_bd_port -dir I -type rst rfdc_adc_axi_resetn_rclk ]
+ set rfdc_clk [ create_bd_port -dir I -type clk rfdc_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {adc_i_data_in:adc_q_data_in} \
+ CONFIG.ASSOCIATED_RESET {adc_gearbox_resetn_rclk:rfdc_adc_axi_resetn_rclk} \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $rfdc_clk
+ set rfdc_clk_2x [ create_bd_port -dir I -type clk rfdc_clk_2x ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {368640000} \
+ ] $rfdc_clk_2x
+ set swap_iq_2x [ create_bd_port -dir I swap_iq_2x ]
+
+ # Create instance: adc_3_1_clk_converter_0, and set properties
+ set block_name adc_3_1_clk_converter
+ set block_cell_name adc_3_1_clk_converter_0
+ if { [catch {set adc_3_1_clk_converter_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $adc_3_1_clk_converter_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: adc_data_to_axi, and set properties
+ set adc_data_to_axi [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 adc_data_to_axi ]
+ set_property -dict [ list \
+ CONFIG.HAS_TREADY {0} \
+ CONFIG.REG_CONFIG {1} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ ] $adc_data_to_axi
+
+ # Create instance: adc_gearbox_2x1_0, and set properties
+ set block_name adc_gearbox_2x1
+ set block_cell_name adc_gearbox_2x1_0
+ if { [catch {set adc_gearbox_2x1_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $adc_gearbox_2x1_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: adc_i_data_from_axi, and set properties
+ set adc_i_data_from_axi [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 adc_i_data_from_axi ]
+ set_property -dict [ list \
+ CONFIG.REG_CONFIG {0} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ ] $adc_i_data_from_axi
+
+ # Create instance: adc_q_data_from_axi, and set properties
+ set adc_q_data_from_axi [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 adc_q_data_from_axi ]
+ set_property -dict [ list \
+ CONFIG.REG_CONFIG {0} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ ] $adc_q_data_from_axi
+
+ # Create instance: const_1, and set properties
+ set const_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 const_1 ]
+
+ # Create instance: ddc_saturate, and set properties
+ set block_name ddc_saturate
+ set block_cell_name ddc_saturate
+ if { [catch {set ddc_saturate [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $ddc_saturate eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: fir_compiler_0, and set properties
+ set fir_compiler_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:fir_compiler:7.2 fir_compiler_0 ]
+ set_property -dict [ list \
+ CONFIG.Clock_Frequency {384} \
+ CONFIG.CoefficientVector {-2,0,8,12,0,-26,-36,0,63,81,0,-130,-161,0,241,291,0,-415,-491,0,676,788,0,-1059,-1223,0,1621,1864,0,-2473,-2860,0,3892,4607,0,-6820,-8705,0,17900,36048,43690,36048,17900,0,-8705,-6820,0,4607,3892,0,-2860,-2473,0,1864,1621,0,-1223,-1059,0,788,676,0,-491,-415,0,291,241,0,-161,-130,0,81,63,0,-36,-26,0,12,8,0,-2} \
+ CONFIG.Coefficient_Fractional_Bits {0} \
+ CONFIG.Coefficient_Sets {1} \
+ CONFIG.Coefficient_Sign {Signed} \
+ CONFIG.Coefficient_Structure {Non_Symmetric} \
+ CONFIG.Coefficient_Width {18} \
+ CONFIG.ColumnConfig {27} \
+ CONFIG.Data_Fractional_Bits {0} \
+ CONFIG.Data_Width {16} \
+ CONFIG.Decimation_Rate {3} \
+ CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate} \
+ CONFIG.Filter_Type {Decimation} \
+ CONFIG.Has_ARESETn {true} \
+ CONFIG.Interpolation_Rate {1} \
+ CONFIG.M_DATA_Has_TREADY {false} \
+ CONFIG.Number_Channels {1} \
+ CONFIG.Number_Paths {2} \
+ CONFIG.Output_Rounding_Mode {Convergent_Rounding_to_Even} \
+ CONFIG.Output_Width {17} \
+ CONFIG.Quantization {Integer_Coefficients} \
+ CONFIG.RateSpecification {Frequency_Specification} \
+ CONFIG.Reset_Data_Vector {true} \
+ CONFIG.S_DATA_Has_FIFO {false} \
+ CONFIG.Sample_Frequency {384} \
+ CONFIG.Zero_Pack_Factor {1} \
+ ] $fir_compiler_0
+
+ # Create instance: scale_2x_0, and set properties
+ set block_name scale_2x
+ set block_cell_name scale_2x_0
+ if { [catch {set scale_2x_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $scale_2x_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+ set_property -dict [ list \
+ CONFIG.kDataWidth {32} \
+ ] $scale_2x_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net adc_data_combine_M_AXIS [get_bd_intf_ports adc_data_out] [get_bd_intf_pins adc_data_to_axi/M_AXIS]
+ connect_bd_intf_net -intf_net adc_i_axi_data_1 [get_bd_intf_ports adc_i_data_in] [get_bd_intf_pins adc_i_data_from_axi/S_AXIS]
+ connect_bd_intf_net -intf_net adc_q_axi_data_1 [get_bd_intf_ports adc_q_data_in] [get_bd_intf_pins adc_q_data_from_axi/S_AXIS]
+ connect_bd_intf_net -intf_net fir_compiler_0_M_AXIS_DATA [get_bd_intf_pins adc_3_1_clk_converter_0/s_axis] [get_bd_intf_pins fir_compiler_0/M_AXIS_DATA]
+
+ # Create port connections
+ connect_bd_net -net adc_3_1_clk_converter_0_m_axis_tdata [get_bd_pins adc_3_1_clk_converter_0/m_axis_tdata] [get_bd_pins ddc_saturate/cDataIn]
+ connect_bd_net -net adc_3_1_clk_converter_0_m_axis_tvalid [get_bd_pins adc_3_1_clk_converter_0/m_axis_tvalid] [get_bd_pins ddc_saturate/cDataValidIn]
+ connect_bd_net -net adc_data_out_resetn_dclk_1 [get_bd_ports adc_data_out_resetn_dclk] [get_bd_pins adc_3_1_clk_converter_0/m_axis_resetn] [get_bd_pins adc_data_to_axi/aresetn]
+ connect_bd_net -net adc_gearbox_2x1_0_adc_data_out_2x [get_bd_pins adc_gearbox_2x1_0/adc_out_2x] [get_bd_pins fir_compiler_0/s_axis_data_tdata]
+ connect_bd_net -net adc_gearbox_2x1_0_rfi_1x [get_bd_pins adc_i_data_from_axi/m_axis_tready] [get_bd_pins adc_q_data_from_axi/m_axis_tready] [get_bd_pins const_1/dout]
+ connect_bd_net -net adc_gearbox_2x1_0_valid_out_2x [get_bd_pins adc_gearbox_2x1_0/valid_out_2x] [get_bd_pins fir_compiler_0/s_axis_data_tvalid]
+ connect_bd_net -net adc_q_data_breakout_m_axis_tdata [get_bd_pins adc_gearbox_2x1_0/adc_q_in_1x] [get_bd_pins adc_q_data_from_axi/m_axis_tdata]
+ connect_bd_net -net aresetn_0_1 [get_bd_ports fir_resetn_rclk2x] [get_bd_pins adc_3_1_clk_converter_0/s_axis_resetn] [get_bd_pins fir_compiler_0/aresetn]
+ connect_bd_net -net axis_register_slice_0_m_axis_tdata [get_bd_pins adc_gearbox_2x1_0/adc_i_in_1x] [get_bd_pins adc_i_data_from_axi/m_axis_tdata]
+ connect_bd_net -net axis_register_slice_0_m_axis_tvalid [get_bd_pins adc_gearbox_2x1_0/valid_in_1x] [get_bd_pins adc_i_data_from_axi/m_axis_tvalid]
+ connect_bd_net -net data_clock_mmcm_data_clk [get_bd_ports data_clk] [get_bd_pins adc_3_1_clk_converter_0/m_axis_clk] [get_bd_pins adc_data_to_axi/aclk] [get_bd_pins ddc_saturate/Clk]
+ connect_bd_net -net data_clock_mmcm_rfdc_clk [get_bd_ports rfdc_clk] [get_bd_pins adc_gearbox_2x1_0/clk1x] [get_bd_pins adc_i_data_from_axi/aclk] [get_bd_pins adc_q_data_from_axi/aclk]
+ connect_bd_net -net data_clock_mmcm_rfdc_clk_2x [get_bd_ports rfdc_clk_2x] [get_bd_pins adc_3_1_clk_converter_0/s_axis_clk] [get_bd_pins adc_gearbox_2x1_0/clk2x] [get_bd_pins fir_compiler_0/aclk]
+ connect_bd_net -net ddc_saturate_cDataOut [get_bd_pins ddc_saturate/cDataOut] [get_bd_pins scale_2x_0/cDataIn]
+ connect_bd_net -net ddc_saturate_cDataValidOut [get_bd_pins ddc_saturate/cDataValidOut] [get_bd_pins scale_2x_0/cDataValidIn]
+ connect_bd_net -net enable_data_to_fir_rclk_1 [get_bd_ports enable_data_to_fir_rclk] [get_bd_pins adc_gearbox_2x1_0/enable_1x]
+ connect_bd_net -net rfdc_adc_axi_resetn_rclk_1 [get_bd_ports rfdc_adc_axi_resetn_rclk] [get_bd_pins adc_gearbox_2x1_0/reset_n_1x] [get_bd_pins adc_i_data_from_axi/aresetn] [get_bd_pins adc_q_data_from_axi/aresetn]
+ connect_bd_net -net scale_2x_0_cDataOut [get_bd_pins adc_data_to_axi/s_axis_tdata] [get_bd_pins scale_2x_0/cDataOut]
+ connect_bd_net -net scale_2x_0_cDataValidOut [get_bd_pins adc_data_to_axi/s_axis_tvalid] [get_bd_pins scale_2x_0/cDataValidOut]
+ connect_bd_net -net swap_iq_2x_1 [get_bd_ports swap_iq_2x] [get_bd_pins adc_gearbox_2x1_0/swap_iq_2x]
+
+ # Create address segments
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/adc_100m_bd/hdl_sources.tcl b/fpga/usrp3/top/x400/ip/adc_100m_bd/hdl_sources.tcl
new file mode 100644
index 000000000..770a4c041
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_100m_bd/hdl_sources.tcl
@@ -0,0 +1,10 @@
+set script_loc [file normalize [info script]]
+set script_dir [file dirname $script_loc]
+
+# Vivado's block diagram default library for files not belonging to any special
+# library is called xil_defaultlib
+read_verilog -library xil_defaultlib $script_dir/../../rf/100m/adc_gearbox_2x1.v
+read_vhdl -library xil_defaultlib $script_dir/../../rf/100m/ddc_saturate.vhd
+read_vhdl -library xil_defaultlib $script_dir/../../rf/100m/adc_3_1_clk_converter.vhd
+read_vhdl -library xil_defaultlib $script_dir/../../rf/common/PkgRf.vhd
+read_vhdl -library xil_defaultlib $script_dir/../../rf/common/scale_2x.vhd
diff --git a/fpga/usrp3/top/x400/ip/adc_400m_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/adc_400m_bd/Makefile.inc
new file mode 100644
index 000000000..658ee13fb
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_400m_bd/Makefile.inc
@@ -0,0 +1,38 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_ADC_400M_ORIG_SRCS = $(addprefix $(IP_DIR)/adc_400m_bd/, \
+adc_400m_bd.tcl \
+)
+
+IP_ADC_400M_HDL_SRCS = $(addprefix $(BASE_DIR)/x400/rf/, \
+400m/ddc_400m_saturate.vhd \
+400m/adc_gearbox_8x4.v \
+400m/adc_gearbox_2x4.vhd \
+common/PkgRf.vhd \
+common/scale_2x.vhd \
+)
+
+IP_ADC_400M_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/adc_400m_bd/, \
+adc_400m_bd.tcl \
+)
+
+IP_ADC_400M_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/adc_400m_bd/, \
+adc_400m_bd/adc_400m_bd.bd \
+)
+
+BD_ADC_400M_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/adc_400m_bd/, \
+adc_400m_bd.bd.out \
+adc_400m_bd/adc_400m_bd_ooc.xdc \
+adc_400m_bd/synth/adc_400m_bd.v \
+)
+
+EMPTY_IP_SRCS =
+
+$(IP_ADC_400M_BD_SRCS) $(BD_ADC_400M_BD_OUTS) $(IP_ADC_400M_BDTCL_SRCS): $(IP_ADC_400M_ORIG_SRCS) $(IP_ADC_400M_HDL_SRCS)
+ $(call BUILD_VIVADO_BDTCL,adc_400m_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(EMPTY_IP_SRCS),$(IP_ADC_400M_HDL_SRCS),)
diff --git a/fpga/usrp3/top/x400/ip/adc_400m_bd/adc_400m_bd.tcl b/fpga/usrp3/top/x400/ip/adc_400m_bd/adc_400m_bd.tcl
new file mode 100644
index 000000000..59ed94947
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_400m_bd/adc_400m_bd.tcl
@@ -0,0 +1,432 @@
+
+################################################################
+# This is a generated script based on design: adc_400m_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source adc_400m_bd_script.tcl
+
+
+# The design that will be created by this Tcl script contains the following
+# module references:
+# adc_gearbox_2x4, adc_gearbox_8x4, ddc_400m_saturate, scale_2x
+
+# Please add the sources of those modules before sourcing this Tcl script.
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name adc_400m_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+ # Add USER_COMMENTS on $design_name
+ set_property USER_COMMENTS.comment_0 "Scale_2x is a simple shift to left by 2 logic and does not need any pipeline stage" [get_bd_designs $design_name]
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+xilinx.com:ip:axis_register_slice:1.1\
+xilinx.com:ip:xlconstant:1.1\
+xilinx.com:ip:fir_compiler:7.2\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+##################################################################
+# CHECK Modules
+##################################################################
+set bCheckModules 1
+if { $bCheckModules == 1 } {
+ set list_check_mods "\
+adc_gearbox_2x4\
+adc_gearbox_8x4\
+ddc_400m_saturate\
+scale_2x\
+"
+
+ set list_mods_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following modules exist in the project's sources: $list_check_mods ."
+
+ foreach mod_vlnv $list_check_mods {
+ if { [can_resolve_reference $mod_vlnv] == 0 } {
+ lappend list_mods_missing $mod_vlnv
+ }
+ }
+
+ if { $list_mods_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following module(s) are not found in the project: $list_mods_missing" }
+ common::send_msg_id "BD_TCL-008" "INFO" "Please add source files for the missing module(s) above."
+ set bCheckIPsPassed 0
+ }
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set adc_data_out [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_data_out ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $adc_data_out
+
+ set adc_i_data_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 adc_i_data_in ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {16} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $adc_i_data_in
+
+ set adc_q_data_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 adc_q_data_in ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {16} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $adc_q_data_in
+
+
+ # Create ports
+ set adc_data_out_resetn_dclk [ create_bd_port -dir I -type rst adc_data_out_resetn_dclk ]
+ set data_clk [ create_bd_port -dir I -type clk data_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_RESET {adc_data_out_resetn_dclk} \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $data_clk
+ set enable_data_to_fir_rclk [ create_bd_port -dir I enable_data_to_fir_rclk ]
+ set fir_resetn_rclk2x [ create_bd_port -dir I -type rst fir_resetn_rclk2x ]
+ set rfdc_adc_axi_resetn_rclk [ create_bd_port -dir I -type rst rfdc_adc_axi_resetn_rclk ]
+ set rfdc_clk [ create_bd_port -dir I -type clk rfdc_clk ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $rfdc_clk
+ set rfdc_clk_2x [ create_bd_port -dir I -type clk rfdc_clk_2x ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_RESET {fir_resetn_rclk2x} \
+ CONFIG.FREQ_HZ {368640000} \
+ ] $rfdc_clk_2x
+ set swap_iq_2x [ create_bd_port -dir I swap_iq_2x ]
+
+ # Create instance: adc_data_to_axi, and set properties
+ set adc_data_to_axi [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 adc_data_to_axi ]
+ set_property -dict [ list \
+ CONFIG.HAS_TREADY {0} \
+ CONFIG.REG_CONFIG {1} \
+ CONFIG.TDATA_NUM_BYTES {16} \
+ ] $adc_data_to_axi
+
+ # Create instance: adc_gearbox_2x4_0, and set properties
+ set block_name adc_gearbox_2x4
+ set block_cell_name adc_gearbox_2x4_0
+ if { [catch {set adc_gearbox_2x4_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $adc_gearbox_2x4_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: adc_gearbox_8x4_0, and set properties
+ set block_name adc_gearbox_8x4
+ set block_cell_name adc_gearbox_8x4_0
+ if { [catch {set adc_gearbox_8x4_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $adc_gearbox_8x4_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: adc_i_data_from_axi, and set properties
+ set adc_i_data_from_axi [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 adc_i_data_from_axi ]
+ set_property -dict [ list \
+ CONFIG.REG_CONFIG {0} \
+ CONFIG.TDATA_NUM_BYTES {16} \
+ ] $adc_i_data_from_axi
+
+ # Create instance: adc_q_data_from_axi, and set properties
+ set adc_q_data_from_axi [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 adc_q_data_from_axi ]
+ set_property -dict [ list \
+ CONFIG.REG_CONFIG {0} \
+ CONFIG.TDATA_NUM_BYTES {16} \
+ ] $adc_q_data_from_axi
+
+ # Create instance: const_1, and set properties
+ set const_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 const_1 ]
+
+ # Create instance: ddc_400m_saturate_0, and set properties
+ set block_name ddc_400m_saturate
+ set block_cell_name ddc_400m_saturate_0
+ if { [catch {set ddc_400m_saturate_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $ddc_400m_saturate_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: fir_compiler_0, and set properties
+ set fir_compiler_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:fir_compiler:7.2 fir_compiler_0 ]
+ set_property -dict [ list \
+ CONFIG.Clock_Frequency {384} \
+ CONFIG.CoefficientVector {2,5,0,-13,-20,0,40,55,0,-95,-121,0,191,236,0,-351,-422,0,599,708,0,-974,-1136,0,1534,1778,0,-2392,-2783,0,3825,4547,0,-6775,-8668,0,17881,36039,43691,36039,17881,0,-8668,-6775,0,4547,3825,0,-2783,-2392,0,1778,1534,0,-1136,-974,0,708,599,0,-422,-351,0,236,191,0,-121,-95,0,55,40,0,-20,-13,0,5,2} \
+ CONFIG.Coefficient_Fractional_Bits {0} \
+ CONFIG.Coefficient_Sets {1} \
+ CONFIG.Coefficient_Sign {Signed} \
+ CONFIG.Coefficient_Structure {Inferred} \
+ CONFIG.Coefficient_Width {18} \
+ CONFIG.ColumnConfig {26} \
+ CONFIG.Decimation_Rate {3} \
+ CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate} \
+ CONFIG.Filter_Type {Decimation} \
+ CONFIG.Has_ARESETn {true} \
+ CONFIG.Interpolation_Rate {1} \
+ CONFIG.Number_Channels {1} \
+ CONFIG.Number_Paths {2} \
+ CONFIG.Output_Rounding_Mode {Convergent_Rounding_to_Even} \
+ CONFIG.Output_Width {17} \
+ CONFIG.Quantization {Integer_Coefficients} \
+ CONFIG.RateSpecification {Frequency_Specification} \
+ CONFIG.Reset_Data_Vector {false} \
+ CONFIG.S_DATA_Has_FIFO {false} \
+ CONFIG.Sample_Frequency {1536} \
+ CONFIG.Zero_Pack_Factor {1} \
+ ] $fir_compiler_0
+
+ # Create instance: scale_2x_0, and set properties
+ set block_name scale_2x
+ set block_cell_name scale_2x_0
+ if { [catch {set scale_2x_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $scale_2x_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+ set_property -dict [ list \
+ CONFIG.kDataWidth {128} \
+ ] $scale_2x_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net S_AXIS_0_1 [get_bd_intf_ports adc_q_data_in] [get_bd_intf_pins adc_q_data_from_axi/S_AXIS]
+ connect_bd_intf_net -intf_net S_AXIS_0_2 [get_bd_intf_ports adc_i_data_in] [get_bd_intf_pins adc_i_data_from_axi/S_AXIS]
+ connect_bd_intf_net -intf_net adc_data_to_axi_M_AXIS [get_bd_intf_ports adc_data_out] [get_bd_intf_pins adc_data_to_axi/M_AXIS]
+
+ # Create port connections
+ connect_bd_net -net aclk_0_3 [get_bd_ports rfdc_clk_2x] [get_bd_pins adc_gearbox_2x4_0/Clk3x] [get_bd_pins adc_gearbox_8x4_0/clk2x] [get_bd_pins fir_compiler_0/aclk]
+ connect_bd_net -net adc_data_out_resetn_dclk [get_bd_ports adc_data_out_resetn_dclk] [get_bd_pins adc_data_to_axi/aresetn] [get_bd_pins adc_gearbox_2x4_0/ac1Reset_n]
+ connect_bd_net -net adc_gearbox_2x4_0_c1DataOut [get_bd_pins adc_gearbox_2x4_0/c1DataOut] [get_bd_pins ddc_400m_saturate_0/cDataIn]
+ connect_bd_net -net adc_gearbox_2x4_0_c1DataValidOut [get_bd_pins adc_gearbox_2x4_0/c1DataValidOut] [get_bd_pins ddc_400m_saturate_0/cDataValidIn]
+ connect_bd_net -net adc_gearbox_8x4_0_adc_out_2x [get_bd_pins adc_gearbox_8x4_0/adc_out_2x] [get_bd_pins fir_compiler_0/s_axis_data_tdata]
+ connect_bd_net -net adc_gearbox_8x4_0_valid_out_2x [get_bd_pins adc_gearbox_8x4_0/valid_out_2x] [get_bd_pins fir_compiler_0/s_axis_data_tvalid]
+ connect_bd_net -net adc_i_data_from_axi_m_axis_tdata [get_bd_pins adc_gearbox_8x4_0/adc_i_in_1x] [get_bd_pins adc_i_data_from_axi/m_axis_tdata]
+ connect_bd_net -net adc_q_data_from_axi_m_axis_tdata [get_bd_pins adc_gearbox_8x4_0/adc_q_in_1x] [get_bd_pins adc_q_data_from_axi/m_axis_tdata]
+ connect_bd_net -net adc_q_data_from_axi_m_axis_tvalid [get_bd_pins adc_gearbox_8x4_0/valid_in_1x] [get_bd_pins adc_q_data_from_axi/m_axis_tvalid]
+ connect_bd_net -net aresetn_0_1 [get_bd_ports fir_resetn_rclk2x] [get_bd_pins adc_gearbox_2x4_0/ac3Reset_n] [get_bd_pins fir_compiler_0/aresetn]
+ connect_bd_net -net const_1_dout [get_bd_pins adc_i_data_from_axi/m_axis_tready] [get_bd_pins adc_q_data_from_axi/m_axis_tready] [get_bd_pins const_1/dout]
+ connect_bd_net -net data_clk_1 [get_bd_ports data_clk] [get_bd_pins adc_data_to_axi/aclk] [get_bd_pins adc_gearbox_2x4_0/Clk1x] [get_bd_pins ddc_400m_saturate_0/Clk]
+ connect_bd_net -net ddc_400m_saturate_0_cDataOut [get_bd_pins ddc_400m_saturate_0/cDataOut] [get_bd_pins scale_2x_0/cDataIn]
+ connect_bd_net -net ddc_400m_saturate_0_cDataValidOut [get_bd_pins ddc_400m_saturate_0/cDataValidOut] [get_bd_pins scale_2x_0/cDataValidIn]
+ connect_bd_net -net enable_1x_0_1 [get_bd_ports enable_data_to_fir_rclk] [get_bd_pins adc_gearbox_8x4_0/enable_1x]
+ connect_bd_net -net fir_compiler_0_m_axis_data_tdata [get_bd_pins adc_gearbox_2x4_0/c3DataIn] [get_bd_pins fir_compiler_0/m_axis_data_tdata]
+ connect_bd_net -net fir_compiler_0_m_axis_data_tvalid [get_bd_pins adc_gearbox_2x4_0/c3DataValidIn] [get_bd_pins fir_compiler_0/m_axis_data_tvalid]
+ connect_bd_net -net reset_n_1x_0_1 [get_bd_ports rfdc_adc_axi_resetn_rclk] [get_bd_pins adc_gearbox_8x4_0/reset_n_1x] [get_bd_pins adc_i_data_from_axi/aresetn] [get_bd_pins adc_q_data_from_axi/aresetn]
+ connect_bd_net -net rfdc_clk_1 [get_bd_ports rfdc_clk] [get_bd_pins adc_gearbox_8x4_0/clk1x] [get_bd_pins adc_i_data_from_axi/aclk] [get_bd_pins adc_q_data_from_axi/aclk]
+ connect_bd_net -net scale_2x_0_cDataOut [get_bd_pins adc_data_to_axi/s_axis_tdata] [get_bd_pins scale_2x_0/cDataOut]
+ connect_bd_net -net scale_2x_0_cDataValidOut [get_bd_pins adc_data_to_axi/s_axis_tvalid] [get_bd_pins scale_2x_0/cDataValidOut]
+ connect_bd_net -net swap_iq_2x_0_1 [get_bd_ports swap_iq_2x] [get_bd_pins adc_gearbox_8x4_0/swap_iq_2x]
+
+ # Create address segments
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/adc_400m_bd/hdl_sources.tcl b/fpga/usrp3/top/x400/ip/adc_400m_bd/hdl_sources.tcl
new file mode 100644
index 000000000..c19ebf78e
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_400m_bd/hdl_sources.tcl
@@ -0,0 +1,10 @@
+set script_loc [file normalize [info script]]
+set script_dir [file dirname $script_loc]
+
+# Vivado's block diagram default library for files not belonging to any special
+# library is called xil_defaultlib
+read_verilog -library xil_defaultlib $script_dir/../../rf/400m/adc_gearbox_8x4.v
+read_vhdl -library xil_defaultlib $script_dir/../../rf/common/PkgRf.vhd
+read_vhdl -library xil_defaultlib $script_dir/../../rf/400m/adc_gearbox_2x4.vhd
+read_vhdl -library xil_defaultlib $script_dir/../../rf/400m/ddc_400m_saturate.vhd
+read_vhdl -library xil_defaultlib $script_dir/../../rf/common/scale_2x.vhd
diff --git a/fpga/usrp3/top/x400/ip/adc_400m_bd/synthstub/adc_400m_bd.vhd b/fpga/usrp3/top/x400/ip/adc_400m_bd/synthstub/adc_400m_bd.vhd
new file mode 100644
index 000000000..68a8770b4
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/adc_400m_bd/synthstub/adc_400m_bd.vhd
@@ -0,0 +1,48 @@
+------------------------------------------------------------------------------------------
+--
+-- File: adc_400m_bd.vhd
+-- Author: niBlockDesign::niBdExportStub
+-- Original Project: HwBuildTools
+-- Date: 21 October 2020
+--
+------------------------------------------------------------------------------------------
+-- (c) Copyright National Instruments Corporation
+-- All Rights Reserved
+-- National Instruments Internal Information
+------------------------------------------------------------------------------------------
+--
+-- Purpose: This is an automatically generated stub file to match the entity
+-- declaration for 'adc_400m_bd'. This file was created using niBdExportStub
+-- Do not modify this file directly!
+--
+------------------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+library unisim;
+use unisim.vcomponents.all;
+
+entity adc_400m_bd is
+port (
+ adc_data_out_resetn_dclk : in STD_LOGIC;
+ data_clk : in STD_LOGIC;
+ enable_data_to_fir_rclk : in STD_LOGIC;
+ fir_resetn_rclk2x : in STD_LOGIC;
+ rfdc_adc_axi_resetn_rclk : in STD_LOGIC;
+ rfdc_clk : in STD_LOGIC;
+ rfdc_clk_2x : in STD_LOGIC;
+ swap_iq_2x : in STD_LOGIC;
+ adc_q_data_in_tvalid : in STD_LOGIC;
+ adc_q_data_in_tready : out STD_LOGIC;
+ adc_q_data_in_tdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_i_data_in_tvalid : in STD_LOGIC;
+ adc_i_data_in_tready : out STD_LOGIC;
+ adc_i_data_in_tdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_data_out_tvalid : out STD_LOGIC;
+ adc_data_out_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 )
+ );
+ end entity adc_400m_bd;
+
+architecture stub of adc_400m_bd is
+begin
+end architecture stub;
diff --git a/fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/Makefile.inc b/fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/Makefile.inc
new file mode 100644
index 000000000..81ddcdc00
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/Makefile.inc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_AXI64_4K_2CLK_FIFO_SRCS = $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci
+
+IP_AXI64_4K_2CLK_FIFO_HDL_SIM_SRCS = $(addprefix $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/, \
+axi64_4k_2clk_fifo_sim_netlist.v \
+)
+
+IP_AXI64_4K_2CLK_FIFO_OUTS = $(addprefix $(IP_BUILD_DIR)/axi64_4k_2clk_fifo/, \
+axi64_4k_2clk_fifo.xci.out \
+synth/axi64_4k_2clk_fifo.vhd \
+)
+
+$(IP_AXI64_4K_2CLK_FIFO_SRCS) $(IP_AXI64_4K_2CLK_FIFO_OUTS) : $(IP_DIR)/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci
+ $(call BUILD_VIVADO_IP,axi64_4k_2clk_fifo,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0)
diff --git a/fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci b/fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci
new file mode 100644
index 000000000..26d706087
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi64_4k_2clk_fifo/axi64_4k_2clk_fifo.xci
@@ -0,0 +1,584 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>axi64_4k_2clk_fifo</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="13.2"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TLAST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ARESETN.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TLAST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADD_NGC_CONSTRAINT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDEST_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TID_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TKEEP_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TSTRB_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LEN_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LOCK_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_VALUE">BlankString</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_AXIS">69</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RACH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RDCH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WACH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WDCH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WRCH">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_RST_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_WIDTH">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RLOCS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RST_SYNC">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FULL_FLAGS_RST_VAL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_EMPTY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_FULL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDATA">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDEST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TLAST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TUSER">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ARUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_AWUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_BUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RD_CHANNEL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WR_CHANNEL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BACKUP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_AXIS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INT_CLK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MASTER_CE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEMINIT_FILE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_OVERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_DATA_COUNT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_RST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SLAVE_CE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SRST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_UNDERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_VALID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_ACK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_DATA_COUNT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_RST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_AXIS">11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RACH">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RDCH">11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WACH">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WDCH">11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WRCH">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_WR_PNTR_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEMORY_TYPE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MIF_FILE_NAME">BlankString</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MSGON_VAL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERFLOW_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_SAVING_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_LATENCY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_REGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE">4kx4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_AXIS">512x72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RACH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RDCH">1kx36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WACH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WDCH">1kx36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WRCH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS">509</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH">13</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH">1021</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH">13</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH">1021</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH">13</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_NEGATE_VAL">3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_AXIS">511</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RACH">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RDCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WACH">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WDCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WRCH">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_NEGATE_VAL">1021</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RACH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RDCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DEPTH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_FREQ">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_PNTR_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SELECT_XPM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNCHRONIZER_STAGE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_UNDERFLOW_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_OVERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_UNDERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_SETTINGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DOUT_RST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_EMBEDDED_REG">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FIFO16_FLAGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FWFT_DATA_COUNT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PIPELINE_REG">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_VALID_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WACH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WDCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_ACK_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_AXIS">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RACH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RDCH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WACH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WDCH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WRCH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_FREQ">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_AXIS">9</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RACH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RDCH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WACH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WDCH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WRCH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_RESPONSE_LATENCY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDRESS_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_NGC_Constraint_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Empty_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Full_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_SELECT_XPM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Enable_Type">Slave_Interface_Clock_Enable</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Type_AXI">Independent_Clock</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">axi64_4k_2clk_fifo</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count_Width">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Dout_Reset_Value">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_axis">509</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rach">13</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rdch">1021</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wach">13</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wdch">1021</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wrch">13</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Negate_Value">3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Overflow">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Underflow">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_axis">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_Type">Hard_ECC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Reset_Synchronization">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Safety_Circuit">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TLAST">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TREADY">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_axis">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rach">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rdch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wach">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wdch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wrch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_axis">Independent_Clocks_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rach">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rdch">Independent_Clocks_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wach">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wdch">Independent_Clocks_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wrch">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fifo_Implementation">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Flags_Reset_Value">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_axis">511</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rach">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rdch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wach">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wdch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wrch">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value">1021</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_ACLKEN">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TKEEP">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TSTRB">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">AXI_STREAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Data_Width">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_axis">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rach">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rdch">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wach">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wdch">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wrch">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Master_interface_Clock_enable_memory_mapped">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Data_Width">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Depth">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Register_Type">Embedded_Reg</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense_AXI">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Performance_Options">Standard_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_axis">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wrch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_axis">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wrch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Clock_Frequency">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count_Width">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_axis">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rach">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rdch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wach">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wdch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wrch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Pin">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">Asynchronous_Reset</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Slave_interface_Clock_enable_memory_mapped">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDATA_NUM_BYTES">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TKEEP_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TSTRB_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense_AXI">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Dout_Reset">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Extra_Logic">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Clock_Frequency">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count_Width">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.asymmetric_port_width">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axis_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dynamic_power_saving">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecc_pipeline_reg">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_low_latency">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_read_pointer_increment_by2">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rach_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rdch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages_axi">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_dout_register">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wach_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wdch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wrch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z100</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TLAST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.TUSER_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TLAST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TUSER_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Clock_Type_AXI" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_axis" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rach" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rdch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wach" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wdch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wrch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_Data_Counts_axis" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_TLAST" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.FIFO_Implementation_axis" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.FIFO_Implementation_rach" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.FIFO_Implementation_rdch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.FIFO_Implementation_wach" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.FIFO_Implementation_wdch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.FIFO_Implementation_wrch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Flags_Reset_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_axis" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rach" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wach" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wrch" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.INTERFACE_TYPE" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Input_Depth_axis" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Type" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TKEEP_WIDTH" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TSTRB_WIDTH" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/Makefile.inc
new file mode 100644
index 000000000..c1da814e2
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/Makefile.inc
@@ -0,0 +1,31 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_AXI_ETH_DMA_BD_HDL_SRCS = $(addprefix $(IP_DIR)/axi_eth_dma_bd/, \
+axi_eth_dma.sv \
+)
+
+IP_AXI_ETH_DMA_BD_ORIG_SRCS = $(addprefix $(IP_DIR)/axi_eth_dma_bd/, \
+axi_eth_dma_bd.tcl \
+)
+
+IP_AXI_ETH_DMA_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_eth_dma_bd/, \
+axi_eth_dma_bd.tcl \
+)
+
+IP_AXI_ETH_DMA_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_eth_dma_bd/, \
+axi_eth_dma_bd/axi_eth_dma_bd.bd \
+)
+
+BD_AXI_ETH_DMA_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_eth_dma_bd/, \
+axi_eth_dma_bd.bd.out \
+axi_eth_dma_bd/synth/axi_eth_dma_bd.v \
+)
+
+$(IP_AXI_ETH_DMA_BD_SRCS) $(BD_AXI_ETH_DMA_BD_OUTS) $(IP_AXI_ETH_DMA_BDTCL_SRCS): $(IP_AXI_ETH_DMA_BD_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,axi_eth_dma_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi)
diff --git a/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma.sv b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma.sv
new file mode 100644
index 000000000..87e8825c5
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma.sv
@@ -0,0 +1,73 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: axi_eth_dma
+//
+// Description: Wrapper for the Xilinx AXI DMA
+//
+
+module axi_eth_dma (
+ // All interfaces on s_axi_eth_dma.clk domain
+ AxiStreamIf.slave e2c, // from enet mac
+ AxiStreamIf.master c2e, // to enet mac
+ AxiLiteIf.slave s_axi_eth_dma, // Register interface
+ AxiIf.master axi_hp, // DMA interface to host memory
+ output logic eth_rx_irq,
+ output logic eth_tx_irq
+
+);
+
+ `include "../../../../lib/axi4lite_sv/axi_lite.vh"
+ `include "../../../../lib/axi4s_sv/axi4s.vh"
+ `include "../../../../lib/axi4_sv/axi.vh"
+
+ // _v versions have no procedural assignment so they can drive a port.
+ AxiLiteIf_v #(32,10)
+ s_axi_eth_dma_v(.clk(s_axi_eth_dma.clk), .rst(s_axi_eth_dma.rst));
+ AxiIf_v #(128,49)
+ axi_hp_v(.clk(s_axi_eth_dma.clk), .rst(s_axi_eth_dma.rst));
+
+ AxiStreamIf #(.DATA_WIDTH(c2e.DATA_WIDTH), .USER_WIDTH(c2e.USER_WIDTH), .TUSER(0))
+ c2e_v (c2e.clk, c2e.rst);
+ AxiStreamIf #(.DATA_WIDTH(e2c.DATA_WIDTH), .USER_WIDTH(e2c.USER_WIDTH), .TUSER(0))
+ e2c_v (e2c.clk, e2c.rst);
+
+ always_comb begin
+ // O = I; (O , I )
+ `AXI4LITE_ASSIGN(s_axi_eth_dma_v, s_axi_eth_dma)
+ // Overriding assignments to mask off upper address bits
+ s_axi_eth_dma_v.araddr = 0;
+ s_axi_eth_dma_v.araddr[9:0] = s_axi_eth_dma.araddr[9:0];
+ s_axi_eth_dma_v.awaddr = 0;
+ s_axi_eth_dma_v.awaddr[9:0] = s_axi_eth_dma.awaddr[9:0];
+ end
+ always_comb begin `AXI4S_ASSIGN(e2c_v, e2c) end
+ always_comb begin `AXI4S_ASSIGN(c2e, c2e_v) end
+ always_comb begin `AXI4_ASSIGN(axi_hp, axi_hp_v) end
+
+ axi_eth_dma_bd axi_eth_dma_bd_i (
+ .clk40 (s_axi_eth_dma.clk),
+ .clk40_rstn (!s_axi_eth_dma.rst),
+
+ .c2e_tdata (c2e_v.tdata),
+ .c2e_tkeep (c2e_v.tkeep),
+ .c2e_tlast (c2e_v.tlast),
+ .c2e_tready (c2e_v.tready),
+ .c2e_tvalid (c2e_v.tvalid),
+
+ .e2c_tdata (e2c_v.tdata),
+ .e2c_tkeep (e2c_v.tkeep),
+ .e2c_tlast (e2c_v.tlast),
+ .e2c_tready (e2c_v.tready),
+ .e2c_tvalid (e2c_v.tvalid),
+
+ `AXI4LITE_PORT_ASSIGN_NRS(axi_eth_dma, s_axi_eth_dma_v)
+ `AXI4_PORT_ASSIGN_NR(axi_hp, axi_hp_v)
+
+ .eth_tx_irq (eth_tx_irq),
+ .eth_rx_irq (eth_rx_irq)
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma_bd.tcl b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma_bd.tcl
new file mode 100644
index 000000000..da97912fc
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/axi_eth_dma_bd.tcl
@@ -0,0 +1,325 @@
+
+################################################################
+# This is a generated script based on design: axi_eth_dma_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source axi_eth_dma_bd_script.tcl
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name axi_eth_dma_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+xilinx.com:ip:axi_dma:7.1\
+xilinx.com:ip:smartconnect:1.0\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set axi_eth_dma [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 axi_eth_dma ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {0} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {1} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {1} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {1} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $axi_eth_dma
+
+ set axi_hp [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 axi_hp ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {16} \
+ CONFIG.NUM_WRITE_OUTSTANDING {16} \
+ CONFIG.PROTOCOL {AXI4} \
+ ] $axi_hp
+
+ set c2e [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 c2e ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $c2e
+
+ set e2c [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 e2c ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_TKEEP {1} \
+ CONFIG.HAS_TLAST {1} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {8} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $e2c
+
+
+ # Create ports
+ set clk40 [ create_bd_port -dir I -type clk clk40 ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {e2c:axi_eth_dma:axi_hp:c2e} \
+ CONFIG.ASSOCIATED_RESET {clk40_rstn} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $clk40
+ set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ]
+ set eth_rx_irq [ create_bd_port -dir O -type intr eth_rx_irq ]
+ set eth_tx_irq [ create_bd_port -dir O -type intr eth_tx_irq ]
+
+ # Create instance: axi_eth_dma, and set properties
+ set axi_eth_dma [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_dma:7.1 axi_eth_dma ]
+ set_property -dict [ list \
+ CONFIG.c_addr_width {36} \
+ CONFIG.c_enable_multi_channel {0} \
+ CONFIG.c_include_mm2s_dre {1} \
+ CONFIG.c_include_s2mm {1} \
+ CONFIG.c_include_s2mm_dre {1} \
+ CONFIG.c_m_axi_mm2s_data_width {64} \
+ CONFIG.c_m_axi_s2mm_data_width {64} \
+ CONFIG.c_m_axis_mm2s_tdata_width {64} \
+ CONFIG.c_micro_dma {0} \
+ CONFIG.c_mm2s_burst_size {8} \
+ CONFIG.c_s2mm_burst_size {16} \
+ CONFIG.c_sg_include_stscntrl_strm {0} \
+ ] $axi_eth_dma
+
+ # Create instance: smartconnect_eth_dma, and set properties
+ set smartconnect_eth_dma [ create_bd_cell -type ip -vlnv xilinx.com:ip:smartconnect:1.0 smartconnect_eth_dma ]
+ set_property -dict [ list \
+ CONFIG.NUM_SI {3} \
+ ] $smartconnect_eth_dma
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net Conn3 [get_bd_intf_ports c2e] [get_bd_intf_pins axi_eth_dma/M_AXIS_MM2S]
+ connect_bd_intf_net -intf_net Conn4 [get_bd_intf_ports e2c] [get_bd_intf_pins axi_eth_dma/S_AXIS_S2MM]
+ connect_bd_intf_net -intf_net axi_eth_dma_internal_M_AXI_MM2S [get_bd_intf_pins axi_eth_dma/M_AXI_MM2S] [get_bd_intf_pins smartconnect_eth_dma/S01_AXI]
+ connect_bd_intf_net -intf_net axi_eth_dma_internal_M_AXI_S2MM [get_bd_intf_pins axi_eth_dma/M_AXI_S2MM] [get_bd_intf_pins smartconnect_eth_dma/S02_AXI]
+ connect_bd_intf_net -intf_net axi_eth_dma_internal_M_AXI_SG [get_bd_intf_pins axi_eth_dma/M_AXI_SG] [get_bd_intf_pins smartconnect_eth_dma/S00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_eth_dma_ctrl [get_bd_intf_ports axi_eth_dma] [get_bd_intf_pins axi_eth_dma/S_AXI_LITE]
+ connect_bd_intf_net -intf_net smartconnect_0_M00_AXI [get_bd_intf_ports axi_hp] [get_bd_intf_pins smartconnect_eth_dma/M00_AXI]
+
+ # Create port connections
+ connect_bd_net -net axi_eth_dma_mm2s_introut [get_bd_ports eth_tx_irq] [get_bd_pins axi_eth_dma/mm2s_introut]
+ connect_bd_net -net axi_eth_dma_s2mm_introut [get_bd_ports eth_rx_irq] [get_bd_pins axi_eth_dma/s2mm_introut]
+ connect_bd_net -net clk40 [get_bd_ports clk40] [get_bd_pins axi_eth_dma/m_axi_mm2s_aclk] [get_bd_pins axi_eth_dma/m_axi_s2mm_aclk] [get_bd_pins axi_eth_dma/m_axi_sg_aclk] [get_bd_pins axi_eth_dma/s_axi_lite_aclk] [get_bd_pins smartconnect_eth_dma/aclk]
+ connect_bd_net -net clk40_rstn [get_bd_ports clk40_rstn] [get_bd_pins axi_eth_dma/axi_resetn] [get_bd_pins smartconnect_eth_dma/aresetn]
+
+ # Create address segments
+ create_bd_addr_seg -range 0x001000000000 -offset 0x00000000 [get_bd_addr_spaces axi_eth_dma/Data_SG] [get_bd_addr_segs axi_hp/Reg] SEG_m_axi_to_ps_Reg
+ create_bd_addr_seg -range 0x001000000000 -offset 0x00000000 [get_bd_addr_spaces axi_eth_dma/Data_MM2S] [get_bd_addr_segs axi_hp/Reg] SEG_m_axi_to_ps_Reg
+ create_bd_addr_seg -range 0x001000000000 -offset 0x00000000 [get_bd_addr_spaces axi_eth_dma/Data_S2MM] [get_bd_addr_segs axi_hp/Reg] SEG_m_axi_to_ps_Reg
+ create_bd_addr_seg -range 0x010000000000 -offset 0x00000000 [get_bd_addr_spaces axi_eth_dma] [get_bd_addr_segs axi_eth_dma/S_AXI_LITE/Reg] SEG_axi_eth_dma_Reg
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/synthstub/axi_eth_dma_bd.vhd b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/synthstub/axi_eth_dma_bd.vhd
new file mode 100644
index 000000000..55ef1c05c
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_eth_dma_bd/synthstub/axi_eth_dma_bd.vhd
@@ -0,0 +1,90 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: axi_eth_dma_bd
+--
+-- Description:
+--
+-- This is an automatically generated stub file to match the entity
+-- declaration for 'axi_eth_dma_bd'. This file was created using
+-- niBdExportStub Do not modify this file directly!
+--
+
+library ieee;
+use ieee.std_logic_1164.all;
+library unisim;
+use unisim.vcomponents.all;
+
+entity axi_eth_dma_bd is
+port (
+ clk40 : in STD_LOGIC;
+ clk40_rstn : in STD_LOGIC;
+ eth_rx_irq : out STD_LOGIC;
+ eth_tx_irq : out STD_LOGIC;
+ c2e_tdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
+ c2e_tkeep : out STD_LOGIC_VECTOR ( 7 downto 0 );
+ c2e_tlast : out STD_LOGIC;
+ c2e_tready : in STD_LOGIC;
+ c2e_tvalid : out STD_LOGIC;
+ e2c_tdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
+ e2c_tkeep : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ e2c_tlast : in STD_LOGIC;
+ e2c_tready : out STD_LOGIC;
+ e2c_tvalid : in STD_LOGIC;
+ axi_eth_dma_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
+ axi_eth_dma_arready : out STD_LOGIC;
+ axi_eth_dma_arvalid : in STD_LOGIC;
+ axi_eth_dma_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
+ axi_eth_dma_awready : out STD_LOGIC;
+ axi_eth_dma_awvalid : in STD_LOGIC;
+ axi_eth_dma_bready : in STD_LOGIC;
+ axi_eth_dma_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ axi_eth_dma_bvalid : out STD_LOGIC;
+ axi_eth_dma_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ axi_eth_dma_rready : in STD_LOGIC;
+ axi_eth_dma_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ axi_eth_dma_rvalid : out STD_LOGIC;
+ axi_eth_dma_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ axi_eth_dma_wready : out STD_LOGIC;
+ axi_eth_dma_wvalid : in STD_LOGIC;
+ axi_hp_awaddr : out STD_LOGIC_VECTOR ( 48 downto 0 );
+ axi_hp_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
+ axi_hp_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ axi_hp_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ axi_hp_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
+ axi_hp_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ axi_hp_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ axi_hp_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ axi_hp_awvalid : out STD_LOGIC;
+ axi_hp_awready : in STD_LOGIC;
+ axi_hp_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ axi_hp_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 );
+ axi_hp_wlast : out STD_LOGIC;
+ axi_hp_wvalid : out STD_LOGIC;
+ axi_hp_wready : in STD_LOGIC;
+ axi_hp_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ axi_hp_bvalid : in STD_LOGIC;
+ axi_hp_bready : out STD_LOGIC;
+ axi_hp_araddr : out STD_LOGIC_VECTOR ( 48 downto 0 );
+ axi_hp_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
+ axi_hp_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ axi_hp_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ axi_hp_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
+ axi_hp_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ axi_hp_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ axi_hp_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ axi_hp_arvalid : out STD_LOGIC;
+ axi_hp_arready : in STD_LOGIC;
+ axi_hp_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ axi_hp_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ axi_hp_rlast : in STD_LOGIC;
+ axi_hp_rvalid : in STD_LOGIC;
+ axi_hp_rready : out STD_LOGIC
+ );
+ end entity axi_eth_dma_bd;
+
+architecture stub of axi_eth_dma_bd is
+begin
+end architecture stub;
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/Makefile.inc
new file mode 100644
index 000000000..788b06761
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/Makefile.inc
@@ -0,0 +1,28 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_AXI_INTERCONNECT_APP_ORIG_SRCS = $(addprefix $(IP_DIR)/axi_interconnect_app_bd/, \
+axi_interconnect_app_bd.tcl \
+)
+
+IP_AXI_INTERCONNECT_APP_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_app_bd/, \
+axi_interconnect_app_bd.tcl \
+)
+
+IP_AXI_INTERCONNECT_APP_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_app_bd/, \
+axi_interconnect_app_bd/axi_interconnect_app_bd.bd \
+)
+
+BD_AXI_INTERCONNECT_APP_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_app_bd/, \
+axi_interconnect_app_bd.bd.out \
+axi_interconnect_app_bd/axi_interconnect_app_bd_ooc.xdc \
+axi_interconnect_app_bd/synth/axi_interconnect_app_bd.v \
+)
+
+$(IP_AXI_INTERCONNECT_APP_BD_SRCS) $(BD_AXI_INTERCONNECT_APP_BD_OUTS) $(IP_AXI_INTERCONNECT_APP_BDTCL_SRCS): $(IP_AXI_INTERCONNECT_APP_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,axi_interconnect_app_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi)
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/axi_interconnect_app_bd.tcl b/fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/axi_interconnect_app_bd.tcl
new file mode 100644
index 000000000..6647aa39a
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_app_bd/axi_interconnect_app_bd.tcl
@@ -0,0 +1,303 @@
+
+################################################################
+# This is a generated script based on design: axi_interconnect_app_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source axi_interconnect_app_bd_script.tcl
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name axi_interconnect_app_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set m_axi_qsfp0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_qsfp0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_app_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_qsfp0
+
+ set m_axi_qsfp1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_qsfp1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_app_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_WSTRB {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_qsfp1
+
+ set s_axi_app [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_app ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_app_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {1} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {4} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {4} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_app
+
+
+ # Create ports
+ set clk40 [ create_bd_port -dir I -type clk clk40 ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {m_axi_qsfp0:m_axi_qsfp1:s_axi_app} \
+ CONFIG.ASSOCIATED_RESET {clk40_rstn} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_app_bd_clk40} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $clk40
+ set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ]
+
+ # Create instance: axi_interconnect_0, and set properties
+ set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ]
+ set_property -dict [ list \
+ CONFIG.NUM_MI {2} \
+ ] $axi_interconnect_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_ports s_axi_app] [get_bd_intf_pins axi_interconnect_0/S00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_ports m_axi_qsfp0] [get_bd_intf_pins axi_interconnect_0/M00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_ports m_axi_qsfp1] [get_bd_intf_pins axi_interconnect_0/M01_AXI]
+
+ # Create port connections
+ connect_bd_net -net clk40_1 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK]
+ connect_bd_net -net clk40_rstn_1 [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN]
+
+ # Create address segments
+ create_bd_addr_seg -range 0x00040000 -offset 0x001200000000 [get_bd_addr_spaces s_axi_app] [get_bd_addr_segs m_axi_qsfp0/Reg] SEG_m_axi_qsfp0_Reg
+ create_bd_addr_seg -range 0x00040000 -offset 0x001200040000 [get_bd_addr_spaces s_axi_app] [get_bd_addr_segs m_axi_qsfp1/Reg] SEG_m_axi_qsfp1_Reg
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/Makefile.inc
new file mode 100644
index 000000000..31b996bb9
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/Makefile.inc
@@ -0,0 +1,41 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_AXI_INTERCONNECT_DMA_HDL_SRCS = $(addprefix $(IP_DIR)/axi_interconnect_dma_bd/, \
+axi_interconnect_dma.sv \
+)
+
+IP_AXI_INTERCONNECT_DMA_HDL_SIM_SRCS = $(wildcard $(addprefix $(IP_BUILD_DIR)/axi_interconnect_dma_bd/axi_interconnect_dma_bd/, \
+sim/axi_interconnect_dma_bd.v\
+ip/axi_interconnect_dma_bd_xbar_0/sim/axi_interconnect_dma_bd_xbar_0.v\
+ipshared/*/simulation/fifo_generator_vlog_beh.v\
+ipshared/*/hdl/*.v\
+))
+
+IP_AXI_INTERCONNECT_DMA_ORIG_SRCS = $(addprefix $(IP_DIR)/axi_interconnect_dma_bd/, \
+axi_interconnect_dma_bd.tcl \
+)
+
+IP_AXI_INTERCONNECT_DMA_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_dma_bd/, \
+axi_interconnect_dma_bd.tcl \
+)
+
+IP_AXI_INTERCONNECT_DMA_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_dma_bd/, \
+axi_interconnect_dma_bd/axi_interconnect_dma_bd.bd \
+)
+
+BD_AXI_INTERCONNECT_DMA_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_dma_bd/, \
+axi_interconnect_dma_bd.bd.out \
+axi_interconnect_dma_bd/axi_interconnect_dma_bd_ooc.xdc \
+axi_interconnect_dma_bd/synth/axi_interconnect_dma_bd.v \
+)
+
+
+
+$(IP_AXI_INTERCONNECT_DMA_BD_SRCS) $(BD_AXI_INTERCONNECT_DMA_BD_OUTS) $(IP_AXI_INTERCONNECT_DMA_BDTCL_SRCS): $(IP_AXI_INTERCONNECT_DMA_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,axi_interconnect_dma_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi)
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma.sv b/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma.sv
new file mode 100644
index 000000000..9fd2f6589
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma.sv
@@ -0,0 +1,40 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: axi_interconnect_dma
+//
+// Description: Wrapper for the Xilinx AXI interconnect block
+//
+
+module axi_interconnect_dma (
+ AxiIf.slave s_axi_hp_dma [3:0], // Incoming AXI from DMA engines
+ AxiIf.master m_axi_hp // Outgoing AXI to memory
+);
+
+ // AxiIf_v has no procedural assignments so it can be driven by a port.
+ `include "../../../../lib/axi4_sv/axi.vh"
+ AxiIf_v #(128,49)
+ m_axi_hp_v(.clk(m_axi_hp.clk), .rst(m_axi_hp.rst));
+ AxiIf_v #(128,49)
+ s_axi_hp_dma_v[3:0](.clk(m_axi_hp.clk), .rst(m_axi_hp.rst));
+
+ // O = I; (O , I )
+ always_comb begin `AXI4_ASSIGN(m_axi_hp, m_axi_hp_v) end
+ always_comb begin `AXI4_ASSIGN(s_axi_hp_dma_v[0], s_axi_hp_dma[0]) end
+ always_comb begin `AXI4_ASSIGN(s_axi_hp_dma_v[1], s_axi_hp_dma[1]) end
+ always_comb begin `AXI4_ASSIGN(s_axi_hp_dma_v[2], s_axi_hp_dma[2]) end
+ always_comb begin `AXI4_ASSIGN(s_axi_hp_dma_v[3], s_axi_hp_dma[3]) end
+
+ axi_interconnect_dma_bd axi_interconnect_dma_bd_i (
+ `AXI4_PORT_ASSIGN_NR(m_axi_hp, m_axi_hp_v)
+ `AXI4_PORT_ASSIGN_NR(s_axi_hp_dma0, s_axi_hp_dma_v[0])
+ `AXI4_PORT_ASSIGN_NR(s_axi_hp_dma1, s_axi_hp_dma_v[1])
+ `AXI4_PORT_ASSIGN_NR(s_axi_hp_dma2, s_axi_hp_dma_v[2])
+ `AXI4_PORT_ASSIGN_NR(s_axi_hp_dma3, s_axi_hp_dma_v[3])
+ .clk40 (m_axi_hp.clk),
+ .clk40_rstn (!m_axi_hp.rst)
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma_bd.tcl b/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma_bd.tcl
new file mode 100644
index 000000000..43c959ac0
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_dma_bd/axi_interconnect_dma_bd.tcl
@@ -0,0 +1,405 @@
+
+################################################################
+# This is a generated script based on design: axi_interconnect_dma_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source axi_interconnect_dma_bd_script.tcl
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name axi_interconnect_dma_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set m_axi_hp [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_hp ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_dma_bd_clk40} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {16} \
+ CONFIG.NUM_WRITE_OUTSTANDING {16} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ ] $m_axi_hp
+
+ set s_axi_hp_dma0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp_dma0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_dma_bd_clk40} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {4} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {4} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hp_dma0
+
+ set s_axi_hp_dma1 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp_dma1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_dma_bd_clk40} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {4} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {4} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hp_dma1
+
+ set s_axi_hp_dma2 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp_dma2 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_dma_bd_clk40} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {4} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {4} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hp_dma2
+
+ set s_axi_hp_dma3 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp_dma3 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_dma_bd_clk40} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {4} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {4} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hp_dma3
+
+
+ # Create ports
+ set clk40 [ create_bd_port -dir I -type clk clk40 ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {s_axi_hp_dma0:s_axi_hp_dma1:s_axi_hp_dma2:s_axi_hp_dma3:m_axi_hp} \
+ CONFIG.ASSOCIATED_RESET {clk40_rstn} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_dma_bd_clk40} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $clk40
+ set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ]
+
+ # Create instance: axi_interconnect_0, and set properties
+ set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ]
+ set_property -dict [ list \
+ CONFIG.ENABLE_ADVANCED_OPTIONS {1} \
+ CONFIG.M00_HAS_DATA_FIFO {1} \
+ CONFIG.M00_HAS_REGSLICE {4} \
+ CONFIG.NUM_MI {1} \
+ CONFIG.NUM_SI {4} \
+ CONFIG.S00_HAS_DATA_FIFO {1} \
+ CONFIG.S00_HAS_REGSLICE {4} \
+ CONFIG.S01_ARB_PRIORITY {0} \
+ CONFIG.S01_HAS_DATA_FIFO {1} \
+ CONFIG.S01_HAS_REGSLICE {4} \
+ CONFIG.S02_ARB_PRIORITY {0} \
+ CONFIG.S02_HAS_DATA_FIFO {1} \
+ CONFIG.S02_HAS_REGSLICE {4} \
+ CONFIG.S03_ARB_PRIORITY {0} \
+ CONFIG.S03_HAS_DATA_FIFO {1} \
+ CONFIG.S03_HAS_REGSLICE {4} \
+ CONFIG.STRATEGY {1} \
+ ] $axi_interconnect_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_ports s_axi_hp_dma0] [get_bd_intf_pins axi_interconnect_0/S00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_ports m_axi_hp] [get_bd_intf_pins axi_interconnect_0/M00_AXI]
+ connect_bd_intf_net -intf_net s_axi_eth1_1 [get_bd_intf_ports s_axi_hp_dma1] [get_bd_intf_pins axi_interconnect_0/S01_AXI]
+ connect_bd_intf_net -intf_net s_axi_eth2_1 [get_bd_intf_ports s_axi_hp_dma2] [get_bd_intf_pins axi_interconnect_0/S02_AXI]
+ connect_bd_intf_net -intf_net s_axi_eth3_1 [get_bd_intf_ports s_axi_hp_dma3] [get_bd_intf_pins axi_interconnect_0/S03_AXI]
+
+ # Create port connections
+ connect_bd_net -net clk40_1 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_interconnect_0/S01_ACLK] [get_bd_pins axi_interconnect_0/S02_ACLK] [get_bd_pins axi_interconnect_0/S03_ACLK]
+ connect_bd_net -net clk40_rstn_1 [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_interconnect_0/S01_ARESETN] [get_bd_pins axi_interconnect_0/S02_ARESETN] [get_bd_pins axi_interconnect_0/S03_ARESETN]
+
+ # Create address segments
+ create_bd_addr_seg -range 0x0002000000000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hp_dma0] [get_bd_addr_segs m_axi_hp/Reg] SEG_m_axi_hp_Reg
+ create_bd_addr_seg -range 0x0002000000000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hp_dma1] [get_bd_addr_segs m_axi_hp/Reg] SEG_m_axi_hp_Reg
+ create_bd_addr_seg -range 0x0002000000000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hp_dma2] [get_bd_addr_segs m_axi_hp/Reg] SEG_m_axi_hp_Reg
+ create_bd_addr_seg -range 0x0002000000000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hp_dma3] [get_bd_addr_segs m_axi_hp/Reg] SEG_m_axi_hp_Reg
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/Makefile.inc
new file mode 100644
index 000000000..f21a366f0
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/Makefile.inc
@@ -0,0 +1,41 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_AXI_INTERCONNECT_ETH_HDL_SRCS = $(addprefix $(IP_DIR)/axi_interconnect_eth_bd/, \
+axi_interconnect_eth.sv \
+)
+
+IP_AXI_INTERCONNECT_ETH_HDL_SIM_SRCS = $(wildcard $(addprefix $(IP_BUILD_DIR)/axi_interconnect_eth_bd/axi_interconnect_eth_bd/, \
+sim/axi_interconnect_eth_bd.v\
+ip/axi_interconnect_eth_bd_xbar_0/sim/axi_interconnect_eth_bd_xbar_0.v\
+ipshared/*/simulation/fifo_generator_vlog_beh.v\
+ipshared/*/hdl/*.v\
+))
+
+IP_AXI_INTERCONNECT_ETH_ORIG_SRCS = $(addprefix $(IP_DIR)/axi_interconnect_eth_bd/, \
+axi_interconnect_eth_bd.tcl \
+)
+
+IP_AXI_INTERCONNECT_ETH_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_eth_bd/, \
+axi_interconnect_eth_bd.tcl \
+)
+
+IP_AXI_INTERCONNECT_ETH_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_eth_bd/, \
+axi_interconnect_eth_bd/axi_interconnect_eth_bd.bd \
+)
+
+BD_AXI_INTERCONNECT_ETH_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/axi_interconnect_eth_bd/, \
+axi_interconnect_eth_bd.bd.out \
+axi_interconnect_eth_bd/synth/axi_interconnect_eth_bd.v \
+axi_interconnect_eth_bd/axi_interconnect_eth_bd_ooc.xdc \
+)
+
+
+
+$(IP_AXI_INTERCONNECT_ETH_BD_SRCS) $(BD_AXI_INTERCONNECT_ETH_BD_OUTS) $(IP_AXI_INTERCONNECT_ETH_BDTCL_SRCS): $(IP_AXI_INTERCONNECT_ETH_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,axi_interconnect_eth_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi)
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth.sv b/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth.sv
new file mode 100644
index 000000000..d74389c03
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth.sv
@@ -0,0 +1,98 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: axi_interconnect_eth
+//
+// Description:
+//
+// Wrapper for the Xilinx AXI Lite interconnect block
+//
+
+module axi_interconnect_eth (
+ // All interfaces on s_axi_eth.clk domain
+ AxiLiteIf.master m_axi_dma[3:0], // maps to CPU_DMA +0x00000-0x07FFF
+ AxiLiteIf.master m_axi_misc[3:0], // maps to nixge +0x08000-0x09FFF
+ // UIO +0x0A000-0x0BFFF
+ AxiLiteIf.master m_axi_mac[3:0], // maps to 100G Mac +0x0C000-0x0DFFF
+
+ AxiLiteIf.slave s_axi_eth // incoming axi_net bus
+);
+
+
+ // AxiLiteIf_v has no procedural assignments so it can be
+ // driven by a port.
+ `include "../../../../lib/axi4lite_sv/axi_lite.vh"
+ AxiLiteIf_v #(32,40)
+ m_axi_dma_v[3:0](.clk(s_axi_eth.clk),.rst(s_axi_eth.rst));
+ AxiLiteIf_v #(32,40)
+ m_axi_misc_v[3:0](.clk(s_axi_eth.clk),.rst(s_axi_eth.rst));
+ AxiLiteIf_v #(32,40)
+ m_axi_mac_v[3:0](.clk(s_axi_eth.clk),.rst(s_axi_eth.rst));
+ AxiLiteIf_v #(32,40)
+ s_axi_eth_v(.clk(s_axi_eth.clk),.rst(s_axi_eth.rst));
+
+ // O = I;(O ,I )
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_dma[0],m_axi_dma_v[0]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_dma[1],m_axi_dma_v[1]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_dma[2],m_axi_dma_v[2]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_dma[3],m_axi_dma_v[3]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_misc[0],m_axi_misc_v[0]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_misc[1],m_axi_misc_v[1]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_misc[2],m_axi_misc_v[2]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_misc[3],m_axi_misc_v[3]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_mac[0],m_axi_mac_v[0]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_mac[1],m_axi_mac_v[1]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_mac[2],m_axi_mac_v[2]) end
+ always_comb begin `AXI4LITE_ASSIGN(m_axi_mac[3],m_axi_mac_v[3]) end
+ always_comb begin `AXI4LITE_ASSIGN(s_axi_eth_v,s_axi_eth) end
+
+ axi_interconnect_eth_bd axi_interconnect_eth_bd_i
+ (
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_dma0,m_axi_dma_v[0])
+ .m_axi_dma0_arprot(),
+ .m_axi_dma0_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_dma1,m_axi_dma_v[1])
+ .m_axi_dma1_arprot(),
+ .m_axi_dma1_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_dma2,m_axi_dma_v[2])
+ .m_axi_dma2_arprot(),
+ .m_axi_dma2_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_dma3,m_axi_dma_v[3])
+ .m_axi_dma3_arprot(),
+ .m_axi_dma3_awprot(),
+
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_mac0,m_axi_mac_v[0])
+ .m_axi_mac0_arprot(),
+ .m_axi_mac0_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_mac1,m_axi_mac_v[1])
+ .m_axi_mac1_arprot(),
+ .m_axi_mac1_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_mac2,m_axi_mac_v[2])
+ .m_axi_mac2_arprot(),
+ .m_axi_mac2_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_mac3,m_axi_mac_v[3])
+ .m_axi_mac3_arprot(),
+ .m_axi_mac3_awprot(),
+
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_misc0,m_axi_misc_v[0])
+ .m_axi_misc0_arprot(),
+ .m_axi_misc0_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_misc1,m_axi_misc_v[1])
+ .m_axi_misc1_arprot(),
+ .m_axi_misc1_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_misc2,m_axi_misc_v[2])
+ .m_axi_misc2_arprot(),
+ .m_axi_misc2_awprot(),
+ `AXI4LITE_PORT_ASSIGN_NR(m_axi_misc3,m_axi_misc_v[3])
+ .m_axi_misc3_arprot(),
+ .m_axi_misc3_awprot(),
+
+ `AXI4LITE_PORT_ASSIGN_NR(s_axi_eth,s_axi_eth_v)
+ .s_axi_eth_arprot(3'b0),
+ .s_axi_eth_awprot(3'b0),
+ .clk40(s_axi_eth.clk),
+ .clk40_rstn(!s_axi_eth.rst));
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth_bd.tcl b/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth_bd.tcl
new file mode 100644
index 000000000..2efa50bfd
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/axi_interconnect_eth_bd/axi_interconnect_eth_bd.tcl
@@ -0,0 +1,462 @@
+
+################################################################
+# This is a generated script based on design: axi_interconnect_eth_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source axi_interconnect_eth_bd_script.tcl
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name axi_interconnect_eth_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set m_axi_dma0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_dma0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_dma0
+
+ set m_axi_dma1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_dma1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_dma1
+
+ set m_axi_dma2 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_dma2 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_dma2
+
+ set m_axi_dma3 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_dma3 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_dma3
+
+ set m_axi_mac0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mac0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_mac0
+
+ set m_axi_mac1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mac1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_mac1
+
+ set m_axi_mac2 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mac2 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_mac2
+
+ set m_axi_mac3 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mac3 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_mac3
+
+ set m_axi_misc0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_misc0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_misc0
+
+ set m_axi_misc1 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_misc1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_misc1
+
+ set m_axi_misc2 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_misc2 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_misc2
+
+ set m_axi_misc3 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_misc3 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_misc3
+
+ set s_axi_eth [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_eth ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {1} \
+ CONFIG.NUM_READ_OUTSTANDING {8} \
+ CONFIG.NUM_READ_THREADS {4} \
+ CONFIG.NUM_WRITE_OUTSTANDING {8} \
+ CONFIG.NUM_WRITE_THREADS {4} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_eth
+
+
+ # Create ports
+ set clk40 [ create_bd_port -dir I -type clk clk40 ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {m_axi_misc0:m_axi_mac0:s_axi_eth:m_axi_misc3:m_axi_mac3:m_axi_dma1:m_axi_misc1:m_axi_mac2:m_axi_dma2:m_axi_mac1:m_axi_misc2:m_axi_dma0:m_axi_dma3} \
+ CONFIG.ASSOCIATED_RESET {clk40_rstn} \
+ CONFIG.CLK_DOMAIN {axi_interconnect_eth_bd_clk40} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $clk40
+ set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ]
+
+ # Create instance: axi_interconnect_0, and set properties
+ set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ]
+ set_property -dict [ list \
+ CONFIG.NUM_MI {12} \
+ ] $axi_interconnect_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_ports s_axi_eth] [get_bd_intf_pins axi_interconnect_0/S00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_ports m_axi_misc0] [get_bd_intf_pins axi_interconnect_0/M00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_ports m_axi_mac0] [get_bd_intf_pins axi_interconnect_0/M01_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M02_AXI [get_bd_intf_ports m_axi_dma0] [get_bd_intf_pins axi_interconnect_0/M02_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M03_AXI [get_bd_intf_ports m_axi_misc1] [get_bd_intf_pins axi_interconnect_0/M03_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M04_AXI [get_bd_intf_ports m_axi_mac1] [get_bd_intf_pins axi_interconnect_0/M04_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M05_AXI [get_bd_intf_ports m_axi_dma1] [get_bd_intf_pins axi_interconnect_0/M05_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M06_AXI [get_bd_intf_ports m_axi_misc2] [get_bd_intf_pins axi_interconnect_0/M06_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M07_AXI [get_bd_intf_ports m_axi_mac2] [get_bd_intf_pins axi_interconnect_0/M07_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M08_AXI [get_bd_intf_ports m_axi_dma2] [get_bd_intf_pins axi_interconnect_0/M08_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M09_AXI [get_bd_intf_ports m_axi_misc3] [get_bd_intf_pins axi_interconnect_0/M09_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M10_AXI [get_bd_intf_ports m_axi_mac3] [get_bd_intf_pins axi_interconnect_0/M10_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M11_AXI [get_bd_intf_ports m_axi_dma3] [get_bd_intf_pins axi_interconnect_0/M11_AXI]
+
+ # Create port connections
+ connect_bd_net -net clk40_1 [get_bd_ports clk40] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/M05_ACLK] [get_bd_pins axi_interconnect_0/M06_ACLK] [get_bd_pins axi_interconnect_0/M07_ACLK] [get_bd_pins axi_interconnect_0/M08_ACLK] [get_bd_pins axi_interconnect_0/M09_ACLK] [get_bd_pins axi_interconnect_0/M10_ACLK] [get_bd_pins axi_interconnect_0/M11_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK]
+ connect_bd_net -net clk40_rstn_1 [get_bd_ports clk40_rstn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/M05_ARESETN] [get_bd_pins axi_interconnect_0/M06_ARESETN] [get_bd_pins axi_interconnect_0/M07_ARESETN] [get_bd_pins axi_interconnect_0/M08_ARESETN] [get_bd_pins axi_interconnect_0/M09_ARESETN] [get_bd_pins axi_interconnect_0/M10_ARESETN] [get_bd_pins axi_interconnect_0/M11_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN]
+
+ # Create address segments
+ create_bd_addr_seg -range 0x00008000 -offset 0x00000000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_dma0/Reg] SEG_m_axi_dma0_Reg
+ create_bd_addr_seg -range 0x00008000 -offset 0x00010000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_dma1/Reg] SEG_m_axi_dma1_Reg
+ create_bd_addr_seg -range 0x00008000 -offset 0x00020000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_dma2/Reg] SEG_m_axi_dma2_Reg
+ create_bd_addr_seg -range 0x00008000 -offset 0x00030000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_dma3/Reg] SEG_m_axi_dma3_Reg
+ create_bd_addr_seg -range 0x00002000 -offset 0x0000C000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_mac0/Reg] SEG_m_axi_mac0_Reg
+ create_bd_addr_seg -range 0x00002000 -offset 0x0001C000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_mac1/Reg] SEG_m_axi_mac1_Reg
+ create_bd_addr_seg -range 0x00002000 -offset 0x0002C000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_mac2/Reg] SEG_m_axi_mac2_Reg
+ create_bd_addr_seg -range 0x00002000 -offset 0x0003C000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_mac3/Reg] SEG_m_axi_mac3_Reg
+ create_bd_addr_seg -range 0x00004000 -offset 0x00008000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_misc0/Reg] SEG_m_axi_misc0_Reg
+ create_bd_addr_seg -range 0x00004000 -offset 0x00018000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_misc1/Reg] SEG_m_axi_misc1_Reg
+ create_bd_addr_seg -range 0x00004000 -offset 0x00028000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_misc2/Reg] SEG_m_axi_misc2_Reg
+ create_bd_addr_seg -range 0x00004000 -offset 0x00038000 [get_bd_addr_spaces s_axi_eth] [get_bd_addr_segs m_axi_misc3/Reg] SEG_m_axi_misc3_Reg
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/dac_100m_bd/.gitignore b/fpga/usrp3/top/x400/ip/dac_100m_bd/.gitignore
new file mode 100644
index 000000000..49f7d3710
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_100m_bd/.gitignore
@@ -0,0 +1 @@
+synthstub/
diff --git a/fpga/usrp3/top/x400/ip/dac_100m_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/dac_100m_bd/Makefile.inc
new file mode 100644
index 000000000..c107580be
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_100m_bd/Makefile.inc
@@ -0,0 +1,36 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_DAC_100M_ORIG_SRCS = $(addprefix $(IP_DIR)/dac_100m_bd/, \
+dac_100m_bd.tcl \
+)
+
+IP_DAC_100M_HDL_SRCS = $(addprefix $(BASE_DIR)/x400/rf/100m/, \
+duc_saturate.vhd \
+dac_1_3_clk_converter.vhd \
+dac_2_1_clk_converter.vhd \
+)
+
+IP_DAC_100M_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/dac_100m_bd/, \
+dac_100m_bd.tcl \
+)
+
+IP_DAC_100M_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/dac_100m_bd/, \
+dac_100m_bd/dac_100m_bd.bd \
+)
+
+BD_DAC_100M_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/dac_100m_bd/, \
+dac_100m_bd.bd.out \
+dac_100m_bd/dac_100m_bd_ooc.xdc \
+dac_100m_bd/synth/dac_100m_bd.v \
+)
+
+EMPTY_IP_SRCS =
+
+$(IP_DAC_100M_BD_SRCS) $(BD_DAC_100M_BD_OUTS) $(IP_DAC_100M_BDTCL_SRCS): $(IP_DAC_100M_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,dac_100m_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(EMPTY_IP_SRCS),$(IP_DAC_100M_HDL_SRCS),)
diff --git a/fpga/usrp3/top/x400/ip/dac_100m_bd/dac_100m_bd.tcl b/fpga/usrp3/top/x400/ip/dac_100m_bd/dac_100m_bd.tcl
new file mode 100644
index 000000000..fcc30e5c7
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_100m_bd/dac_100m_bd.tcl
@@ -0,0 +1,389 @@
+
+################################################################
+# This is a generated script based on design: dac_100m_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source dac_100m_bd_script.tcl
+
+
+# The design that will be created by this Tcl script contains the following
+# module references:
+# dac_1_3_clk_converter, dac_2_1_clk_converter, duc_saturate
+
+# Please add the sources of those modules before sourcing this Tcl script.
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name dac_100m_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+xilinx.com:ip:xlconstant:1.1\
+xilinx.com:ip:fir_compiler:7.2\
+xilinx.com:ip:xlconcat:2.1\
+xilinx.com:ip:axis_register_slice:1.1\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+##################################################################
+# CHECK Modules
+##################################################################
+set bCheckModules 1
+if { $bCheckModules == 1 } {
+ set list_check_mods "\
+dac_1_3_clk_converter\
+dac_2_1_clk_converter\
+duc_saturate\
+"
+
+ set list_mods_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following modules exist in the project's sources: $list_check_mods ."
+
+ foreach mod_vlnv $list_check_mods {
+ if { [can_resolve_reference $mod_vlnv] == 0 } {
+ lappend list_mods_missing $mod_vlnv
+ }
+ }
+
+ if { $list_mods_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following module(s) are not found in the project: $list_mods_missing" }
+ common::send_msg_id "BD_TCL-008" "INFO" "Please add source files for the missing module(s) above."
+ set bCheckIPsPassed 0
+ }
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set dac_data_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_data_in ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {122880000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $dac_data_in
+
+ set dac_data_out [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 dac_data_out ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $dac_data_out
+
+
+ # Create ports
+ set dac_data_in_resetn_dclk [ create_bd_port -dir I -type rst dac_data_in_resetn_dclk ]
+ set dac_data_in_resetn_rclk [ create_bd_port -dir I -type rst dac_data_in_resetn_rclk ]
+ set dac_data_in_resetn_rclk2x [ create_bd_port -dir I -type rst dac_data_in_resetn_rclk2x ]
+ set data_clk [ create_bd_port -dir I -type clk data_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {dac_data_in} \
+ CONFIG.ASSOCIATED_RESET {dac_data_in_resetn_dclk} \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $data_clk
+ set rfdc_clk [ create_bd_port -dir I -type clk rfdc_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {dac_data_out} \
+ CONFIG.ASSOCIATED_RESET {dac_data_in_resetn_rclk} \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $rfdc_clk
+ set rfdc_clk_2x [ create_bd_port -dir I -type clk rfdc_clk_2x ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_RESET {dac_data_in_resetn_rclk2x} \
+ CONFIG.FREQ_HZ {368640000} \
+ ] $rfdc_clk_2x
+
+ # Create instance: constant_high, and set properties
+ set constant_high [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 constant_high ]
+
+ # Create instance: dac_1_3_clk_converter_0, and set properties
+ set block_name dac_1_3_clk_converter
+ set block_cell_name dac_1_3_clk_converter_0
+ if { [catch {set dac_1_3_clk_converter_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $dac_1_3_clk_converter_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: dac_2_1_clk_converter_0, and set properties
+ set block_name dac_2_1_clk_converter
+ set block_cell_name dac_2_1_clk_converter_0
+ if { [catch {set dac_2_1_clk_converter_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $dac_2_1_clk_converter_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: dac_interpolator, and set properties
+ set dac_interpolator [ create_bd_cell -type ip -vlnv xilinx.com:ip:fir_compiler:7.2 dac_interpolator ]
+ set_property -dict [ list \
+ CONFIG.Clock_Frequency {368.64} \
+ CONFIG.CoefficientVector {-7,0,24,37,0,-78,-107,0,189,244,0,-389,-484,0,723,873,0,-1245,-1473,0,2029,2364,0,-3177,-3668,0,4862,5592,0,-7418,-8579,0,11675,13820,0,-20461,-26115,0,53699,108144,131069,108144,53699,0,-26115,-20461,0,13820,11675,0,-8579,-7418,0,5592,4862,0,-3668,-3177,0,2364,2029,0,-1473,-1245,0,873,723,0,-484,-389,0,244,189,0,-107,-78,0,37,24,0,-7} \
+ CONFIG.Coefficient_Fractional_Bits {0} \
+ CONFIG.Coefficient_Sets {1} \
+ CONFIG.Coefficient_Sign {Signed} \
+ CONFIG.Coefficient_Structure {Symmetric} \
+ CONFIG.Coefficient_Width {18} \
+ CONFIG.ColumnConfig {14} \
+ CONFIG.Data_Fractional_Bits {0} \
+ CONFIG.Data_Width {16} \
+ CONFIG.Decimation_Rate {1} \
+ CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate} \
+ CONFIG.Filter_Type {Interpolation} \
+ CONFIG.Has_ARESETn {true} \
+ CONFIG.Interpolation_Rate {3} \
+ CONFIG.M_DATA_Has_TREADY {false} \
+ CONFIG.Number_Channels {1} \
+ CONFIG.Number_Paths {2} \
+ CONFIG.Output_Rounding_Mode {Convergent_Rounding_to_Even} \
+ CONFIG.Output_Width {18} \
+ CONFIG.Quantization {Integer_Coefficients} \
+ CONFIG.RateSpecification {Frequency_Specification} \
+ CONFIG.Reset_Data_Vector {false} \
+ CONFIG.S_DATA_Has_FIFO {false} \
+ CONFIG.Sample_Frequency {122.88} \
+ CONFIG.Zero_Pack_Factor {1} \
+ ] $dac_interpolator
+
+ # Create instance: data_combiner, and set properties
+ set data_combiner [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 data_combiner ]
+ set_property -dict [ list \
+ CONFIG.IN0_WIDTH {32} \
+ CONFIG.IN1_WIDTH {32} \
+ ] $data_combiner
+
+ # Create instance: duc_saturate, and set properties
+ set block_name duc_saturate
+ set block_cell_name duc_saturate
+ if { [catch {set duc_saturate [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $duc_saturate eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: registered_dac_data, and set properties
+ set registered_dac_data [ create_bd_cell -type ip -vlnv xilinx.com:ip:axis_register_slice:1.1 registered_dac_data ]
+ set_property -dict [ list \
+ CONFIG.REG_CONFIG {1} \
+ CONFIG.TDATA_NUM_BYTES {4} \
+ ] $registered_dac_data
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net dac_1_3_clk_converter_0_m_axis [get_bd_intf_pins dac_1_3_clk_converter_0/m_axis] [get_bd_intf_pins dac_interpolator/S_AXIS_DATA]
+ connect_bd_intf_net -intf_net dac_2_1_clk_converter_0_m_axis [get_bd_intf_ports dac_data_out] [get_bd_intf_pins dac_2_1_clk_converter_0/m_axis]
+ connect_bd_intf_net -intf_net dac_data_in_1 [get_bd_intf_ports dac_data_in] [get_bd_intf_pins dac_1_3_clk_converter_0/s_axis]
+
+ # Create port connections
+ connect_bd_net -net aclk_0_1 [get_bd_ports data_clk] [get_bd_pins dac_1_3_clk_converter_0/s_axis_aclk]
+ connect_bd_net -net aresetn_0_1 [get_bd_ports dac_data_in_resetn_dclk] [get_bd_pins dac_1_3_clk_converter_0/s_axis_aresetn]
+ connect_bd_net -net axis_register_slice_0_m_axis_tdata [get_bd_pins data_combiner/In0] [get_bd_pins registered_dac_data/m_axis_tdata]
+ connect_bd_net -net dac_data_in_resetn_rclk2x [get_bd_ports dac_data_in_resetn_rclk2x] [get_bd_pins dac_1_3_clk_converter_0/m_axis_aresetn] [get_bd_pins dac_2_1_clk_converter_0/s_axis_aresetn] [get_bd_pins dac_interpolator/aresetn] [get_bd_pins registered_dac_data/aresetn]
+ connect_bd_net -net dac_data_in_resetn_rclk_1 [get_bd_ports dac_data_in_resetn_rclk] [get_bd_pins dac_2_1_clk_converter_0/m_axis_aresetn]
+ connect_bd_net -net dac_interpolator_m_axis_data_tdata [get_bd_pins dac_interpolator/m_axis_data_tdata] [get_bd_pins duc_saturate/cDataIn]
+ connect_bd_net -net dac_interpolator_m_axis_data_tvalid [get_bd_pins dac_interpolator/m_axis_data_tvalid] [get_bd_pins duc_saturate/cDataValidIn]
+ connect_bd_net -net data_combiner_dout [get_bd_pins dac_2_1_clk_converter_0/s_axis_tdata] [get_bd_pins data_combiner/dout]
+ connect_bd_net -net ddc_saturate_0_cDataOut [get_bd_pins data_combiner/In1] [get_bd_pins duc_saturate/cDataOut] [get_bd_pins registered_dac_data/s_axis_tdata]
+ connect_bd_net -net duc_saturate_0_cDataValidOut [get_bd_pins duc_saturate/cDataValidOut] [get_bd_pins registered_dac_data/s_axis_tvalid]
+ connect_bd_net -net m_axis_aclk_0_1 [get_bd_ports rfdc_clk_2x] [get_bd_pins dac_1_3_clk_converter_0/m_axis_aclk] [get_bd_pins dac_2_1_clk_converter_0/s_axis_aclk] [get_bd_pins dac_interpolator/aclk] [get_bd_pins duc_saturate/Clk] [get_bd_pins registered_dac_data/aclk]
+ connect_bd_net -net registered_dac_data_m_axis_tvalid [get_bd_pins dac_2_1_clk_converter_0/s_axis_tvalid] [get_bd_pins registered_dac_data/m_axis_tvalid]
+ connect_bd_net -net rfdc_clk_1 [get_bd_ports rfdc_clk] [get_bd_pins dac_2_1_clk_converter_0/m_axis_aclk]
+ connect_bd_net -net xlconstant_0_dout [get_bd_pins constant_high/dout] [get_bd_pins registered_dac_data/m_axis_tready]
+
+ # Create address segments
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/dac_100m_bd/hdl_sources.tcl b/fpga/usrp3/top/x400/ip/dac_100m_bd/hdl_sources.tcl
new file mode 100644
index 000000000..c33ee9cd5
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_100m_bd/hdl_sources.tcl
@@ -0,0 +1,6 @@
+set script_loc [file normalize [info script]]
+set script_dir [file dirname $script_loc]
+
+read_vhdl -library work $script_dir/../../rf/100m/duc_saturate.vhd
+read_vhdl -library work $script_dir/../../rf/100m/dac_1_3_clk_converter.vhd
+read_vhdl -library work $script_dir/../../rf/100m/dac_2_1_clk_converter.vhd
diff --git a/fpga/usrp3/top/x400/ip/dac_400m_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/dac_400m_bd/Makefile.inc
new file mode 100644
index 000000000..c55c67928
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_400m_bd/Makefile.inc
@@ -0,0 +1,38 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_DAC_400M_ORIG_SRCS = $(addprefix $(IP_DIR)/dac_400m_bd/, \
+dac_400m_bd.tcl \
+)
+
+IP_DAC_400M_HDL_SRCS = $(addprefix $(BASE_DIR)/x400/rf/400m/, \
+duc_400m_saturate.vhd \
+dac_gearbox_6x8.vhd \
+dac_gearbox_6x12.vhd \
+dac_gearbox_12x8.vhd \
+dac_gearbox_4x2.v \
+)
+
+IP_DAC_400M_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/dac_400m_bd/, \
+dac_400m_bd.tcl \
+)
+
+IP_DAC_400M_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/dac_400m_bd/, \
+dac_400m_bd/dac_400m_bd.bd \
+)
+
+BD_DAC_400M_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/dac_400m_bd/, \
+dac_400m_bd.bd.out \
+dac_400m_bd/dac_400m_bd_ooc.xdc \
+dac_400m_bd/synth/dac_400m_bd.v \
+)
+
+EMPTY_IP_SRCS =
+
+$(IP_DAC_400M_BD_SRCS) $(BD_DAC_400M_BD_OUTS) $(IP_DAC_400M_BDTCL_SRCS): $(IP_DAC_400M_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,dac_400m_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(EMPTY_IP_SRCS),$(IP_DAC_400M_HDL_SRCS),)
diff --git a/fpga/usrp3/top/x400/ip/dac_400m_bd/dac_400m_bd.tcl b/fpga/usrp3/top/x400/ip/dac_400m_bd/dac_400m_bd.tcl
new file mode 100644
index 000000000..96392adcf
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_400m_bd/dac_400m_bd.tcl
@@ -0,0 +1,348 @@
+
+################################################################
+# This is a generated script based on design: dac_400m_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source dac_400m_bd_script.tcl
+
+
+# The design that will be created by this Tcl script contains the following
+# module references:
+# dac_gearbox_4x2, dac_gearbox_6x8, duc_400m_saturate
+
+# Please add the sources of those modules before sourcing this Tcl script.
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name dac_400m_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+xilinx.com:ip:fir_compiler:7.2\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+##################################################################
+# CHECK Modules
+##################################################################
+set bCheckModules 1
+if { $bCheckModules == 1 } {
+ set list_check_mods "\
+dac_gearbox_4x2\
+dac_gearbox_6x8\
+duc_400m_saturate\
+"
+
+ set list_mods_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following modules exist in the project's sources: $list_check_mods ."
+
+ foreach mod_vlnv $list_check_mods {
+ if { [can_resolve_reference $mod_vlnv] == 0 } {
+ lappend list_mods_missing $mod_vlnv
+ }
+ }
+
+ if { $list_mods_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following module(s) are not found in the project: $list_mods_missing" }
+ common::send_msg_id "BD_TCL-008" "INFO" "Please add source files for the missing module(s) above."
+ set bCheckIPsPassed 0
+ }
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+
+ # Create ports
+ set dac_data_in_resetn_dclk [ create_bd_port -dir I -type rst dac_data_in_resetn_dclk ]
+ set dac_data_in_resetn_dclk2x [ create_bd_port -dir I -type rst dac_data_in_resetn_dclk2x ]
+ set dac_data_in_resetn_rclk [ create_bd_port -dir I -type rst dac_data_in_resetn_rclk ]
+ set dac_data_in_tdata [ create_bd_port -dir I -from 127 -to 0 -type data dac_data_in_tdata ]
+ set dac_data_in_tready [ create_bd_port -dir O -type data dac_data_in_tready ]
+ set dac_data_in_tvalid [ create_bd_port -dir I -type data dac_data_in_tvalid ]
+ set dac_data_out_tdata [ create_bd_port -dir O -from 255 -to 0 -type data dac_data_out_tdata ]
+ set dac_data_out_tready [ create_bd_port -dir I -type data dac_data_out_tready ]
+ set dac_data_out_tvalid [ create_bd_port -dir O -type data dac_data_out_tvalid ]
+ set data_clk [ create_bd_port -dir I -type clk data_clk ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $data_clk
+ set data_clk_2x [ create_bd_port -dir I -type clk data_clk_2x ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_RESET {dac_data_in_resetn_dclk2x} \
+ CONFIG.FREQ_HZ {245760000} \
+ ] $data_clk_2x
+ set rfdc_clk [ create_bd_port -dir I -type clk rfdc_clk ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $rfdc_clk
+
+ # Create instance: dac_gearbox_4x2_0, and set properties
+ set block_name dac_gearbox_4x2
+ set block_cell_name dac_gearbox_4x2_0
+ if { [catch {set dac_gearbox_4x2_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $dac_gearbox_4x2_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: dac_gearbox_6x8_0, and set properties
+ set block_name dac_gearbox_6x8
+ set block_cell_name dac_gearbox_6x8_0
+ if { [catch {set dac_gearbox_6x8_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $dac_gearbox_6x8_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: dac_interpolator, and set properties
+ set dac_interpolator [ create_bd_cell -type ip -vlnv xilinx.com:ip:fir_compiler:7.2 dac_interpolator ]
+ set_property -dict [ list \
+ CONFIG.Clock_Frequency {245.76} \
+ CONFIG.CoefficientVector {-7,0,24,37,0,-78,-107,0,189,244,0,-389,-484,0,723,873,0,-1245,-1473,0,2029,2364,0,-3177,-3668,0,4862,5592,0,-7418,-8579,0,11675,13820,0,-20461,-26115,0,53699,108144,131069,108144,53699,0,-26115,-20461,0,13820,11675,0,-8579,-7418,0,5592,4862,0,-3668,-3177,0,2364,2029,0,-1473,-1245,0,873,723,0,-484,-389,0,244,189,0,-107,-78,0,37,24,0,-7} \
+ CONFIG.Coefficient_Fractional_Bits {0} \
+ CONFIG.Coefficient_Sets {1} \
+ CONFIG.Coefficient_Sign {Signed} \
+ CONFIG.Coefficient_Structure {Inferred} \
+ CONFIG.Coefficient_Width {18} \
+ CONFIG.ColumnConfig {27} \
+ CONFIG.Decimation_Rate {1} \
+ CONFIG.Filter_Architecture {Systolic_Multiply_Accumulate} \
+ CONFIG.Filter_Type {Interpolation} \
+ CONFIG.Has_ARESETn {true} \
+ CONFIG.Interpolation_Rate {3} \
+ CONFIG.Number_Channels {1} \
+ CONFIG.Number_Paths {2} \
+ CONFIG.Output_Rounding_Mode {Convergent_Rounding_to_Even} \
+ CONFIG.Output_Width {18} \
+ CONFIG.Quantization {Integer_Coefficients} \
+ CONFIG.RateSpecification {Frequency_Specification} \
+ CONFIG.Reset_Data_Vector {false} \
+ CONFIG.S_DATA_Has_FIFO {false} \
+ CONFIG.Sample_Frequency {491.52} \
+ CONFIG.Zero_Pack_Factor {1} \
+ ] $dac_interpolator
+
+ # Create instance: duc_400m_saturate_0, and set properties
+ set block_name duc_400m_saturate
+ set block_cell_name duc_400m_saturate_0
+ if { [catch {set duc_400m_saturate_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $duc_400m_saturate_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create port connections
+ connect_bd_net -net dac_data_in_1 [get_bd_ports dac_data_in_tdata] [get_bd_pins dac_gearbox_4x2_0/data_in_1x]
+ connect_bd_net -net dac_data_in_resetn_dclk_1 [get_bd_ports dac_data_in_resetn_dclk] [get_bd_pins dac_gearbox_4x2_0/reset_n_1x] [get_bd_pins dac_gearbox_6x8_0/ac1Reset_n]
+ connect_bd_net -net dac_data_in_resetn_rclk_1 [get_bd_ports dac_data_in_resetn_rclk] [get_bd_pins dac_gearbox_6x8_0/arReset_n]
+ connect_bd_net -net dac_data_out_tready_1 [get_bd_ports dac_data_out_tready] [get_bd_pins dac_gearbox_6x8_0/rReadyForOutput]
+ connect_bd_net -net dac_data_valid_in_1 [get_bd_ports dac_data_in_tvalid] [get_bd_pins dac_gearbox_4x2_0/valid_in_1x]
+ connect_bd_net -net dac_gearbox_4x2_0_data_out_2x [get_bd_pins dac_gearbox_4x2_0/data_out_2x] [get_bd_pins dac_interpolator/s_axis_data_tdata]
+ connect_bd_net -net dac_gearbox_4x2_0_ready_out_1x [get_bd_ports dac_data_in_tready] [get_bd_pins dac_gearbox_4x2_0/ready_out_1x]
+ connect_bd_net -net dac_gearbox_4x2_0_valid_out_2x [get_bd_pins dac_gearbox_4x2_0/valid_out_2x] [get_bd_pins dac_interpolator/s_axis_data_tvalid]
+ connect_bd_net -net dac_gearbox_6x8_0_rDataOut [get_bd_ports dac_data_out_tdata] [get_bd_pins dac_gearbox_6x8_0/rDataOut]
+ connect_bd_net -net dac_gearbox_6x8_0_rDataValidOut [get_bd_ports dac_data_out_tvalid] [get_bd_pins dac_gearbox_6x8_0/rDataValidOut]
+ connect_bd_net -net dac_interpolator_m_axis_data_tdata [get_bd_pins dac_interpolator/m_axis_data_tdata] [get_bd_pins duc_400m_saturate_0/cDataIn]
+ connect_bd_net -net dac_interpolator_m_axis_data_tvalid [get_bd_pins dac_interpolator/m_axis_data_tvalid] [get_bd_pins duc_400m_saturate_0/cDataValidIn]
+ connect_bd_net -net data_clk_1 [get_bd_ports data_clk] [get_bd_pins dac_gearbox_4x2_0/clk1x] [get_bd_pins dac_gearbox_6x8_0/Clk1x]
+ connect_bd_net -net data_clk_2x_1 [get_bd_ports data_clk_2x] [get_bd_pins dac_gearbox_4x2_0/clk2x] [get_bd_pins dac_gearbox_6x8_0/Clk2x] [get_bd_pins dac_interpolator/aclk] [get_bd_pins duc_400m_saturate_0/Clk]
+ connect_bd_net -net data_resetn_dclk2x_1 [get_bd_ports dac_data_in_resetn_dclk2x] [get_bd_pins dac_gearbox_6x8_0/ac2Reset_n] [get_bd_pins dac_interpolator/aresetn]
+ connect_bd_net -net duc_400m_saturate_0_cDataOut [get_bd_pins dac_gearbox_6x8_0/c2DataIn] [get_bd_pins duc_400m_saturate_0/cDataOut]
+ connect_bd_net -net duc_400m_saturate_0_cDataValidOut [get_bd_pins dac_gearbox_6x8_0/c2DataValidIn] [get_bd_pins duc_400m_saturate_0/cDataValidOut]
+ connect_bd_net -net rfdc_clk_1 [get_bd_ports rfdc_clk] [get_bd_pins dac_gearbox_6x8_0/RfClk]
+
+ # Create address segments
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/dac_400m_bd/hdl_sources.tcl b/fpga/usrp3/top/x400/ip/dac_400m_bd/hdl_sources.tcl
new file mode 100644
index 000000000..46c2b485e
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_400m_bd/hdl_sources.tcl
@@ -0,0 +1,9 @@
+set script_loc [file normalize [info script]]
+set script_dir [file dirname $script_loc]
+
+read_verilog -library work $script_dir/../../rf/400m/dac_gearbox_4x2.v
+read_vhdl -library work $script_dir/../../rf/common/PkgRf.vhd
+read_vhdl -library work $script_dir/../../rf/400m/dac_gearbox_6x8.vhd
+read_vhdl -library work $script_dir/../../rf/400m/dac_gearbox_6x12.vhd
+read_vhdl -library work $script_dir/../../rf/400m/dac_gearbox_12x8.vhd
+read_vhdl -library work $script_dir/../../rf/400m/duc_400m_saturate.vhd
diff --git a/fpga/usrp3/top/x400/ip/dac_400m_bd/synthstub/dac_400m_bd.vhd b/fpga/usrp3/top/x400/ip/dac_400m_bd/synthstub/dac_400m_bd.vhd
new file mode 100644
index 000000000..d959d5752
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/dac_400m_bd/synthstub/dac_400m_bd.vhd
@@ -0,0 +1,44 @@
+------------------------------------------------------------------------------------------
+--
+-- File: dac_400m_bd.vhd
+-- Author: niBlockDesign::niBdExportStub
+-- Original Project: HwBuildTools
+-- Date: 22 April 2020
+--
+------------------------------------------------------------------------------------------
+-- (c) Copyright National Instruments Corporation
+-- All Rights Reserved
+-- National Instruments Internal Information
+------------------------------------------------------------------------------------------
+--
+-- Purpose: This is an automatically generated stub file to match the entity
+-- declaration for 'dac_400m_bd'. This file was created using niBdExportStub
+-- Do not modify this file directly!
+--
+------------------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+library unisim;
+use unisim.vcomponents.all;
+
+entity dac_400m_bd is
+port (
+ dac_data_in_resetn_dclk : in STD_LOGIC;
+ dac_data_in_resetn_dclk2x : in STD_LOGIC;
+ dac_data_in_resetn_rclk : in STD_LOGIC;
+ dac_data_in_tdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ dac_data_in_tready : out STD_LOGIC;
+ dac_data_in_tvalid : in STD_LOGIC;
+ dac_data_out_tdata : out STD_LOGIC_VECTOR ( 255 downto 0 );
+ dac_data_out_tready : in STD_LOGIC;
+ dac_data_out_tvalid : out STD_LOGIC;
+ data_clk : in STD_LOGIC;
+ data_clk_2x : in STD_LOGIC;
+ rfdc_clk : in STD_LOGIC
+ );
+ end entity dac_400m_bd;
+
+architecture stub of dac_400m_bd is
+begin
+end architecture stub;
diff --git a/fpga/usrp3/top/x400/ip/ddr4_64bits/Makefile.inc b/fpga/usrp3/top/x400/ip/ddr4_64bits/Makefile.inc
new file mode 100644
index 000000000..4b3ebada2
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/ddr4_64bits/Makefile.inc
@@ -0,0 +1,18 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_DDR4_64BITS_SRCS = \
+$(IP_BUILD_DIR)/ddr4_64bits/ddr4_64bits.xci
+
+IP_DDR4_64BITS_OUTS = $(addprefix $(IP_BUILD_DIR)/ddr4_64bits/, \
+ddr4_64bits.xci.out \
+)
+
+
+$(IP_DDR4_64BITS_SRCS) $(IP_DDR4_64BITS_OUTS) : $(IP_DIR)/ddr4_64bits/ddr4_64bits.xci
+ $(call BUILD_VIVADO_IP,ddr4_64bits,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0)
diff --git a/fpga/usrp3/top/x400/ip/ddr4_64bits/ddr4_64bits.xci b/fpga/usrp3/top/x400/ip/ddr4_64bits/ddr4_64bits.xci
new file mode 100644
index 000000000..d1b5484e5
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/ddr4_64bits/ddr4_64bits.xci
@@ -0,0 +1,450 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>ddr4_64bits</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="ddr4" spirit:version="2.2"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT1.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT2.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT3.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT4.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT4.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT4.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ADDN_UI_CLKOUT4.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.AXI_ARBITRATION_SCHEME">TDM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.BURST_LENGTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.CAN_DEBUG">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.CAS_LATENCY">11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.CAS_WRITE_LATENCY">11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.CS_ENABLED">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.CUSTOM_PARTS"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.DATA_MASK_ENABLED">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.DATA_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.MEMORY_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.MEMORY_TYPE">COMPONENTS</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.MEM_ADDR_MAP">ROW_COLUMN_BANK</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.SLOT">Single</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4.TIMEPERIOD_PS">1250</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_ARESETN.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_CLOCK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_CLOCK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_RESET.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.ADDR_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.DATA_WIDTH">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_BRESP">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_BURST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_CACHE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_LOCK">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_PROT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_QOS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_RRESP">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_WSTRB">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.ID_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.MAX_BURST_LENGTH">256</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.NUM_READ_OUTSTANDING">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.NUM_WRITE_OUTSTANDING">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.PROTOCOL">AXI4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.SUPPORTS_NARROW_BURST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK.CAN_DEBUG">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK_I.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK_I.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK_I.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK_I.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK_I.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.C0_SYS_CLK_I.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SYSTEM_RESET.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.APP_ADDR_WIDTH">29</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.APP_DATA_WIDTH">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.APP_MASK_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.BUFG_DIV_LOC_1">X0Y11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.BUFG_DIV_LOC_2">X0Y10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.BUFG_LOC_1">X0Y70</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.BUFG_LOC_2">X0Y11</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.CENTER_BANK_CLOCK_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.CENTER_BANK_MMCME3_ADV_SITE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.ControllerType">DDR4_SDRAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_ADDR_WIDTH">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_AL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_AUTO_AP_COL_A3">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_AXI_ADDR_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_AXI_DATA_WIDTH">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_AXI_ID_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_BANK_GROUP_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_BANK_WIDTH">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_BURST_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_BURST_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CA_MIRROR">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CKE_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CK_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CLKFBOUT_MULT">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CLKIN_PERIOD">9996</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CLKOUT0_DIVIDE">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CLKOUT1_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CLKOUTPHY_MODE">VCO_2X</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_COLUMN_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_COMP_DENSITY">8Gb</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CS_ADDR">29</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CS_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_CWL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_Configuration">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DATABITS_PER_STROBE">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DATA_MASK">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DBAW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DCI_CASCADE_CUTOFF">938</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DM_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DQS_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_DQ_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_HR_MIN_FREQ">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_IO_VOLTAGE">1.2V</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_IS_CUSTOM">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_IS_FASTER_SPEED_RAM">No</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MAX_PERIOD">1600</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MCS_ECC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEMORY_PART">MT40A512M16HA-075E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEMORY_TYPE">Components</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM_COMP_WIDTH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM_DENSITY">8Gb</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM_DENSITY_GB">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM_DENSITY_MB">8192</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM_DEVICE_WIDTH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MEM_SIZE">4294967296</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MIN_PERIOD">750</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MODEL_SPEED_GRADE">DDR4_750_Timing</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MR0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_MR2">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_ODT_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_OUTPUT_DRV">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_RANK_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_ROW_WIDTH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_RTT_NOM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_RTT_WR">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_SPEED_GRADE">075E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_Slot">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_StackHeight">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_UI_CLOCK">300000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_USE_CS_PORT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_USE_DM_PORT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_VrefVoltage">0.84</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_nAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_nCK_PER_CLK">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_nCS_PER_RANK">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tCCD_3ds">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tCK">833</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tCKE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tFAW">37</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tFAW_dlr">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tMRD">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRAS">39</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRCD">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tREFI">9363</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRFC">421</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRFC_dlr">145</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRP">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRRD"/>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRRD_L">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRRD_S">7</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRRD_dlr">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tRTP">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tWR">19</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tWTR"/>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tWTR_L">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tWTR_S">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tXPR">109</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tZQCS">128</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tZQI">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.DDR4_tZQINIT">256</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.MEM_TYPE">DDR4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.MMCM_IDX_BANK">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.PBLOCK_RAMB18_LOC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.PBLOCK_RAMB18_LOC_SC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.PBLOCK_RAMB36_LOC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.PBLOCK_RAMB36_LOC_SC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.PBLOCK_SLICE_LOC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.PBLOCK_SLICE_LOC_SC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C0.SYSCLK_CENTER_INFO">FALSE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CAL_INPUT_CLK_PERIOD">9996</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CLKOUT0_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CLKOUT1_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CLKOUT2_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CLKOUT3_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CLKOUT4_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CLKOUT6_DIVIDE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CUSTOM_PART_ATTRIBUTES">NONE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.Debug_Signal">Disable</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.LR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT1_FREQ_HZ">0.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT1_PHASE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT2_FREQ_HZ">0.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT2_PHASE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT3_FREQ_HZ">0.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT3_PHASE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT4_FREQ_HZ">0.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.M_ADDN_UI_CLKOUT4_PHASE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.PING_PONG_PHY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.Simulation_Mode">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDN_UI_CLKOUT1_FREQ_HZ">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDN_UI_CLKOUT2_FREQ_HZ">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDN_UI_CLKOUT3_FREQ_HZ">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDN_UI_CLKOUT4_FREQ_HZ">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AL_SEL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.ADDR_WIDTH">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.BANK_GROUP_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.CKE_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.CK_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.CS_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.ControllerType">DDR4_SDRAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ACT_SKEW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_10">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_11">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_12">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_13">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_14">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_15">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_16">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_17">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_2">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_3">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_4">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_5">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_6">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_7">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_8">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ADDR_SKEW_9">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AUTO_AP_COL_A3">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AutoPrecharge">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AxiAddressWidth">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AxiArbitrationScheme">RD_PRI_REG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AxiDataWidth">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AxiIDWidth">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AxiNarrowBurst">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_AxiSelection">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_BA_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_BA_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_BG_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_BG_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_BurstLength">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_BurstType">Sequential</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CKE_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CKE_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CKE_SKEW_2">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CKE_SKEW_3">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CK_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CK_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CK_SKEW_2">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CK_SKEW_3">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CLKFBOUT_MULT">15</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CLKOUT0_DIVIDE">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CS_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CS_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CS_SKEW_2">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CS_SKEW_3">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Capacity">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CasLatency">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CasWriteLatency">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ChipSelect">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Clamshell">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_CustomParts">no_file_loaded</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_DataMask">DM_NO_DBI</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_DataWidth">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Ecc">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Enable_LVAUX">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_InputClockPeriod">9996</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_LR_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_LR_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_MCS_ECC">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Mem_Add_Map">ROW_COLUMN_BANK</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_MemoryName">MainMemory</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_MemoryPart">MT40A512M16HA-075E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_MemoryType">Components</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_MemoryVoltage">1.2V</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ODT_SKEW_0">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ODT_SKEW_1">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ODT_SKEW_2">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_ODT_SKEW_3">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_OnDieTermination">RZQ/6</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Ordering">Normal</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_OutputDriverImpedenceControl">RZQ/7</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_PAR_SKEW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_PhyClockRatio">4:1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_RESTORE_CRC">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_SAVE_RESTORE">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_SELF_REFRESH">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Slot">Single</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_Specify_MandD">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_TREFI">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_TRFC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_TRFC_DLR">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_TXPR">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_TimePeriod">833</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_UserRefresh_ZQCS">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_isCKEShared">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_isCustom">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_nCK_TREFI">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_nCK_TRFC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_nCK_TRFC_DLR">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.DDR4_nCK_TXPR">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.LR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.MIGRATION">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.ODT_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0.StackHeight">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0_CLOCK_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C0_DDR4_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">ddr4_64bits</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCI_Cascade">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_TERM_SYSCLK">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Debug_Signal">Disable</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Default_Bank_Selections">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_SysPorts">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Example_TG">SIMPLE_TG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IOPowerReduction">OFF</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IO_Power_Reduction">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IS_FROM_PHY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MCS_DBG_EN">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.No_Controller">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PARTIAL_RECONFIG_FLOW_MIG">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PING_PONG_PHY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Phy_Only">Complete_Memory_Controller</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RECONFIG_XSDB_SAVE_RESTORE">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reference_Clock">Differential</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SET_DW_TO_40">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Simulation_Mode">BFM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.System_Clock">Differential</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TIMING_3DS">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TIMING_OP1">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TIMING_OP2">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynquplusRFSOC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xczu28dr</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffvg1517</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">73068</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1.1_AR73068</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.BUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.HAS_REGION" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.ID_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.RUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI.WUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.BUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_BURST" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_CACHE" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_LOCK" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_PROT" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_QOS" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_REGION" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.HAS_WSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.ID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.PROTOCOL" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.RUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.C0_DDR4_S_AXI_CTRL.WUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.BANK_GROUP_WIDTH" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_AxiAddressWidth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_AxiDataWidth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_AxiSelection" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_CLKFBOUT_MULT" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_CLKOUT0_DIVIDE" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_CasLatency" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_CasWriteLatency" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_DataWidth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_InputClockPeriod" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_MemoryPart" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C0.DDR4_TimePeriod" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/eth_100g_bd/Makefile.inc
new file mode 100644
index 000000000..88c9c1184
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/Makefile.inc
@@ -0,0 +1,53 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_100G_HDL_SRCS = $(addprefix $(IP_DIR)/eth_100g_bd/, \
+PkgEth100gLbus.sv \
+eth_100g.sv \
+eth_100g_axis2lbus.sv \
+eth_100g_lbus2axis.sv \
+)
+
+IP_100G_HDL_SIM_SRCS = $(addprefix $(IP_DIR)/eth_100g_bd/, \
+model_100gbe.sv \
+) \
+$(wildcard $(addprefix $(IP_BUILD_DIR)/eth_100g_bd/eth_100g_bd/, \
+sim/eth_100g_bd.v\
+ip/*/ip_0/sim/*.v\
+ip/*/sim/*.h\
+ip/*/sim/*.v\
+ip/eth_100g_bd_cmac_usplus_0_0/cmac_usplus_v2_6_1/*.v\
+ip/eth_100g_bd_cmac_usplus_0_0/eth_100g_bd_cmac_usplus_0_0/example_design/*.v\
+ip/eth_100g_bd_cmac_usplus_0_0/eth_100g_bd_cmac_usplus_0_0/header_files/*.h\
+ip/eth_100g_bd_cmac_usplus_0_0/eth_100g_bd_cmac_usplus_0_0.v\
+ipshared/*/hdl/*.v\
+ipshared/*/hdl/*.sv\
+))
+
+IP_100G_ORIG_SRCS = $(addprefix $(IP_DIR)/eth_100g_bd/, \
+eth_100g_bd.tcl \
+)
+
+IP_100G_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/eth_100g_bd/, \
+eth_100g_bd.tcl \
+)
+
+IP_100G_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/eth_100g_bd/, \
+eth_100g_bd/eth_100g_bd.bd \
+)
+
+BD_100G_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/eth_100g_bd/, \
+eth_100g_bd.bd.out \
+eth_100g_bd/eth_100g_bd_ooc.xdc \
+eth_100g_bd/synth/eth_100g_bd.v \
+)
+
+EMPTY_IP_SRCS =
+
+$(IP_100G_BD_SRCS) $(BD_100G_BD_OUTS) $(IP_100G_BDTCL_SRCS): $(IP_100G_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,eth_100g_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(EMPTY_IP_SRCS))
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/PkgEth100gLbus.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/PkgEth100gLbus.sv
new file mode 100644
index 000000000..588313e55
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/PkgEth100gLbus.sv
@@ -0,0 +1,36 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: PkgEth100gLbus
+//
+// Description:
+//
+// Package to define an Lbus record
+//
+
+//-----------------------------------------------------------------------------
+// Lbus interface
+//
+// This is the segmented local bus interface on the Xilinx CMAC IP
+// see Xilinx CMAC documentation for detail
+// https://www.xilinx.com/support/documentation/ip_documentation/cmac_usplus/v2_4/pg203-cmac-usplus.pdf
+//-----------------------------------------------------------------------------
+
+package PkgEth100gLbus;
+
+ localparam DATA_WIDTH = 512;
+ localparam NUM_SEG = 4;
+ localparam SEG_DATA_WIDTH = DATA_WIDTH/NUM_SEG;
+
+ typedef struct packed {
+ logic [SEG_DATA_WIDTH-1:0] data;
+ logic [$clog2(SEG_DATA_WIDTH/8)-1:0] mty;
+ logic sop;
+ logic eop;
+ logic err;
+ logic ena;
+ } lbus_t;
+
+endpackage : PkgEth100gLbus
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g.sv
new file mode 100644
index 000000000..456db06d9
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g.sv
@@ -0,0 +1,1220 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: eth_100g
+//
+// Description: Wrapper for the Xilinx 100G mac
+
+
+module eth_100g #(
+ logic PAUSE_EN = 1,
+ logic [15:0] PAUSE_QUANTA = 16'hFFFF,
+ logic [15:0] PAUSE_REFRESH = 16'hFFFF
+ )(
+
+ // Resets
+ input logic areset,
+ // Clock for misc stuff
+ input logic clk100,
+ // Low jitter refclk
+ input logic refclk_p,
+ input logic refclk_n,
+ // RX Clk for output
+ output logic rx_rec_clk_out,
+ // MGT high-speed IO
+ output logic[3:0] tx_p,
+ output logic[3:0] tx_n,
+ input logic[3:0] rx_p,
+ input logic[3:0] rx_n,
+
+ // Data port
+ output logic mgt_clk,
+ output logic mgt_rst,
+ input logic mgt_pause_req,
+ // Interface clocks for mgt_tx and mgt_rx are NOT used (logic uses mgt_clk)
+ AxiStreamIf.slave mgt_tx,
+ AxiStreamIf.master mgt_rx,
+ // Axi port
+ AxiLiteIf.slave mgt_axil,
+ // Misc
+ output logic [31:0] phy_status,
+ input logic [31:0] mac_ctrl,
+ output logic [31:0] mac_status,
+ output logic phy_reset,
+ output logic link_up
+);
+
+ logic tx_ovfout;
+ logic tx_unfout;
+ logic stat_rx_aligned;
+ logic stat_auto_config_done;
+ logic stat_auto_config_done_bclk;
+ logic usr_tx_reset;
+ logic usr_rx_reset;
+
+ // Heirarchical refference (xilinx says it will synthesize)
+ // eth_100g_bd_i/cmac_usplus_0/gt_rxrecclkout}
+ assign rx_rec_clk_out = eth_100g_bd_i.cmac_usplus_0.gt_rxrecclkout[0];
+ //status registers
+ always_comb begin
+ phy_status = 0;
+ phy_status[0] = usr_tx_reset;
+ phy_status[1] = usr_rx_reset;
+
+ end
+
+ logic [8:0] pause_mask; // from mac ctl register bits 24:16
+
+ always_ff @(posedge mgt_clk) begin : mac_status_reg
+ if (mgt_rst) begin
+ mac_status <= 0;
+ end else begin
+ mac_status[0] <= mac_status[0] || tx_ovfout;
+ mac_status[1] <= mac_status[1] || tx_unfout;
+ mac_status[2] <= stat_rx_aligned;
+ mac_status[3] <= mac_status[3] || (!mgt_rx.tready && mgt_rx.tvalid);
+ mac_status[4] <= stat_auto_config_done;
+ mac_status[24:16] <= pause_mask;
+ end
+ end
+
+ //extra simulation checks
+ localparam USE_MAC_CHECKS = 1;
+ if (USE_MAC_CHECKS) begin
+ always_ff @(posedge mgt_rx.clk) begin : check_no_holdoff
+ if (!mgt_rx.rst) begin
+ if (!mgt_rx.tready && mgt_rx.tvalid) begin
+ $fatal(1,"MAC RX can't hold off the MAC");
+ end
+ assert(tx_ovfout==0) else
+ $fatal(1,"MAC TX had an overflow!");
+ assert(tx_unfout==0) else
+ $fatal(1,"MAC TX had an underflow!");
+ end
+ end
+ end
+
+ initial begin
+ assert (mgt_tx.DATA_WIDTH == 512) else
+ $fatal("mgt_rx.DATA_WIDTH must be 512");
+ // $clog2(512/8)+1
+ assert (mgt_rx.USER_WIDTH == 7) else
+ $fatal("mgt_rx.USER_WIDTH must be 7");
+ assert (mgt_tx.TDATA == 1) else
+ $fatal("mgt_tx.TDATA must be enabled");
+ assert (mgt_tx.TUSER == 1) else
+ $fatal("mgt_tx.TUSER must be enabled");
+ assert (mgt_tx.TKEEP == 1) else
+ $fatal("mgt_tx.TKEEP must be enabled");
+ assert (mgt_tx.TLAST == 1) else
+ $fatal("mgt_tx.TLAST must be enabled");
+ assert (mgt_rx.DATA_WIDTH == 512) else
+ $fatal("mgt_rx.DATA_WIDTH must be 512");
+ // $clog2(512/8)+1
+ assert (mgt_rx.USER_WIDTH == 7) else
+ $fatal("mgt_rx.DATA_WIDTH must be 7");
+ assert (mgt_rx.TDATA == 1) else
+ $fatal("mgt_rx.TDATA must be enabled");
+ assert (mgt_rx.TUSER == 1) else
+ $fatal("mgt_rx.TUSER must be enabled");
+ assert (mgt_rx.TKEEP == 0) else
+ $fatal("mgt_rx.TKEEP must not be enabled");
+ assert (mgt_rx.TLAST == 1) else
+ $fatal("mgt_rx.TLAST must be enabled");
+ end
+
+ AxiStreamIf #(.DATA_WIDTH(512),.TUSER(0),.TKEEP(0))
+ eth100g_tx(mgt_clk,mgt_rst);
+ AxiStreamIf #(.DATA_WIDTH(512),.USER_WIDTH(7),.TKEEP(0))
+ eth100g_rx(mgt_clk,mgt_rst);
+
+ logic mgt_tx_idle;
+ logic mgt_tx_pause;
+
+ always_comb begin
+ eth100g_tx.tdata = mgt_tx.tdata;
+ eth100g_tx.tuser = 0;
+ eth100g_tx.tkeep = mgt_tx.tkeep;
+ eth100g_tx.tvalid = mgt_tx.tvalid && !mgt_tx_pause;
+ eth100g_tx.tlast = mgt_tx.tlast;
+ mgt_tx.tready = eth100g_tx.tready && !mgt_tx_pause;
+ end
+
+ always_comb begin
+ mgt_rx.tdata = eth100g_rx.tdata;
+ mgt_rx.tuser = eth100g_rx.tuser;
+ mgt_rx.tuser[mgt_rx.USER_WIDTH-1] = // assign error bit [MSB]
+ // CRC failure
+ eth100g_rx.tuser[mgt_rx.USER_WIDTH-1] ||
+ // Missed a DATA word.
+ mgt_rx.tvalid && !mgt_rx.tready;
+ mgt_rx.tkeep = eth100g_rx.tkeep;
+ mgt_rx.tvalid = eth100g_rx.tvalid;
+ mgt_rx.tlast = eth100g_rx.tlast;
+ // The MAC ignores hold off. Data must be consumed every clock it is valid.
+ // eth100g_rx.tready = mgt_rx.tready;
+ end
+
+ // This is a heavily replicated signal, add some pipeline
+ // to it to make it easier to spread out
+ logic mgt_rst_0;
+
+
+ // Flow control signals
+ // 0-7 map to PCP codes 0-7. 8 is a global pause request
+ logic [8:0] stat_rx_pause_req ;
+ logic [8:0] ctl_tx_pause_req ; // drive for at least 16 clocks
+ logic ctl_tx_resend_pause; // resend the pause request (tieing this high forces a spam of resend requests)
+
+ // QuantaPeriod is 512 bit times or 5.12 ns
+ // resend pause requests so (quanta*QuantaPeriod)/(refresh*QuantaPeriod) is the percentage of BW that gets through.
+ // pause_mask is part of the mac_ctrl register
+ always_comb begin
+ ctl_tx_resend_pause = 0;
+ ctl_tx_pause_req = '0;
+ if (mgt_pause_req) begin
+ ctl_tx_pause_req = pause_mask;
+ end
+ end
+ logic mgt_tx_pause_req;
+ assign mgt_tx_pause_req = (pause_mask & stat_rx_pause_req) != 0;
+ always_ff @(posedge mgt_clk,posedge areset) begin : reset_timing_dff
+ if (areset) begin
+ mgt_rst_0 <= 1'b1;
+ mgt_rst <= 1'b1;
+ mgt_tx_pause <= 1'b0;
+ mgt_tx_idle <= 1'b1;
+ end else begin
+ mgt_rst_0 <= !link_up;
+ mgt_rst <= mgt_rst_0;
+ //idle until a valid sets
+ if (mgt_tx_idle) begin
+ if (!eth100g_tx.tvalid) begin
+ mgt_tx_pause <= mgt_tx_pause_req;
+ end else begin
+ // one clock packet
+ if (eth100g_tx.tvalid && eth100g_tx.tlast && eth100g_tx.tready) begin
+ mgt_tx_idle <= 1;
+ mgt_tx_pause <= mgt_tx_pause_req;
+ end else begin
+ mgt_tx_idle <= 0;
+ end
+ end
+ //set idle if end of packet is accepted
+ end else if (eth100g_tx.tvalid && eth100g_tx.tlast && eth100g_tx.tready) begin
+ mgt_tx_idle <= 1;
+ mgt_tx_pause <= mgt_tx_pause_req;
+ end
+ end
+ end
+
+ always_comb phy_reset = usr_tx_reset || usr_rx_reset;
+ always_comb link_up = stat_rx_aligned && !phy_reset;
+
+ // resets stat counts and moves the total to the readable version.
+ localparam PM_COUNT = 40000;
+ logic pm_tick = 0;
+ logic [15:0] pm_tick_count;
+ always_ff @(posedge mgt_axil.clk) begin : pm_tick_counter
+ if (mgt_axil.rst) begin
+ pm_tick_count = 0;
+ pm_tick = 0;
+ end else begin
+ if (pm_tick_count == PM_COUNT-1) begin
+ pm_tick_count = 0;
+ pm_tick = 1;
+ end else begin
+ pm_tick_count = pm_tick_count+1;
+ pm_tick = 0;
+ end
+ end
+ end
+
+ `include "../../../../lib/axi4lite_sv/axi_lite.vh"
+ AxiLiteIf_v #(.DATA_WIDTH(mgt_axil.DATA_WIDTH),.ADDR_WIDTH(32))
+ mgt_axil_v(.clk(mgt_axil.clk),.rst(mgt_axil.rst));
+
+ localparam AUTO_CONNECT=1;
+ // When enabled the port will automatically attempt to connect to an Ethernet partner
+ // without requiring any action from SW. If it is not defined, SW will have to perform
+ // a similar set of writes. Xilinx publishes a driver for the MAC, that we could associate.
+ // The sequence of writes was taken from the CMAC example, without any deep knowledge
+ // of what the standard Ethernet connection protocol is.
+
+ // Inject writes to perform connection inbetween other SW writes to read the mac.
+ if (AUTO_CONNECT) begin : yes_auto_connect
+ // defined in https://www.xilinx.com/support/documentation/ip_documentation/cmac_usplus/v2_4/pg203-cmac-usplus.pdf
+ // pg 187
+ localparam CONFIGURATION_TX_REG1 = 32'h000C;
+ localparam ctl_tx_ctl_enable = 0;
+ localparam ctl_tx_ctl_tx_send_lfi = 3;
+ localparam ctl_tx_ctl_tx_send_rfi = 4;
+ localparam ctl_tx_ctl_tx_send_idle = 5;
+ localparam ctl_tx_ctl_test_pattern = 16;
+
+ localparam CONFIGURATION_RX_REG1 = 32'h0014;
+ localparam ctl_rx_ctl_enable = 0;
+ localparam ctl_rx_ctl_rx_force_resync = 7;
+ localparam ctl_rx_ctl_test_pattern = 8;
+
+ localparam RSFEC_CONFIG_INDICATION_CORRECTION = 32'h1000;
+ localparam rs_fec_in_ctl_rx_rsfec_enable_correction = 0;
+ localparam rs_fec_in_ctl_rx_rsfec_enable_indication = 1;
+ localparam rs_fec_in_ctl_rsfec_ieee_error_indication_mode = 2;
+
+ localparam RSFEC_CONFIG_ENABLE = 32'h107C;
+ localparam rs_fec_in_ctl_rx_rsfec_enable = 0;
+ localparam rs_fec_in_ctl_tx_rsfec_enable = 1;
+
+ // Extra configuration for Pause Frames (CMAC guide Pg 210)
+ //0x0084 : 32'h00003DFF [CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG1]
+ //0x0088 : 32'h0001C631 [CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG2]
+ //0x0048 : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1]
+ //0x004C : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2]
+ //0x0050 : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3]
+ //0x0054 : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4]
+ //0x0058 : 32'h0000FFFF [CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5]
+ //0x0034 : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1]
+ //0x0038 : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2]
+ //0x003C : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3]
+ //0x0040 : 32'hFFFFFFFF [CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4]
+ //0x0044 : 32'h0000FFFF [CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5]
+ //0x0030 : 32'h000001FF [CONFIGURATION_TX_FLOW_CONTROL_CONTROL_REG1]
+
+ localparam CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG1 = 32'h0084;
+ //3DFF - 0011 1101 1111 1111
+ localparam ctl_rx_pause_en = 0; // 9 bits
+ localparam ctl_rx_enable_gcp = 10;
+ localparam ctl_rx_enable_pcp = 11;
+ localparam ctl_rx_enable_gpp = 12;
+ localparam ctl_rx_enable_ppp = 13;
+ localparam ctl_rx_pause_ack = 23; // 8 bits
+ localparam CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG2 = 32'h0088;
+ //1C631 - 0001 1100 0110 0011 0001
+ localparam ctl_rx_check_mcast_gcp = 0; //1
+ localparam ctl_rx_check_ucast_gcp = 1;
+ localparam ctl_rx_check_sa_gcp = 2;
+ localparam ctl_rx_check_etype_gcp = 3;
+ localparam ctl_rx_check_opcode_gcp = 4; //1
+ localparam ctl_rx_check_mcast_pcp = 5; //1
+ localparam ctl_rx_check_ucast_pcp = 6;
+ localparam ctl_rx_check_sa_pcp = 7;
+ localparam ctl_rx_check_etype_pcp = 8;
+ localparam ctl_rx_check_opcode_pcp = 9; //1
+ localparam ctl_rx_check_mcast_gpp = 10; //1
+ localparam ctl_rx_check_ucast_gpp = 11;
+ localparam ctl_rx_check_sa_gpp = 12;
+ localparam ctl_rx_check_etype_gpp = 13;
+ localparam ctl_rx_check_opcode_gpp = 14; //1
+ localparam ctl_rx_check_opcode_ppp = 15; //1
+ localparam ctl_rx_check_mcast_ppp = 16; //1
+ localparam ctl_rx_check_ucast_ppp = 17;
+ localparam ctl_rx_check_sa_ppp = 18;
+ localparam ctl_rx_check_etype_ppp = 19;
+
+ localparam CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1 = 32'h0048;
+ localparam ctl_tx_pause_quanta0 = 0;
+ localparam ctl_tx_pause_quanta1 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2 = 32'h004C;
+ localparam ctl_tx_pause_quanta2 = 0;
+ localparam ctl_tx_pause_quanta3 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3 = 32'h0050;
+ localparam ctl_tx_pause_quanta4 = 0;
+ localparam ctl_tx_pause_quanta5 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4 = 32'h0054;
+ localparam ctl_tx_pause_quanta6 = 0;
+ localparam ctl_tx_pause_quanta7 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5 = 32'h0058;
+ localparam ctl_tx_pause_quanta8 = 0;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1 = 32'h0034;
+ localparam ctl_tx_pause_refresh_timer0 = 0;
+ localparam ctl_tx_pause_refresh_timer1 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2 = 32'h0038;
+ localparam ctl_tx_pause_refresh_timer2 = 0;
+ localparam ctl_tx_pause_refresh_timer3 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3 = 32'h003C;
+ localparam ctl_tx_pause_refresh_timer4 = 0;
+ localparam ctl_tx_pause_refresh_timer5 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4 = 32'h0040;
+ localparam ctl_tx_pause_refresh_timer6 = 0;
+ localparam ctl_tx_pause_refresh_timer7 = 16;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5 = 32'h0044;
+ localparam ctl_tx_pause_refresh_timer8 = 0;
+ localparam CONFIGURATION_TX_FLOW_CONTROL_CONTROL_REG1 = 32'h0030;
+ // 1FF
+ localparam ctl_tx_pause_enable = 0; // 9 bits
+
+
+ AxiLiteIf #(.DATA_WIDTH(mgt_axil.DATA_WIDTH),.ADDR_WIDTH(32))
+ auto_axil(.clk(mgt_axil.clk),.rst(mgt_axil.rst));
+
+ typedef enum logic [4:0] {
+ ST_RESET = 5'd0,
+ ST_WR_CONFIGURATION_TX_REG1_IDLE = 5'd1,
+ ST_WR_RSFEC_CONFIG_INDICATION_CORRECTION = 5'd2,
+ ST_WR_RSFEC_CONFIG_ENABLE = 5'd3,
+ ST_WR_CONFIGURATION_RX_REG1 = 5'd4,
+ ST_WAIT = 5'd5,
+ ST_WR_CONFIGURATION_TX_REG1_TX_ENABLE = 5'd6,
+ ST_READY = 5'd7,
+ // EXTRA PAUSE WRITES
+ ST_WR_CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG1 = 5'd8,
+ ST_WR_CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG2 = 5'd9,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1 = 5'd10,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2 = 5'd11,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3 = 5'd12,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4 = 5'd13,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5 = 5'd14,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1 = 5'd15,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2 = 5'd16,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3 = 5'd17,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4 = 5'd18,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5 = 5'd19,
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_CONTROL_REG1 = 5'd20
+ } auto_connect_state_t;
+
+ auto_connect_state_t auto_connect_state = ST_RESET;
+ logic auto_rst0, auto_rst1, auto_rst2, auto_rst3;
+ logic mgt_axil_in_progress;
+ logic w_req, aw_req;
+ logic phy_reset_bclk,stat_rx_aligned_bclk;
+ logic auto_enable;
+
+ synchronizer #( .STAGES(2), .WIDTH(1), .INITIAL_VAL(0) ) phy_reset_sync_i (
+ .clk(mgt_axil.clk), .rst(1'b0), .in(phy_reset), .out(phy_reset_bclk)
+ );
+
+ synchronizer #( .STAGES(2), .WIDTH(1), .INITIAL_VAL(0) ) rx_aligned_sync_i (
+ .clk(mgt_axil.clk), .rst(1'b0), .in(stat_rx_aligned), .out(stat_rx_aligned_bclk)
+ );
+
+ synchronizer #( .STAGES(2), .WIDTH(1), .INITIAL_VAL(0) ) auto_config_done_sync_i (
+ .clk(mgt_clk), .rst(1'b0), .in(stat_auto_config_done_bclk), .out(stat_auto_config_done)
+ );
+
+
+ synchronizer #( .STAGES(2), .WIDTH(1), .INITIAL_VAL(0) ) auto_enable_sync_i (
+ .clk(mgt_axil.clk), .rst(1'b0), .in(mac_ctrl[0]), .out(auto_enable)
+ );
+
+ synchronizer #( .STAGES(2), .WIDTH(9), .INITIAL_VAL(9'h100) ) pause_mask_sync_i (
+ .clk(mgt_clk), .rst(1'b0), .in(mac_ctrl[24:16]), .out(pause_mask)
+ );
+
+
+ always_ff @(posedge mgt_axil.clk) begin : auto_enable_logic
+ if (mgt_axil.rst) begin
+ auto_rst0 <= 1'b1;
+ auto_rst1 <= 1'b1;
+ auto_rst2 <= 1'b1;
+ auto_rst3 <= 1'b1;
+ auto_connect_state <= ST_RESET;
+ stat_auto_config_done_bclk <= 1'b0;
+ mgt_axil_in_progress <= 1'b0;
+ w_req <= 1'b0;
+ aw_req <= 1'b0;
+
+ // default is to drive mgt_axi_through
+ /* write address channel */
+ auto_axil.awaddr <= 'bX;
+ auto_axil.awvalid <= 1'b0;
+ mgt_axil.awready <= 1'b0;
+ /* write data channel */
+ auto_axil.wdata <= 'bX;
+ auto_axil.wstrb <= 'b0;
+ auto_axil.wvalid <= 1'b0;
+ mgt_axil.wready <= 1'b0;
+ /* write resp channel */
+ mgt_axil.bresp[1:0] <= 'b0;
+ mgt_axil.bvalid <= 1'b0;
+ auto_axil.bready <= 1'b0;
+ /* read address channel */
+ auto_axil.araddr <= 'b0;
+ auto_axil.arvalid <= 1'b0;
+ mgt_axil.arready <= 1'b0;
+ /* read resp channel */
+ mgt_axil.rdata <= 'bX;
+ mgt_axil.rresp[1:0] <= 'b0;
+ mgt_axil.rvalid <= 1'b0;
+ auto_axil.rready <= 1'b0;
+
+ end else begin
+ // 4 clocks to mimic Xilinx Example behavior
+ auto_rst0 <= phy_reset_bclk;
+ auto_rst1 <= auto_rst0;
+ auto_rst2 <= auto_rst1;
+ auto_rst3 <= auto_rst2;
+ // assumes one access in flight at time (valid for standard Xilinx AXIL driver)
+ // set if anyone starts driving a W Address / W Data / R Address channel
+ if (auto_axil.awvalid || auto_axil.wvalid || auto_axil.arvalid) begin
+ mgt_axil_in_progress <= 1'b1;
+ // clear on an acknowledged response
+ end else if ((auto_axil.bvalid && auto_axil.bready) ||
+ (auto_axil.rvalid && auto_axil.rready)) begin
+ mgt_axil_in_progress <= 1'b0;
+ end
+
+ // default is to drive mgt_axi_through
+ /* write address channel */
+ auto_axil.awaddr <= mgt_axil.awaddr;
+ auto_axil.awvalid <= mgt_axil.awvalid;
+ mgt_axil.awready <= auto_axil.awready;
+ /* write data channel */
+ auto_axil.wdata <= mgt_axil.wdata;
+ auto_axil.wstrb <= mgt_axil.wstrb;
+ auto_axil.wvalid <= mgt_axil.wvalid;
+ mgt_axil.wready <= auto_axil.wready;
+ /* write resp channel */
+ mgt_axil.bresp <= auto_axil.bresp;
+ mgt_axil.bvalid <= auto_axil.bvalid;
+ auto_axil.bready <= mgt_axil.bready;
+ /* read address channel */
+ auto_axil.araddr <= mgt_axil.araddr;
+ auto_axil.arvalid <= mgt_axil.arvalid;
+ mgt_axil.arready <= auto_axil.arready;
+ /* read resp channel */
+ mgt_axil.rdata <= auto_axil.rdata;
+ mgt_axil.rresp <= auto_axil.rresp;
+ mgt_axil.rvalid <= auto_axil.rvalid;
+ auto_axil.rready <= mgt_axil.rready;
+
+ if (auto_rst3) begin
+ auto_connect_state = ST_RESET;
+ stat_auto_config_done_bclk <= 1'b0;
+ end else begin
+ case (auto_connect_state)
+
+ ST_RESET: begin
+ stat_auto_config_done_bclk <= 1'b0;
+ if (!mgt_axil_in_progress && auto_enable) begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_REG1_IDLE;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_REG1_IDLE: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // start transmitting alignment pattern
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_ctl_enable] <= 0;
+ auto_axil.wdata[ctl_tx_ctl_tx_send_idle] <= 0;
+ auto_axil.wdata[ctl_tx_ctl_tx_send_lfi] <= 0;
+ auto_axil.wdata[ctl_tx_ctl_tx_send_rfi] <= 1;
+ auto_axil.wdata[ctl_tx_ctl_test_pattern] <= 0;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_RSFEC_CONFIG_INDICATION_CORRECTION;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_RSFEC_CONFIG_INDICATION_CORRECTION: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // configure fec
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[rs_fec_in_ctl_rx_rsfec_enable_correction] <= 1;
+ auto_axil.wdata[rs_fec_in_ctl_rx_rsfec_enable_indication] <= 1;
+ auto_axil.wdata[rs_fec_in_ctl_rsfec_ieee_error_indication_mode] <= 1;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= RSFEC_CONFIG_INDICATION_CORRECTION;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_RSFEC_CONFIG_ENABLE;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_RSFEC_CONFIG_ENABLE: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // enable fec
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[rs_fec_in_ctl_rx_rsfec_enable] <= 1;
+ auto_axil.wdata[rs_fec_in_ctl_tx_rsfec_enable] <= 1;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= RSFEC_CONFIG_ENABLE;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_RX_REG1;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_RX_REG1: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_rx_ctl_enable] <= 1;
+ auto_axil.wdata[ctl_rx_ctl_rx_force_resync] <= 0;
+ auto_axil.wdata[ctl_rx_ctl_test_pattern] <= 0;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_RX_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WAIT;
+ end
+ end
+
+ ST_WAIT: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // don't drive any writes, but hold off bus
+ auto_axil.wdata <= 0;
+ auto_axil.wstrb <= 0;
+ auto_axil.wvalid <= 0;
+ auto_axil.awaddr <= 0;
+ auto_axil.awvalid <= 0;
+ auto_axil.bready <= 0;
+ if (stat_rx_aligned_bclk) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_REG1_TX_ENABLE;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_REG1_TX_ENABLE: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // stop transmitting alignment pattern
+ // and start transmitting data
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_ctl_enable] <= 1;
+ auto_axil.wdata[ctl_tx_ctl_tx_send_idle] <= 0;
+ auto_axil.wdata[ctl_tx_ctl_tx_send_lfi] <= 0;
+ auto_axil.wdata[ctl_tx_ctl_tx_send_rfi] <= 0;
+ auto_axil.wdata[ctl_tx_ctl_test_pattern] <= 0;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ if (PAUSE_EN) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG1;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end else begin
+ auto_connect_state <= ST_READY;
+ end
+ end
+ end
+
+ ST_WR_CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG1: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ //3DFF - 0011 1101 1111 1111
+ auto_axil.wdata[ctl_rx_pause_en+:9] <= '1;
+ auto_axil.wdata[ctl_rx_enable_gcp] <= 1;
+ auto_axil.wdata[ctl_rx_enable_pcp] <= 1;
+ auto_axil.wdata[ctl_rx_enable_gpp] <= 1;
+ auto_axil.wdata[ctl_rx_enable_ppp] <= 1;
+ //ctl_rx_pause_ack = 23; // 8 bits NOT SET
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG2;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG2: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ //1C631 - 0001 1100 0110 0011 0001
+ auto_axil.wdata[ctl_rx_check_mcast_gcp ] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_ucast_gcp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_sa_gcp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_etype_gcp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_opcode_gcp] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_mcast_pcp ] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_ucast_pcp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_sa_pcp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_etype_pcp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_opcode_pcp] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_mcast_gpp ] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_ucast_gpp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_sa_gpp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_etype_gpp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_opcode_gpp] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_opcode_ppp] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_mcast_ppp ] <= 1; //1
+ auto_axil.wdata[ctl_rx_check_ucast_ppp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_sa_ppp ] <= 0;
+ auto_axil.wdata[ctl_rx_check_etype_ppp ] <= 0;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_RX_FLOW_CONTROL_CONTROL_REG2;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_quanta0+:16] <= PAUSE_QUANTA;
+ auto_axil.wdata[ctl_tx_pause_quanta1+:16] <= PAUSE_QUANTA;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_quanta2+:16] <= PAUSE_QUANTA;
+ auto_axil.wdata[ctl_tx_pause_quanta3+:16] <= PAUSE_QUANTA;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_quanta4+:16] <= PAUSE_QUANTA;
+ auto_axil.wdata[ctl_tx_pause_quanta5+:16] <= PAUSE_QUANTA;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_quanta6+:16] <= PAUSE_QUANTA;
+ auto_axil.wdata[ctl_tx_pause_quanta7+:16] <= PAUSE_QUANTA;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_quanta8+:16] <= PAUSE_QUANTA;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer0+:16] <= PAUSE_REFRESH;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer1+:16] <= PAUSE_REFRESH;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer2+:16] <= PAUSE_REFRESH;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer3+:16] <= PAUSE_REFRESH;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer4+:16] <= PAUSE_REFRESH;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer5+:16] <= PAUSE_REFRESH;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer6+:16] <= PAUSE_REFRESH;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer7+:16] <= PAUSE_REFRESH;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ auto_axil.wdata[ctl_tx_pause_refresh_timer8+:16] <= PAUSE_REFRESH;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_WR_CONFIGURATION_TX_FLOW_CONTROL_CONTROL_REG1;
+ w_req <= 1'b1;
+ aw_req <= 1'b1;
+ end
+ end
+
+ ST_WR_CONFIGURATION_TX_FLOW_CONTROL_CONTROL_REG1: begin
+ mgt_axil.awready <= 0;
+ mgt_axil.wready <= 0;
+ mgt_axil.arready <= 0;
+ auto_axil.arvalid <= 0;
+ // turn on RX interface
+ auto_axil.wdata <= 0;
+ // 1FF
+ auto_axil.wdata[ctl_tx_pause_enable+:9] <= '1;
+ auto_axil.wstrb <= '1;
+ auto_axil.wvalid <= w_req;
+ auto_axil.awaddr <= CONFIGURATION_TX_FLOW_CONTROL_CONTROL_REG1;
+ auto_axil.awvalid <= aw_req;
+ auto_axil.bready <= 1'b1;
+ if (auto_axil.wready) begin
+ auto_axil.wvalid <= 1'b0;
+ w_req <= 1'b0;
+ end
+ if (auto_axil.awready) begin
+ auto_axil.awvalid <= 1'b0;
+ aw_req <= 1'b0;
+ end
+ if (auto_axil.bvalid) begin
+ auto_connect_state <= ST_READY;
+ end
+ end
+
+ ST_READY: begin
+ stat_auto_config_done_bclk <= 1'b1;
+ if (!stat_rx_aligned_bclk) begin
+ auto_connect_state <= ST_RESET;
+ end
+ end
+
+ endcase
+ end
+ end
+ end
+
+ always_comb begin
+ `AXI4LITE_ASSIGN(mgt_axil_v,auto_axil)
+
+ // window address to 0x0000-x1FFF
+ mgt_axil_v.araddr = 0;
+ mgt_axil_v.araddr[12:0] = auto_axil.araddr[12:0];
+ // window address to 0x0000-x1FFF
+ mgt_axil_v.awaddr = 0;
+ mgt_axil_v.awaddr[12:0] = auto_axil.awaddr[12:0];
+ end
+
+ end else begin : no_auto_connect
+
+ always_comb begin
+ `AXI4LITE_ASSIGN(mgt_axil_v,mgt_axil)
+
+ // window address to 0x0000-x1FFF
+ mgt_axil_v.araddr = 0;
+ mgt_axil_v.araddr[12:0] = mgt_axil.araddr[12:0];
+ // window address to 0x0000-x1FFF
+ mgt_axil_v.awaddr = 0;
+ mgt_axil_v.awaddr[12:0] = mgt_axil.awaddr[12:0];
+
+ stat_auto_config_done_bclk = 1'b1;
+ end
+ end
+
+ import PkgEth100gLbus::*;
+
+
+ lbus_t lbus_rx [3:0];
+ lbus_t lbus_tx [3:0];
+ logic lbus_tx_rdyout;
+
+ eth_100g_lbus2axi #(.NUM_SEG(4)) lbus2axi (
+ .axis(eth100g_rx),
+ .lbus_in(lbus_rx)
+ );
+
+ eth_100g_axi2lbus #(.NUM_SEG(4)) axi2lbus (
+ .axis(eth100g_tx),
+ .lbus_rdy(lbus_tx_rdyout),
+ .lbus_out(lbus_tx)
+ );
+
+ eth_100g_bd eth_100g_bd_i (
+ .refclk_clk_n(refclk_n),
+ .refclk_clk_p(refclk_p),
+ .gt_rx_gt_port_0_n(rx_n[0]),
+ .gt_rx_gt_port_0_p(rx_p[0]),
+ .gt_rx_gt_port_1_n(rx_n[1]),
+ .gt_rx_gt_port_1_p(rx_p[1]),
+ .gt_rx_gt_port_2_n(rx_n[2]),
+ .gt_rx_gt_port_2_p(rx_p[2]),
+ .gt_rx_gt_port_3_n(rx_n[3]),
+ .gt_rx_gt_port_3_p(rx_p[3]),
+ .init_clk(clk100),
+ .gt_tx_gt_port_0_n(tx_n[0]),
+ .gt_tx_gt_port_0_p(tx_p[0]),
+ .gt_tx_gt_port_1_n(tx_n[1]),
+ .gt_tx_gt_port_1_p(tx_p[1]),
+ .gt_tx_gt_port_2_n(tx_n[2]),
+ .gt_tx_gt_port_2_p(tx_p[2]),
+ .gt_tx_gt_port_3_n(tx_n[3]),
+ .gt_tx_gt_port_3_p(tx_p[3]),
+ .sys_reset(areset),
+ .usr_rx_reset(usr_rx_reset),
+ .usr_tx_reset(usr_tx_reset),
+ .gt_txusrclk2(mgt_clk),
+ .rx_clk(mgt_clk), //feedback in
+ .tx_ovfout(tx_ovfout),
+ .tx_unfout(tx_unfout),
+ .stat_rx_aligned(stat_rx_aligned),
+ .drp_clk(clk100),
+ .core_drp_daddr(10'b0),
+ .core_drp_den(1'b0),
+ .core_drp_di(16'b0),
+ .core_drp_do(),
+ .core_drp_drdy(),
+ .core_drp_dwe(1'b0),
+ `AXI4LITE_PORT_ASSIGN(s_axi,mgt_axil_v)
+ .pm_tick(pm_tick),
+ .ctl_tx_pause_req(ctl_tx_pause_req),
+ .ctl_tx_resend_pause(ctl_tx_resend_pause),
+ .stat_rx_pause_req(stat_rx_pause_req),
+ .eth100g_rx_lbus_seg0_data(lbus_rx[0].data),
+ .eth100g_rx_lbus_seg0_ena(lbus_rx[0].ena),
+ .eth100g_rx_lbus_seg0_eop(lbus_rx[0].eop),
+ .eth100g_rx_lbus_seg0_err(lbus_rx[0].err),
+ .eth100g_rx_lbus_seg0_mty(lbus_rx[0].mty),
+ .eth100g_rx_lbus_seg0_sop(lbus_rx[0].sop),
+ .eth100g_rx_lbus_seg1_data(lbus_rx[1].data),
+ .eth100g_rx_lbus_seg1_ena(lbus_rx[1].ena),
+ .eth100g_rx_lbus_seg1_eop(lbus_rx[1].eop),
+ .eth100g_rx_lbus_seg1_err(lbus_rx[1].err),
+ .eth100g_rx_lbus_seg1_mty(lbus_rx[1].mty),
+ .eth100g_rx_lbus_seg1_sop(lbus_rx[1].sop),
+ .eth100g_rx_lbus_seg2_data(lbus_rx[2].data),
+ .eth100g_rx_lbus_seg2_ena(lbus_rx[2].ena),
+ .eth100g_rx_lbus_seg2_eop(lbus_rx[2].eop),
+ .eth100g_rx_lbus_seg2_err(lbus_rx[2].err),
+ .eth100g_rx_lbus_seg2_mty(lbus_rx[2].mty),
+ .eth100g_rx_lbus_seg2_sop(lbus_rx[2].sop),
+ .eth100g_rx_lbus_seg3_data(lbus_rx[3].data),
+ .eth100g_rx_lbus_seg3_ena(lbus_rx[3].ena),
+ .eth100g_rx_lbus_seg3_eop(lbus_rx[3].eop),
+ .eth100g_rx_lbus_seg3_err(lbus_rx[3].err),
+ .eth100g_rx_lbus_seg3_mty(lbus_rx[3].mty),
+ .eth100g_rx_lbus_seg3_sop(lbus_rx[3].sop),
+ .eth100g_tx_lbus_seg0_data(lbus_tx[0].data),
+ .eth100g_tx_lbus_seg0_ena(lbus_tx[0].ena),
+ .eth100g_tx_lbus_seg0_eop(lbus_tx[0].eop),
+ .eth100g_tx_lbus_seg0_err(lbus_tx[0].err),
+ .eth100g_tx_lbus_seg0_mty(lbus_tx[0].mty),
+ .eth100g_tx_lbus_seg0_sop(lbus_tx[0].sop),
+ .eth100g_tx_lbus_seg1_data(lbus_tx[1].data),
+ .eth100g_tx_lbus_seg1_ena(lbus_tx[1].ena),
+ .eth100g_tx_lbus_seg1_eop(lbus_tx[1].eop),
+ .eth100g_tx_lbus_seg1_err(lbus_tx[1].err),
+ .eth100g_tx_lbus_seg1_mty(lbus_tx[1].mty),
+ .eth100g_tx_lbus_seg1_sop(lbus_tx[1].sop),
+ .eth100g_tx_lbus_seg2_data(lbus_tx[2].data),
+ .eth100g_tx_lbus_seg2_ena(lbus_tx[2].ena),
+ .eth100g_tx_lbus_seg2_eop(lbus_tx[2].eop),
+ .eth100g_tx_lbus_seg2_err(lbus_tx[2].err),
+ .eth100g_tx_lbus_seg2_mty(lbus_tx[2].mty),
+ .eth100g_tx_lbus_seg2_sop(lbus_tx[2].sop),
+ .eth100g_tx_lbus_seg3_data(lbus_tx[3].data),
+ .eth100g_tx_lbus_seg3_ena(lbus_tx[3].ena),
+ .eth100g_tx_lbus_seg3_eop(lbus_tx[3].eop),
+ .eth100g_tx_lbus_seg3_err(lbus_tx[3].err),
+ .eth100g_tx_lbus_seg3_mty(lbus_tx[3].mty),
+ .eth100g_tx_lbus_seg3_sop(lbus_tx[3].sop),
+ .eth100g_tx_tx_rdyout(lbus_tx_rdyout));
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_axis2lbus.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_axis2lbus.sv
new file mode 100644
index 000000000..a3c319043
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_axis2lbus.sv
@@ -0,0 +1,120 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: eth_100g_axi2lbus
+//
+// Description:
+// Translate from AXI4S (Xilinx segmented ifc) to lbus.
+//
+// Built using example provided from Xilinx
+//
+// Parameters:
+// - FIFO_DEPTH - FIFO will be 2** deep
+// - NUM_SEG - Number of lbus segments coming in
+
+import PkgEth100gLbus::*;
+
+module eth_100g_axi2lbus #(
+ parameter FIFO_DEPTH = 5,
+ parameter NUM_SEG = 4
+)
+(
+
+ // AXIS IF
+ AxiStreamIf.slave axis,
+
+ // Lbus Segments
+
+ input logic lbus_rdy,
+ output lbus_t lbus_out [NUM_SEG-1:0]
+
+);
+
+ localparam SEG_DATA_WIDTH = DATA_WIDTH/NUM_SEG;
+ localparam SEG_BYTES = SEG_DATA_WIDTH/8;
+ localparam SEG_MTY_WIDTH = $clog2(SEG_BYTES);
+
+ // post rotation lbus signals
+ lbus_t lbus_d [NUM_SEG-1:0];
+
+ // Find last so we can find SOP
+ logic found_last;
+
+ // Propagate ready when asserting , propagate delayed ready while deasserting
+ logic axis_tready_i;
+ assign axis.tready = axis_tready_i | lbus_rdy;
+
+ always @(posedge axis.clk) begin
+ axis_tready_i <= lbus_rdy;
+ end
+
+ //declare a segment width axis bus so I can use it's methods
+ AxiStreamIf #(.DATA_WIDTH(SEG_DATA_WIDTH),.USER_WIDTH($clog2(SEG_BYTES)))
+ seg_axi (axis.clk, axis.rst);
+ assign seg_axi.tlast = 1'b1;
+
+ logic [NUM_SEG:0] valid;
+ assign valid[NUM_SEG] = 1'b0;
+
+ genvar b;
+ genvar s;
+ generate begin : lbus_gen
+ for (s=0; s < NUM_SEG; s=s+1) begin : segment_loop
+ // Reverse data byte ordering on each segment
+ for (b = 0; b < DATA_WIDTH/32; b=b+1) begin : byte_loop
+ assign lbus_d[s].data[b*8 +: 8] = axis.tdata[((s+1)*(DATA_WIDTH/NUM_SEG)-8-(b*8)) +: 8];
+ end : byte_loop
+ // valid if tkeep is set for any bytes in the segment
+ assign valid[s] = (| axis.tkeep[s*SEG_BYTES +: SEG_BYTES]) & axis.tvalid;
+ // enable when valid and transfering
+ assign lbus_d[s].ena = valid[s] & axis.tready;
+ // eop on last valid byte if last is set
+ // we init an extra valid bit to 0 so if all valid bits for all segments are set we trigger an eop on the final segment
+ assign lbus_d[s].eop = (valid[s] ^ valid[s+1]) & axis.tlast;
+ // set error on all segmetns if tuser is set
+ assign lbus_d[s].err = valid[s] & axis.tuser;
+ // translate keep to trailing bytes and invert sign
+ always_comb begin
+ if (lbus_d[s].eop) begin
+ lbus_d[s].mty = SEG_BYTES - seg_axi.keep2trailing(axis.tkeep[s*SEG_BYTES+: SEG_BYTES]);
+ end else begin
+ lbus_d[s].mty = 'b0;
+ end
+ end
+ //SOP can only occur on segment 0, so init all the bits to zero, then assign segment 0
+ if (s==0) begin
+ assign lbus_d[s].sop = found_last & axis.tvalid & axis.tready;
+ end else begin
+ assign lbus_d[s].sop = 1'b0;
+ end
+ // assign the output DFF's
+ always_ff @(posedge axis.clk) begin
+ lbus_out[s].data <= lbus_d[s].data;
+ lbus_out[s].ena <= lbus_d[s].ena;
+ lbus_out[s].sop <= lbus_d[s].sop;
+ lbus_out[s].eop <= lbus_d[s].eop;
+ lbus_out[s].err <= lbus_d[s].err;
+ lbus_out[s].mty <= lbus_d[s].mty;
+ end
+
+ end : segment_loop
+ end : lbus_gen
+ endgenerate
+
+
+
+ // SOP Statemachine
+ always_ff @(posedge axis.clk) begin : sop_sm
+ if(axis.rst) begin
+ found_last <= 1'b1;
+ end else begin
+ if(axis.tvalid & axis.tlast & axis.tready) found_last <= 1'b1;
+ else if(axis.tvalid & axis.tready) found_last <= 1'b0;
+ end
+ end : sop_sm
+
+endmodule
+
+
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_bd.tcl b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_bd.tcl
new file mode 100644
index 000000000..624e0902e
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_bd.tcl
@@ -0,0 +1,361 @@
+
+################################################################
+# This is a generated script based on design: eth_100g_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source eth_100g_bd_script.tcl
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name eth_100g_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+xilinx.com:ip:cmac_usplus:2.6\
+xilinx.com:ip:xlconstant:1.1\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set core_drp [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:drp_rtl:1.0 core_drp ]
+
+ set eth100g_rx [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_cmac_usplus:lbus_ports:2.0 eth100g_rx ]
+
+ set eth100g_tx [ create_bd_intf_port -mode Slave -vlnv xilinx.com:display_cmac_usplus:lbus_ports:2.0 eth100g_tx ]
+
+ set gt_rx [ create_bd_intf_port -mode Slave -vlnv xilinx.com:display_cmac_usplus:gt_ports:2.0 gt_rx ]
+
+ set gt_tx [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_cmac_usplus:gt_ports:2.0 gt_tx ]
+
+ set refclk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 refclk ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {156250000} \
+ ] $refclk
+
+ set s_axi [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {32} \
+ CONFIG.ARUSER_WIDTH {0} \
+ CONFIG.AWUSER_WIDTH {0} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_PROT {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {0} \
+ CONFIG.MAX_BURST_LENGTH {1} \
+ CONFIG.NUM_READ_OUTSTANDING {1} \
+ CONFIG.NUM_READ_THREADS {1} \
+ CONFIG.NUM_WRITE_OUTSTANDING {1} \
+ CONFIG.NUM_WRITE_THREADS {1} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi
+
+
+ # Create ports
+ set ctl_tx_pause_req [ create_bd_port -dir I -from 8 -to 0 ctl_tx_pause_req ]
+ set ctl_tx_resend_pause [ create_bd_port -dir I ctl_tx_resend_pause ]
+ set drp_clk [ create_bd_port -dir I -type clk drp_clk ]
+ set gt_txusrclk2 [ create_bd_port -dir O -type clk gt_txusrclk2 ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {eth100g_rx:eth100g_tx} \
+ CONFIG.FREQ_HZ {322265625} \
+ ] $gt_txusrclk2
+ set init_clk [ create_bd_port -dir I -type clk init_clk ]
+ set pm_tick [ create_bd_port -dir I pm_tick ]
+ set rx_clk [ create_bd_port -dir I -type clk rx_clk ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {322265625} \
+ ] $rx_clk
+ set s_axi_aclk [ create_bd_port -dir I -type clk s_axi_aclk ]
+ set s_axi_sreset [ create_bd_port -dir I -type rst s_axi_sreset ]
+ set_property -dict [ list \
+ CONFIG.POLARITY {ACTIVE_HIGH} \
+ ] $s_axi_sreset
+ set stat_rx_aligned [ create_bd_port -dir O stat_rx_aligned ]
+ set stat_rx_pause_req [ create_bd_port -dir O -from 8 -to 0 stat_rx_pause_req ]
+ set sys_reset [ create_bd_port -dir I -type rst sys_reset ]
+ set_property -dict [ list \
+ CONFIG.POLARITY {ACTIVE_HIGH} \
+ ] $sys_reset
+ set tx_ovfout [ create_bd_port -dir O tx_ovfout ]
+ set tx_unfout [ create_bd_port -dir O tx_unfout ]
+ set usr_rx_reset [ create_bd_port -dir O -type rst usr_rx_reset ]
+ set usr_tx_reset [ create_bd_port -dir O -type rst usr_tx_reset ]
+
+ # Create instance: cmac_usplus_0, and set properties
+ set cmac_usplus_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:cmac_usplus:2.6 cmac_usplus_0 ]
+ set_property -dict [ list \
+ CONFIG.CMAC_CAUI4_MODE {1} \
+ CONFIG.CMAC_CORE_SELECT {CMACE4_X0Y0} \
+ CONFIG.ENABLE_AXI_INTERFACE {1} \
+ CONFIG.GT_DRP_CLK {100} \
+ CONFIG.GT_GROUP_SELECT {X0Y4~X0Y7} \
+ CONFIG.GT_REF_CLK_FREQ {156.25} \
+ CONFIG.INCLUDE_AUTO_NEG_LT_LOGIC {0} \
+ CONFIG.INCLUDE_RS_FEC {1} \
+ CONFIG.INCLUDE_SHARED_LOGIC {2} \
+ CONFIG.INCLUDE_STATISTICS_COUNTERS {1} \
+ CONFIG.LANE10_GT_LOC {NA} \
+ CONFIG.LANE1_GT_LOC {X0Y4} \
+ CONFIG.LANE2_GT_LOC {X0Y5} \
+ CONFIG.LANE3_GT_LOC {X0Y6} \
+ CONFIG.LANE4_GT_LOC {X0Y7} \
+ CONFIG.LANE5_GT_LOC {NA} \
+ CONFIG.LANE6_GT_LOC {NA} \
+ CONFIG.LANE7_GT_LOC {NA} \
+ CONFIG.LANE8_GT_LOC {NA} \
+ CONFIG.LANE9_GT_LOC {NA} \
+ CONFIG.NUM_LANES {4} \
+ CONFIG.RX_CHECK_ACK {0} \
+ CONFIG.RX_EQ_MODE {AUTO} \
+ CONFIG.RX_FLOW_CONTROL {1} \
+ CONFIG.TX_FLOW_CONTROL {1} \
+ CONFIG.USER_INTERFACE {LBUS} \
+ ] $cmac_usplus_0
+
+ # Create instance: tie_loopback, and set properties
+ set tie_loopback [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 tie_loopback ]
+ set_property -dict [ list \
+ CONFIG.CONST_VAL {0} \
+ CONFIG.CONST_WIDTH {12} \
+ ] $tie_loopback
+
+ # Create instance: tie_zero, and set properties
+ set tie_zero [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 tie_zero ]
+ set_property -dict [ list \
+ CONFIG.CONST_VAL {0} \
+ ] $tie_zero
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net RefClk_1 [get_bd_intf_ports refclk] [get_bd_intf_pins cmac_usplus_0/gt_ref_clk]
+ connect_bd_intf_net -intf_net Rx_1 [get_bd_intf_ports gt_rx] [get_bd_intf_pins cmac_usplus_0/gt_rx]
+ connect_bd_intf_net -intf_net cmac_usplus_0_gt_tx [get_bd_intf_ports gt_tx] [get_bd_intf_pins cmac_usplus_0/gt_tx]
+ connect_bd_intf_net -intf_net cmac_usplus_0_lbus_rx [get_bd_intf_ports eth100g_rx] [get_bd_intf_pins cmac_usplus_0/lbus_rx]
+ connect_bd_intf_net -intf_net eth_100g_tx_1 [get_bd_intf_ports eth100g_tx] [get_bd_intf_pins cmac_usplus_0/lbus_tx]
+ connect_bd_intf_net -intf_net sDrp_1 [get_bd_intf_ports core_drp] [get_bd_intf_pins cmac_usplus_0/core_drp]
+ connect_bd_intf_net -intf_net s_axi_1 [get_bd_intf_ports s_axi] [get_bd_intf_pins cmac_usplus_0/s_axi]
+
+ # Create port connections
+ connect_bd_net -net SysClk_1 [get_bd_ports init_clk] [get_bd_pins cmac_usplus_0/init_clk]
+ connect_bd_net -net aResetIn_1 [get_bd_ports sys_reset] [get_bd_pins cmac_usplus_0/sys_reset]
+ connect_bd_net -net cmac_usplus_0_gt_txusrclk2 [get_bd_ports gt_txusrclk2] [get_bd_pins cmac_usplus_0/gt_txusrclk2]
+ connect_bd_net -net cmac_usplus_0_stat_rx_aligned [get_bd_ports stat_rx_aligned] [get_bd_pins cmac_usplus_0/stat_rx_aligned]
+ connect_bd_net -net cmac_usplus_0_stat_rx_pause_req [get_bd_ports stat_rx_pause_req] [get_bd_pins cmac_usplus_0/stat_rx_pause_req]
+ connect_bd_net -net cmac_usplus_0_tx_ovfout [get_bd_ports tx_ovfout] [get_bd_pins cmac_usplus_0/tx_ovfout]
+ connect_bd_net -net cmac_usplus_0_tx_unfout [get_bd_ports tx_unfout] [get_bd_pins cmac_usplus_0/tx_unfout]
+ connect_bd_net -net cmac_usplus_0_usr_rx_reset [get_bd_ports usr_rx_reset] [get_bd_pins cmac_usplus_0/usr_rx_reset]
+ connect_bd_net -net cmac_usplus_0_usr_tx_reset [get_bd_ports usr_tx_reset] [get_bd_pins cmac_usplus_0/usr_tx_reset]
+ connect_bd_net -net ctl_tx_pause_req_1 [get_bd_ports ctl_tx_pause_req] [get_bd_pins cmac_usplus_0/ctl_tx_pause_req]
+ connect_bd_net -net ctl_tx_resend_pause_1 [get_bd_ports ctl_tx_resend_pause] [get_bd_pins cmac_usplus_0/ctl_tx_resend_pause]
+ connect_bd_net -net drp_clk_1 [get_bd_ports drp_clk] [get_bd_pins cmac_usplus_0/drp_clk]
+ connect_bd_net -net pm_tick_1 [get_bd_ports pm_tick] [get_bd_pins cmac_usplus_0/pm_tick]
+ connect_bd_net -net rx_clk_1 [get_bd_ports rx_clk] [get_bd_pins cmac_usplus_0/rx_clk]
+ connect_bd_net -net s_axi_aclk_1 [get_bd_ports s_axi_aclk] [get_bd_pins cmac_usplus_0/s_axi_aclk]
+ connect_bd_net -net s_axi_sreset_1 [get_bd_ports s_axi_sreset] [get_bd_pins cmac_usplus_0/s_axi_sreset]
+ connect_bd_net -net tie_loopback_dout [get_bd_pins cmac_usplus_0/gt_loopback_in] [get_bd_pins tie_loopback/dout]
+ connect_bd_net -net tie_zero_dout [get_bd_pins cmac_usplus_0/gtwiz_reset_rx_datapath] [get_bd_pins cmac_usplus_0/gtwiz_reset_tx_datapath] [get_bd_pins tie_zero/dout]
+
+ # Create address segments
+ create_bd_addr_seg -range 0x00002000 -offset 0x00000000 [get_bd_addr_spaces s_axi] [get_bd_addr_segs cmac_usplus_0/s_axi/Reg] SEG_cmac_usplus_0_Reg
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_lbus2axis.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_lbus2axis.sv
new file mode 100644
index 000000000..0fd1ab42f
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/eth_100g_lbus2axis.sv
@@ -0,0 +1,555 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: eth_100g_lbus2axi
+//
+// Description:
+// Translate from lbus (xilinx segmented ifc) to
+// AXI4S.
+//
+// Built using example provided from Xilinx
+//
+// Parameters:
+// - FIFO_DEPTH - FIFO will be 2** deep
+// - NUM_SEG - Number of lbus segments coming in
+//
+// Notes on timing difficulty
+// The path back to pop is challenged
+// -LBUS is popped out of the FIFO (SRL read can be slow)
+// -LBUS is rotated N to 1 Mux (N= number of segments) For 100g N=4
+// -Find where EOP is (search for the first 1)
+// -Unrotate the number of words and use that to calculate pop
+//
+// Fifo Output
+// Data starts from the SRL and is indexed by the read pointer
+// Data_Valid comes from a comparison on fullness
+// Invalid control is forced to zero (necessary for algorithm)
+// It's not necessary to force all the data to zero just the control plane.
+//
+// Fifo output data is rotated (4 to 1) mux then reinterpreted as lbus data
+//
+// The rotated control signals are analyzed to determine
+// no_eop, no_sop, some_empty, no_ena
+//
+// eop is specifically inspected in a 4in,4out function to find a pseudo
+// one hot. this is unrotated along with enable, and combined with
+// datavalid to determine the next pop, which controls incrementing of the
+// rd_pointer.
+//
+
+import PkgEth100gLbus::*;
+
+module eth_100g_lbus2axi #(
+ parameter FIFO_DEPTH = 5,
+ parameter NUM_SEG = 4
+)
+(
+
+ // AXIS IF
+ AxiStreamIf.master axis,
+
+ // Lbus Segments
+ input lbus_t lbus_in [NUM_SEG-1:0]
+
+);
+
+ localparam SEG_BYTES = SEG_DATA_WIDTH/8;
+ localparam SEG_MTY_WIDTH = $clog2(SEG_BYTES);
+ localparam SEG_SHMEAR_WIDTH = SEG_DATA_WIDTH + SEG_MTY_WIDTH + 4;
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// Data Input to FIFO ///////////
+ //////////////////////////////////////////////////////////////////////////////////
+
+ lbus_t lbus_fout_p[NUM_SEG-1:0]; //{ena,err,eop,sop,mty,data}
+ lbus_t lbus_fout[NUM_SEG-1:0]; //{ena,err,eop,sop,mty,data}
+ //FIFO Logic
+ logic push;
+ logic [NUM_SEG-1:0] pop;
+
+ logic [NUM_SEG-1:0] full;
+ logic [NUM_SEG-1:0] empty;
+
+ // always push the fifo on all lanes
+ assign push = lbus_in[0].ena;
+
+ // For each lane of incoming data place it into a separate FIFO
+ generate
+ genvar b1,gseg1;
+ begin : gen_seg_fifo
+ for(gseg1 = 0; gseg1 < NUM_SEG; gseg1=gseg1+1) begin
+
+ //////////////////////////////////////////////////////////////////////////////////
+ // INLINE FIFO
+ //////////////////////////////////////////////////////////////////////////////////
+
+ // simulation error if we push a full fifo
+ always_comb begin
+ if (push) begin
+ assert (!full[gseg1]) else $error("Pushing full fifo!");
+ end
+ end
+
+ // limit fanout to improve timing
+ (* max_fanout = 75 *) logic [4:0] a;
+
+ for (b1=0;b1<SEG_DATA_WIDTH;b1=b1+1) begin : gen_srl_data
+ SRLC32E srl_data(
+ .Q(lbus_fout_p[gseg1].data[b1]), .Q31(),
+ .A(a),
+ .CE(push),.CLK(axis.clk),.D(lbus_in[gseg1].data[b1])
+ );
+ end
+ for (b1=0;b1<SEG_MTY_WIDTH;b1=b1+1) begin : gen_srl_mty
+ SRLC32E srl_mty(
+ .Q(lbus_fout_p[gseg1].mty[b1]), .Q31(),
+ .A(a),
+ .CE(push),.CLK(axis.clk),.D(lbus_in[gseg1].mty[b1])
+ );
+ end
+ SRLC32E srl_err(
+ .Q(lbus_fout_p[gseg1].err), .Q31(),
+ .A(a),
+ .CE(push),.CLK(axis.clk),.D(lbus_in[gseg1].err)
+ );
+
+ // empty on prebuffer and SRL
+ logic my_empty;
+ always @(posedge axis.clk)
+ begin
+ if(axis.rst) begin
+ a <= 0;
+ my_empty <= 1;
+ full[gseg1] <= 0;
+ end else if(pop[gseg1] & ~push) begin
+ full[gseg1] <= 0;
+ if(a==0) begin
+ my_empty <= 1;
+ end else begin
+ a <= a - 1;
+ end
+ end else if(push & ~pop[gseg1]) begin
+ my_empty <= 0;
+ if(~my_empty) begin
+ a <= a + 1;
+ end
+ if(a == 30) begin
+ full[gseg1] <= 1;
+ end
+ end
+ end
+
+ // FIFO for time sensitive control signals. This creates a separate 31 deep fifo from
+ // DFF's on just 3 signals. The data signals continue to use an SRL to save space.
+ // The design bellow is a FIFO followed by a single DFF regsiter that is automatically
+ // prefilled when the FIFO has data.
+ logic [4:0] w_ptr,r_ptr,r_ptr_d,fullness;
+ logic [31:0] ena_mem, sop_mem, eop_mem;
+
+ // Final fifo stage after memory to remove address muxing from timing path
+ // this adds one clock of latency to empty flag as it will take 2 clocks to propagate
+ // into fifo.
+
+ //push critical timing signals to final flop. This adds 1 clock of latency on
+ // the final empty flag, but removes muxing of the memory elements
+ logic push_dff;
+
+ //using r_ptr_d to avoid extra latency in fullness change
+ always_comb begin
+ if (pop[gseg1]) begin
+ r_ptr_d = r_ptr+1;
+ end else begin
+ r_ptr_d = r_ptr;
+ end
+ fullness = w_ptr-r_ptr_d;
+ push_dff = (fullness != 0) & (pop[gseg1] | empty[gseg1]);
+ end
+
+ // speedier fifo implementation on these three control signals
+ // THE goal of this complexity is to have the outputs be a direct FF output
+ // instead of a muxed memory output.
+ always @(posedge axis.clk)
+ begin
+ if(axis.rst) begin
+ ena_mem <= '0;
+ sop_mem <= '0;
+ eop_mem <= '0;
+ lbus_fout_p[gseg1].ena <= 1'b0;
+ lbus_fout_p[gseg1].sop <= 1'b0;
+ lbus_fout_p[gseg1].eop <= 1'b0;
+ w_ptr <= 0;
+ r_ptr <= 0;
+ empty[gseg1] <= 1'b1;
+ end else begin
+ if(push) begin
+ ena_mem[w_ptr] <= lbus_in[gseg1].ena;
+ sop_mem[w_ptr] <= lbus_in[gseg1].sop;
+ eop_mem[w_ptr] <= lbus_in[gseg1].eop;
+ w_ptr <= w_ptr+1;
+ end
+
+ r_ptr <= r_ptr_d;
+
+ if (push_dff) begin
+ empty[gseg1] <= 1'b0;
+ lbus_fout_p[gseg1].ena <= ena_mem[r_ptr_d];
+ lbus_fout_p[gseg1].sop <= sop_mem[r_ptr_d];
+ lbus_fout_p[gseg1].eop <= eop_mem[r_ptr_d];
+ end else if (pop[gseg1]) begin
+ empty[gseg1] <= 1'b1;
+ end
+ end
+ end
+
+ // clear the enables if this fifo segment is not valid
+ always_comb begin
+ //default assignment
+ lbus_fout[gseg1] = lbus_fout_p[gseg1];
+ if (empty[gseg1]) begin
+ // clear ena,err,eop,sop,mty (But not data - saves fanout!)
+ lbus_fout[gseg1].ena = 0;
+ lbus_fout[gseg1].err = 0;
+ lbus_fout[gseg1].eop = 0;
+ lbus_fout[gseg1].sop = 0;
+ lbus_fout[gseg1].mty = '0;
+ end else begin
+ // clear bits if the segment isn't enabled
+ lbus_fout[gseg1].eop = lbus_fout_p[gseg1].eop && lbus_fout_p[gseg1].ena;
+ lbus_fout[gseg1].sop = lbus_fout_p[gseg1].sop && lbus_fout_p[gseg1].ena;
+ lbus_fout[gseg1].err = lbus_fout_p[gseg1].err && lbus_fout_p[gseg1].ena;
+ end
+ end
+
+ end
+ end : gen_seg_fifo
+ endgenerate
+
+ // post rotation lbus signals
+ lbus_t lbus_rot [NUM_SEG-1:0];
+
+ // rotated signals as vectors for decision making
+ logic [NUM_SEG-1:0] ena;
+ logic [NUM_SEG-1:0] sop;
+ logic [NUM_SEG-1:0] eop;
+ logic [NUM_SEG-1:0] rot_ena;
+ logic [NUM_SEG-1:0] rot_sop;
+ logic [NUM_SEG-1:0] rot_eop;
+ logic [NUM_SEG-1:0] rot_empty;
+
+ always_comb begin
+ foreach (rot_ena[s]) begin
+ ena[s] = lbus_fout[s].ena;
+ sop[s] = lbus_fout[s].sop;
+ eop[s] = lbus_fout[s].eop;
+ rot_ena[s] = lbus_rot[s].ena;
+ rot_sop[s] = lbus_rot[s].sop;
+ rot_eop[s] = lbus_rot[s].eop;
+ end
+ end
+
+
+ logic [$clog2(NUM_SEG)-1:0] rot;
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// Generate Decision Information ///////////
+ //////////////////////////////////////////////////////////////////////////////////
+ logic no_sop;
+ logic no_eop;
+ logic no_ena;
+ logic some_empty;
+ logic send_idle;
+
+ always_comb begin
+ no_sop = sop == 0;
+ no_eop = eop == 0;
+ no_ena = ena == 0;
+ // check for an empy byte
+ some_empty = 1'b0;
+ foreach (ena[seg]) begin : segment_loop
+ if (ena[seg] == 0) begin
+ some_empty = 1'b1;
+ end
+ end : segment_loop;
+ end
+
+ always_comb begin
+ if (no_ena) begin
+ send_idle = 1'b0;
+ end else begin
+ // generally either there is an EOP with some empty segments
+ // or all empty segments on an unrotated bus. I'm not sure
+ // what this implies on an rotated bus
+ send_idle = no_eop & some_empty;
+ end
+ end
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// Calculate Pop ///////////
+ //////////////////////////////////////////////////////////////////////////////////
+ // After rotation figure out how far till eop
+
+ //==========================================================================
+ // one-hot to thermometer code
+ // The goal is to find how far down till we reach the first eop
+ // This represents the bytes we will trasnfer this clock
+ //==========================================================================
+ // Xilinx example
+ // case (in_reqs)
+ // 4'b1000: onehot2thermo = 4'b1111;
+ //
+ // 4'b1100: onehot2thermo = 4'b0111;
+ // 4'b0100: onehot2thermo = 4'b0111;
+ //
+ // 4'b1110: onehot2thermo = 4'b0011;
+ // 4'b0110: onehot2thermo = 4'b0011;
+ // 4'b0010: onehot2thermo = 4'b0011;
+ //
+ // 4'b1111: onehot2thermo = 4'b0001;
+ // 4'b0111: onehot2thermo = 4'b0001;
+ // 4'b0011: onehot2thermo = 4'b0001;
+ // 4'b0001: onehot2thermo = 4'b0001;
+ //
+ // default: onehot2thermo = 4'b0000;
+ // endcase
+ logic [NUM_SEG-1:0] rot_xfer_now;
+ logic [NUM_SEG-1:0] mask [NUM_SEG-1:0];
+ logic [NUM_SEG-1:0] m1hot [NUM_SEG-1:0];
+ logic [NUM_SEG-1:0] meop [NUM_SEG-1:0];
+ logic [NUM_SEG-1:0] match;
+
+ always_comb begin
+ rot_xfer_now = '0;
+ foreach (rot_eop[s]) begin
+ // The function
+ // XXX1=>0001
+ // XX10=>0011
+ // X100=>0111
+ // 1000=>1111
+ // MASK
+ // 2**(0+1)-1 = 0001
+ // 2**(1+1)-1 = 0011
+ // 2**(2+1)-1 = 0111
+ // 2**(3+1)-1 = 1111
+ mask[s] = 2**(s+1)-1; // Constant
+ // MASK
+ // 2**0 = 0001
+ // 2**1 = 0010
+ // 2**2 = 0100
+ // 2**3 = 1000
+ m1hot[s] = 2**s; // Constant
+ // Mask valid_eop
+ meop[s] = rot_eop & mask[s];
+ // compare against 1hot
+ match[s] = meop[s] == m1hot[s];
+ if (match[s]) begin
+ rot_xfer_now = mask[s];
+ end
+ end
+ end
+
+ // unrotate the values and calculate pop
+ logic [NUM_SEG-1:0] xfer_now;
+ logic [NUM_SEG-1:0] filler_seg;
+
+ always_comb begin
+ if (send_idle)
+ xfer_now = '0;
+ else if (no_eop | no_sop)
+ xfer_now = '1;
+ else
+ // rotate left
+ xfer_now = {rot_xfer_now,rot_xfer_now} >> (NUM_SEG - rot);
+ end
+
+ // Flush out valid segments with no enable
+ assign filler_seg = ~ena & ~empty;
+
+ assign pop = (xfer_now | filler_seg) & ~empty;
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// Calculate Rotate for the next clock ///////////
+ //////////////////////////////////////////////////////////////////////////////////
+ logic [$clog2(NUM_SEG)-1:0] next_rot;
+ always_comb begin
+ next_rot = 0;
+ foreach (rot_empty[s]) begin
+ if (~rot_empty[s] & lbus_rot[s].sop) begin
+ next_rot = s;
+ end
+ end
+ end
+
+ always @(posedge axis.clk)
+ begin
+ if(axis.rst) begin
+ rot <= '0;
+ //no valid data on any segment
+ end else if( no_ena ) begin
+ rot <= '0;
+ // If EOP, but no SoP
+ end else if( no_sop & ~no_eop & some_empty) begin
+ rot <= '0;
+ // If SOP, accumulate rotation to push to seg 0
+ end else if( ~no_sop ) begin
+ rot <= rot+next_rot;
+ end
+ end
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// Rotation of segments from fifo output ///////////
+ //////////////////////////////////////////////////////////////////////////////////
+ generate
+ genvar b2,gseg2;
+ begin : rotate_lbus
+ //perform a bitwise rotation.
+ for(b2 = 0; b2 < SEG_SHMEAR_WIDTH; b2=b2+1) begin
+ logic [NUM_SEG-1:0] slice, slice_rotated;
+
+ //copy a horizontal slice across the segments
+ for(gseg2 = 0; gseg2 < NUM_SEG; gseg2=gseg2+1) begin
+ assign slice[gseg2] = lbus_fout[gseg2][b2];
+ end
+
+ // rotate the slice (should make SEG_SHMEAR_WIDTH copies of NUM_SEG to 1 mux)
+ assign slice_rotated = {slice,slice} >> rot; //rotate_right
+
+ // Copy slice back to the struct
+ for(gseg2 = 0; gseg2 < NUM_SEG; gseg2=gseg2+1) begin
+ assign lbus_rot[gseg2][b2] = slice_rotated[gseg2];
+ end
+
+ end
+ end : rotate_lbus
+ endgenerate
+
+ always_comb begin : rotate_data_valid
+ rot_empty = {empty,empty} >> rot; //rotate_right
+ end : rotate_data_valid
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// LBUS out DFF /////////////////////
+ //////////////////////////////////////////////////////////////////////////////////
+ // This pipe stage is mainly to allow suming MTY bits and to add space for
+ // Vivado to try to pipeline the output
+ // post rotation lbus signals
+ lbus_t lbus_out [NUM_SEG-1:0];
+ logic [NUM_SEG-1:0] axi_seg_valid;
+
+ always_ff @(posedge axis.clk)
+ begin
+ if (axis.rst) begin
+ foreach (lbus_out[seg]) begin : segment_loop
+ lbus_out[seg] <= '0;
+ end
+ axi_seg_valid <= '0;
+ end else begin
+ lbus_out <= lbus_rot;
+ if (send_idle)
+ axi_seg_valid <= '0;
+ else if (no_eop)
+ axi_seg_valid <= '1;
+ else
+ axi_seg_valid <= rot_xfer_now;
+ end
+ end
+
+ ////////////////////////////////////////////////////////////////////////////
+ // Generate AXI
+ ////////////////////////////////////////////////////////////////////////////
+ logic [axis.DATA_WIDTH - 1:0] axis_tdata_w;
+ logic [$clog2(axis.DATA_WIDTH/8) - 1:0] axis_tuser_bytes_w;
+ logic [axis.DATA_WIDTH/8 - 1:0] axis_tkeep_w;
+ logic [NUM_SEG-1:0] axis_tlast_w;
+ logic [NUM_SEG-1:0] axis_tvalid_w;
+ logic [NUM_SEG-1:0] axis_tuser_err_w;
+
+ always_comb begin : axis_translate
+ axis_tuser_bytes_w = 'd0; // init to zero before summing
+ foreach (axis_tvalid_w[seg]) begin : segment_loop
+ axis_tvalid_w[seg] = lbus_out[seg].ena & axi_seg_valid[seg];
+ axis_tlast_w[seg] = lbus_out[seg].eop;
+ axis_tuser_err_w[seg] = lbus_out[seg].err;
+
+ // sum all the segment mty vectors
+ if (lbus_out[seg].ena && axi_seg_valid[seg]) begin
+ axis_tuser_bytes_w += SEG_DATA_WIDTH/8 - lbus_out[seg].mty;
+ end
+
+ // 512 bit word = 64 bytes = 4 X 128 bit(16 byte) segments
+ // assign bytes : LbusOrder
+ // S0 : S0B0..S0B15
+ // S1 : S1B0..S1B15
+ // S2 : S2B0..S2B15
+ // S3 : S3B0..S3B15
+ // AXI (swap Endianess on each segment)
+ // AXI = S3B15..S3B0, S2B15..S2B0, S1B15..S1B0, S0B15..S0B0
+ for(int b = 0; b < SEG_BYTES; b=b+1) begin : tdata_loop
+ // ( 1 * 128 )-8- 0*8) 120+:8 = S0B0
+ // ( 1 * 128 )-8- 1*8) 112+:8 = S0B1
+ // ...
+ // ( 1 * 128 )-8-14*8) 8+:8 = S0B14
+ // ( 1 * 128 )-8-15*8) 0+:8 = S0B15
+ ////////////////////////////////////
+ // ( 2 * 128 )-8- 0*8) 248+:8 = S1B0
+ // ( 2 * 128 )-8- 1*8) 240+:8 = S1B1
+ // ...
+ // ( 2 * 128 )-8-14*8) 136+:8 = S1B14
+ // ( 2 * 128 )-8-15*8) 128+:8 = S1B15
+ ////////////////////////////////////
+ // ...
+ ////////////////////////////////////
+ // ( 4 * 128 )-8- 0*8) 504+:8 = S3B0
+ // ( 4 * 128 )-8- 1*8) 496+:8 = S3B1
+ // ...
+ // ( 4 * 128 )-8-14*8) 136+:8 = S3B14
+ // ( 4 * 128 )-8-15*8) 384+:8 = S3B15
+ axis_tdata_w[((seg+1)*axis.DATA_WIDTH/NUM_SEG-8-b*8) +: 8] = lbus_out[seg].data[b*8 +: 8];
+ end : tdata_loop
+ end : segment_loop
+ end : axis_translate
+
+ // convert bytes to keep
+ always_comb begin
+ axis_tkeep_w = '1;
+ if (axis_tlast_w != 0 && axis_tuser_bytes_w != 0) begin
+ foreach(axis_tkeep_w[b]) begin
+ axis_tkeep_w[b] = axis_tuser_bytes_w > b;
+ end
+ end
+ end
+
+ //////////////////////////////////////////////////////////////////////////////////
+ ////////////////// AXIS output flop /////////////////////
+ //////////////////////////////////////////////////////////////////////////////////
+
+ localparam AXIS_MTY_WIDTH = $clog2(axis.BYTES_PER_WORD);
+
+ always_ff @(posedge axis.clk)
+ begin
+ if (axis.rst) begin
+ axis.tdata <= '0;
+ axis.tvalid <= 1'b0;
+ axis.tlast <= 1'b0;
+ axis.tuser <= '0;
+ axis.tkeep <= '0;
+ end else begin
+ axis.tdata <= axis_tdata_w;
+ axis.tvalid <= |axis_tvalid_w;
+ axis.tlast <= |axis_tlast_w;
+
+ if (axis.TKEEP == 1) begin
+ axis.tkeep <= axis_tkeep_w;
+ end else begin
+ axis.tkeep <= 'X;
+ end
+
+ // trailing bytes in last word
+ axis.tuser[AXIS_MTY_WIDTH-1:0] <= axis_tuser_bytes_w;
+ // MSB is error
+ axis.tuser[AXIS_MTY_WIDTH] <= |axis_tuser_err_w;
+ end
+ end
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/Makefile b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/Makefile
new file mode 100644
index 000000000..5ec8b6868
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/Makefile
@@ -0,0 +1,62 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+#-------------------------------------------------
+# Top-of-Makefile
+#-------------------------------------------------
+# Define BASE_DIR to point to the "top" dir
+BASE_DIR = $(abspath ../../../../../top)
+# Include viv_sim_preamble after defining BASE_DIR
+include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak
+
+#-------------------------------------------------
+# Design Specific
+#-------------------------------------------------
+# Include makefiles and sources for the DUT and its dependencies
+include $(BASE_DIR)/../lib/axi4s_sv/Makefile.srcs
+include $(BASE_DIR)/../lib/fifo/Makefile.srcs
+
+
+# If you generate the Xilinx CORE with an AXI interface you can find Xilinx's LBUS translators here
+#$(abspath ../../../build-ip/xczu28drffvg1517-1e/eth_100g_bd/eth_100g_bd/ip/eth_100g_bd_cmac_usplus_0_0/eth_100g_bd_cmac_usplus_0_0/example_design/eth_100g_bd_cmac_usplus_0_0_lbus2axis_segmented_top.v) \
+#$(abspath ../../../build-ip/xczu28drffvg1517-1e/eth_100g_bd/eth_100g_bd/ip/eth_100g_bd_cmac_usplus_0_0/eth_100g_bd_cmac_usplus_0_0/example_design/eth_100g_bd_cmac_usplus_0_0_axis2lbus_segmented_top.v) \
+
+DESIGN_SRCS = $(abspath \
+$(abspath ../eth_100g_axis2lbus.sv) \
+$(abspath ../eth_100g_lbus2axis.sv) \
+$(FIFO_SRCS) \
+$(AXI4S_SV_SRCS) \
+)
+
+#-------------------------------------------------
+# Testbench Specific
+#-------------------------------------------------
+MODELSIM_LIBS += secureip unimacro_ver unisims_ver xilinx_vip xpm fifo_generator_v13_2_4
+MODELSIM_ARGS += glbl -t 1fs
+# Define toplevel module
+TB_TOP_MODULE ?= lbus_all_tb
+SIM_TOP = $(TB_TOP_MODULE)
+
+SIM_SRCS = \
+$(abspath ../PkgEth100gLbus.sv) \
+$(abspath axi_lbus_tb.sv) \
+$(abspath lbus_axi_tb.sv) \
+$(abspath $(TB_TOP_MODULE).sv) \
+$(VIVADO_PATH)/data/verilog/src/glbl.v \
+
+# Suppressing the following worthless reminder.
+#* Warning: M:/usrp4-hw/oss-repo/fpga/usrp3/lib/axi4s_sv/axi4s_remove_bytes.sv(228): (vlog-2583) [SVCHK] -
+# Extra checking for conflicts with always_comb and always_latch variables is done at vopt time
+SVLOG_ARGS = -suppress 2583 -keep_delta
+VLOG_ARGS = -keep_delta
+
+#-------------------------------------------------
+# Bottom-of-Makefile
+#-------------------------------------------------
+# Include all simulator specific makefiles here
+# Each should define a unique target to simulate
+# e.g. xsim, vsim, etc and a common "clean" target
+include $(BASE_DIR)/../tools/make/viv_simulator.mak
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/axi_lbus_tb.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/axi_lbus_tb.sv
new file mode 100644
index 000000000..cfde2d5aa
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/axi_lbus_tb.sv
@@ -0,0 +1,285 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: axi_lbus_tb
+//
+// Description:
+//
+// Testbench for eth_interface
+//
+
+module axi_lbus_tb #(
+ parameter TEST_NAME = ""
+)(
+ /* no IO */
+);
+ // Include macros and time declarations for use with PkgTestExec
+ `define TEST_EXEC_OBJ test
+ `include "test_exec.svh"
+ import PkgAxiStreamBfm::*;
+ import PkgEthernet::*;
+ import PkgTestExec::*;
+ import PkgEth100gLbus::*;
+
+ localparam DATA_WIDTH = 512;
+ localparam USER_WIDTH = $clog2(DATA_WIDTH/8)+1;
+ localparam NUM_SEG = 4;
+ localparam SEG_DATA_WIDTH = DATA_WIDTH/NUM_SEG;
+ localparam SEG_BYTES = SEG_DATA_WIDTH/8;
+
+ //----------------------------------------------------
+ // clocks
+ //----------------------------------------------------
+ logic clk;
+ logic rst;
+
+ sim_clock_gen #(.PERIOD(5), .AUTOSTART(1))
+ clk_gen (.clk(clk), .rst(rst));
+
+ //----------------------------------------------------
+ //interfaces
+ //----------------------------------------------------
+ AxiStreamIf #(.DATA_WIDTH(DATA_WIDTH),.USER_WIDTH(USER_WIDTH))
+ axis (clk, rst);
+
+ //----------------------------------------------------
+ // DUT
+ //----------------------------------------------------
+ lbus_t lbus_out [NUM_SEG-1:0];
+ lbus_t lbus_g [NUM_SEG-1:0];
+ logic lbus_rdy = 1;
+
+ eth_100g_axi2lbus #(.FIFO_DEPTH(5),.NUM_SEG(NUM_SEG)) DUT (
+ .axis(axis),
+ .lbus_rdy(lbus_rdy),
+ .lbus_out(lbus_out)
+ );
+
+ //----------------------------------------------------
+ // Xilinx golden model
+ // When Xilinx is generated with an AXI interface xilinx prints
+ // an axi2lbus converter that has trouble meeting timing
+ // this is preserved to allow comparison to that
+ // TODO: remove when timing is passing and refactor is done
+ //----------------------------------------------------
+/*
+ eth_100g_bd_cmac_usplus_0_0_axis2lbus_segmented_top GOLD (
+ .core_clk(clk),
+ .core_rst(rst),
+
+ // AXIS IF
+ .axis_tvalid(axis.tvalid),
+ .axis_tready(),
+ .axis_tdata(axis.tdata),
+ .axis_tlast(axis.tlast),
+ .axis_tkeep(axis.tkeep),
+ .axis_tuser(1'b0),
+ // LBUS IF
+ .lbus_rdyout(lbus_rdy),
+ .lbus_ovfout(1'b0),
+ .lbus_unfout(1'b0),
+ // Segment 0
+ .lbus_ena0(lbus_g[0].ena),
+ .lbus_data0(lbus_g[0].data),
+ .lbus_sop0(lbus_g[0].sop),
+ .lbus_eop0(lbus_g[0].eop),
+ .lbus_mty0(lbus_g[0].mty),
+ .lbus_err0(lbus_g[0].err),
+ // Segment 1
+ .lbus_ena1(lbus_g[1].ena),
+ .lbus_data1(lbus_g[1].data),
+ .lbus_sop1(lbus_g[1].sop),
+ .lbus_eop1(lbus_g[1].eop),
+ .lbus_mty1(lbus_g[1].mty),
+ .lbus_err1(lbus_g[1].err),
+ // Segment 2
+ .lbus_ena2(lbus_g[2].ena),
+ .lbus_data2(lbus_g[2].data),
+ .lbus_sop2(lbus_g[2].sop),
+ .lbus_eop2(lbus_g[2].eop),
+ .lbus_mty2(lbus_g[2].mty),
+ .lbus_err2(lbus_g[2].err),
+ // Segment 3
+ .lbus_ena3(lbus_g[3].ena),
+ .lbus_data3(lbus_g[3].data),
+ .lbus_sop3(lbus_g[3].sop),
+ .lbus_eop3(lbus_g[3].eop),
+ .lbus_mty3(lbus_g[3].mty),
+ .lbus_err3(lbus_g[3].err)
+ );
+*/
+ //----------------------------------------------------
+ //BFMS
+ //----------------------------------------------------
+
+ TestExec test = new();
+ AxiStreamBfm #(.DATA_WIDTH(DATA_WIDTH),.USER_WIDTH(USER_WIDTH)) axi =
+ new(.slave(null),.master(axis));
+
+ //---------------------------------------------------------------------------
+ // Tests
+ //---------------------------------------------------------------------------
+
+ // use Test timeout to check reset goes away
+ task test_reset();
+ test.start_test({TEST_NAME,"Wait for Reset"}, 10us);
+ wait(!rst);
+ repeat (10) @(posedge clk);
+ test.end_test();
+ endtask : test_reset
+
+ typedef AxiStreamPacket #(DATA_WIDTH,USER_WIDTH) AxisPacket_t;
+ typedef XportStreamPacket #(DATA_WIDTH) XportPacket_t;
+
+
+ task automatic check_lbus(AxisPacket_t packets[$]);
+
+ int idle_insert = 0;
+ int byte_count = 0;
+ int first_clk = 1;
+
+ // loop over packets
+ foreach(packets[i]) begin
+ automatic raw_pkt_t pay;
+ pay = packets[i].dump_bytes;
+
+ first_clk=1;
+ while (pay.size() > 0) begin
+ @(posedge clk);
+ if (lbus_rdy) begin
+ if (lbus_out[0].ena) begin
+ for (int s=0; s < NUM_SEG; s++) begin
+ byte_count = 0;
+ for (int b = SEG_DATA_WIDTH/8-1; b >= 0; b--) begin
+ if (pay.size() > 0) begin
+ assert (lbus_out[s].data[b*8 +: 8] == pay.pop_front()) else $error("Data Mismatch");
+ byte_count++;
+ end
+ end
+ assert (lbus_out[s].ena == (byte_count > 0) ) else $error("Ena Mismatch");
+ if (lbus_out[s].ena) begin
+ if (first_clk && s==0) begin
+ assert (lbus_out[s].sop == 1) else $error("Sop not set");
+ end else begin
+ assert (lbus_out[s].sop == 0) else $error("Sop Set");
+ end
+ assert (lbus_out[s].mty == (SEG_BYTES-byte_count) % SEG_BYTES) else $error("Mty Mismatch");
+ assert (lbus_out[s].eop != (pay.size() > 0) ) else $error("Eop Mismatch");
+ assert (lbus_out[s].err == 0) else $error("Err Mismatch"); // not checking error yet
+ end else begin
+ assert (lbus_out[s].sop == 0) else $error("Sop Set while disabled");
+ assert (lbus_out[s].mty == 0) else $error("Mty set while disabled");
+ assert (lbus_out[s].eop == 0) else $error("Eop set while disabled");
+ assert (lbus_out[s].err == 0) else $error("Err set while disabled");
+ end
+ end // segment loop
+ first_clk=0;
+ end // first segment is enabled
+
+ end else begin //not lbus_rdy
+ for (int s=0; s < NUM_SEG; s++) begin
+ assert (lbus_out[s].ena == 0) else $error("Idle Ena set");
+ assert (lbus_out[s].err == 0) else $error("Idle Err set");
+ assert (lbus_out[s].sop == 0) else $error("Idle Sop set");
+ assert (lbus_out[s].eop == 0) else $error("Idle Eop set");
+ assert (lbus_out[s].mty == 0) else $error("Idle Mty non zero");
+ end
+ end
+ end // while pay.size > 0
+ end // foreach packet
+
+ endtask : check_lbus;
+
+ task automatic test_transfers(int num_samples[$]);
+ automatic AxisPacket_t send[$];
+ automatic AxisPacket_t expected[$];
+ automatic int sample_sum = 0;
+
+ test.start_test({TEST_NAME,"Test Transfer"}, 200us);
+
+ foreach (num_samples[i]) begin
+ automatic raw_pkt_t pay;
+
+ expected[i] = new;
+ send[i] = new;
+
+ get_ramp_raw_pkt(.num_samps(num_samples[i]),.ramp_start((sample_sum)%256),
+ .ramp_inc(1),.pkt(pay),.SWIDTH(8));
+ sample_sum += num_samples[i];
+ send[i].push_bytes(pay);
+
+ // rebuild the expected packet for comparison without the preamble
+ expected[i].push_bytes(pay);
+ end
+
+ fork
+ begin // tx_thread
+ foreach (send[i]) begin
+ axi.put(send[i]);
+ end
+ end
+ begin //rx_thread
+ check_lbus(expected);
+ end
+ join
+
+ test.end_test();
+ endtask : test_transfers
+
+ //----------------------------------------------------
+ // Main test loop
+ //----------------------------------------------------
+ initial begin : tb_main
+ automatic int num_samples[$];
+ automatic int random_value;
+ clk_gen.reset();
+
+ // stalling is not allowed by whoever is reading the MAC
+ // i.e. ready is ignored
+ axi.set_master_stall_prob(0);
+ axi.run();
+ test_reset();
+
+ $display("Fixed Sequences");
+ num_samples = {64,65,66,67,68,69,70,71};
+ test_transfers(num_samples);
+ num_samples = {64,128,256,512,256,64,64,64};
+ test_transfers(num_samples);
+ num_samples = {65,64,64,64,64,64,64,64};
+ test_transfers(num_samples);
+ num_samples = {65,64,80,80,80,80,80,80};
+ test_transfers(num_samples);
+ num_samples = {64,64,96,80,96,80,96,80};
+ test_transfers(num_samples);
+ $display("Tons of 64");
+ num_samples.delete();
+ repeat(1000) begin
+ num_samples.push_back(64);
+ end
+ test_transfers(num_samples);
+ $display("Tons of 65");
+ num_samples.delete();
+ repeat(1000) begin
+ num_samples.push_back(65);
+ end
+ test_transfers(num_samples);
+ $display("Tons of Random");
+ num_samples.delete();
+ repeat(1000) begin
+ random_value = $urandom_range(64,512);
+ num_samples.push_back(random_value);
+ end
+ test_transfers(num_samples);
+
+
+ // End the TB, but don't $finish, since we don't want to kill other
+ // instances of this testbench that may be running.
+ test.end_tb(0);
+
+ // Kill the clocks to end this instance of the testbench
+ clk_gen.kill();
+ end // initial begin
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_all_tb.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_all_tb.sv
new file mode 100644
index 000000000..98caa0a86
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_all_tb.sv
@@ -0,0 +1,22 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: lbus_all_tb
+//
+// Description:
+//
+// Testbench for LBU<->AXI
+//
+
+module lbus_all_tb #(
+ /* no PARAM */
+)(
+ /* no IO */
+);
+
+ lbus_axi_tb #(.TEST_NAME("L2A")) L2A ();
+ axi_lbus_tb #(.TEST_NAME("A2L")) A2L ();
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_axi_tb.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_axi_tb.sv
new file mode 100644
index 000000000..fa5812abe
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/lbus_tb/lbus_axi_tb.sv
@@ -0,0 +1,343 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: lbus_axi_tb
+//
+// Description:
+//
+// Testbench for eth_interface
+//
+
+module lbus_axi_tb #(
+ parameter TEST_NAME = ""
+)(
+ /* no IO */
+);
+ // Include macros and time declarations for use with PkgTestExec
+ `define TEST_EXEC_OBJ test
+ `include "test_exec.svh"
+ import PkgAxiStreamBfm::*;
+ import PkgEthernet::*;
+ import PkgTestExec::*;
+ import PkgEth100gLbus::*;
+
+ localparam DATA_WIDTH = 512;
+ localparam USER_WIDTH = $clog2(DATA_WIDTH/8)+1;
+ localparam NUM_SEG = 4;
+ localparam SEG_DATA_WIDTH = DATA_WIDTH/NUM_SEG;
+ localparam SEG_BYTES = SEG_DATA_WIDTH/8;
+
+ //----------------------------------------------------
+ // clocks
+ //----------------------------------------------------
+ logic clk;
+ logic rst;
+
+ sim_clock_gen #(.PERIOD(5), .AUTOSTART(1))
+ clk_gen (.clk(clk), .rst(rst));
+
+ //----------------------------------------------------
+ //interfaces
+ //----------------------------------------------------
+ AxiStreamIf #(.DATA_WIDTH(DATA_WIDTH),.USER_WIDTH(USER_WIDTH))
+ axis (clk, rst);
+
+ AxiStreamIf #(.DATA_WIDTH(DATA_WIDTH),.USER_WIDTH(USER_WIDTH))
+ axig (clk, rst);
+
+ //----------------------------------------------------
+ // DUT
+ //----------------------------------------------------
+ lbus_t lbus_in [NUM_SEG-1:0];
+
+ eth_100g_lbus2axi #(.FIFO_DEPTH(5),.NUM_SEG(NUM_SEG)) DUT (
+ .axis(axis),
+ .lbus_in(lbus_in)
+ );
+
+ //----------------------------------------------------
+ // Xilinx golden model
+ // When Xilinx is generated with an AXI interface xilinx prints
+ // a lbus2axis converter that has trouble meeting timing
+ // this is preserved to allow comparison to that
+ // TODO: remove when timing is passing and refactor is done
+ //----------------------------------------------------
+/*
+ eth_100g_bd_cmac_usplus_0_0_lbus2axis_segmented_top GOLD (
+ .core_clk(clk),
+ .core_rst(rst),
+
+ // AXIS IF
+ .axis_tvalid(axig.tvalid),
+ .axis_tdata(axig.tdata),
+ .axis_tlast(axig.tlast),
+ .axis_tkeep(axig.tkeep),
+ .axis_tuser(),
+ // Segment 0
+ .lbus_ena0(lbus_in[0].ena),
+ .lbus_data0(lbus_in[0].data),
+ .lbus_sop0(lbus_in[0].sop),
+ .lbus_eop0(lbus_in[0].eop),
+ .lbus_mty0(lbus_in[0].mty),
+ .lbus_err0(lbus_in[0].err),
+ // Segment 1
+ .lbus_ena1(lbus_in[1].ena),
+ .lbus_data1(lbus_in[1].data),
+ .lbus_sop1(lbus_in[1].sop),
+ .lbus_eop1(lbus_in[1].eop),
+ .lbus_mty1(lbus_in[1].mty),
+ .lbus_err1(lbus_in[1].err),
+ // Segment 2
+ .lbus_ena2(lbus_in[2].ena),
+ .lbus_data2(lbus_in[2].data),
+ .lbus_sop2(lbus_in[2].sop),
+ .lbus_eop2(lbus_in[2].eop),
+ .lbus_mty2(lbus_in[2].mty),
+ .lbus_err2(lbus_in[2].err),
+ // Segment 3
+ .lbus_ena3(lbus_in[3].ena),
+ .lbus_data3(lbus_in[3].data),
+ .lbus_sop3(lbus_in[3].sop),
+ .lbus_eop3(lbus_in[3].eop),
+ .lbus_mty3(lbus_in[3].mty),
+ .lbus_err3(lbus_in[3].err)
+ );
+*/
+ //----------------------------------------------------
+ //BFMS
+ //----------------------------------------------------
+
+ TestExec test = new();
+ AxiStreamBfm #(.DATA_WIDTH(DATA_WIDTH),.USER_WIDTH(USER_WIDTH)) axi =
+ new(.slave(axis),.master(null));
+
+ //---------------------------------------------------------------------------
+ // Tests
+ //---------------------------------------------------------------------------
+
+ // use Test timeout to check reset goes away
+ task test_reset();
+ test.start_test({TEST_NAME,"Wait for Reset"}, 10us);
+ wait(!rst);
+ repeat (10) @(posedge clk);
+ test.end_test();
+ endtask : test_reset
+
+ typedef AxiStreamPacket #(DATA_WIDTH,USER_WIDTH) AxisPacket_t;
+ typedef XportStreamPacket #(DATA_WIDTH) XportPacket_t;
+
+ task automatic clear_lbus_in();
+ for (int i=0 ; i < NUM_SEG ; ++i) begin
+ lbus_in[i].data = 'b0;
+ lbus_in[i].mty = 'b0;
+ lbus_in[i].sop = 1'b0;
+ lbus_in[i].eop = 1'b0;
+ lbus_in[i].err = 1'b0;
+ lbus_in[i].ena = 1'b0;
+ end
+ endtask : clear_lbus_in;
+
+ task automatic send_lbus(AxisPacket_t packets[$]);
+
+ int seg = 0;
+ int b = 0;
+ int idle_insert = 0;
+
+ // loop over packets
+ foreach(packets[i]) begin
+ automatic raw_pkt_t pay;
+ pay = packets[i].dump_bytes;
+ // set for the first segment
+ lbus_in[seg].sop = 1;
+ // empty this packets payload
+ while (pay.size() > 0) begin
+ lbus_in[seg].ena = 1;
+ lbus_in[seg].data |= pay.pop_front()<<(SEG_DATA_WIDTH-b*8-8);
+ if (pay.size() == 0) begin
+ lbus_in[seg].eop = 1;
+ lbus_in[seg].mty = SEG_DATA_WIDTH-1-b;
+ end
+ if (seg == NUM_SEG-1 && b == SEG_DATA_WIDTH/8-1) begin
+ @(posedge clk);
+ clear_lbus_in();
+ idle_insert++;
+ // insert period idle period
+ if (idle_insert > 2) begin
+ @(posedge clk);
+ idle_insert =0;
+ end
+ end
+ b = (b+1) % (SEG_DATA_WIDTH/8);
+ if (b==0) begin
+ seg = (seg+1) % NUM_SEG;
+ end
+ end // pay.size > 0
+ if (b != 0) begin
+ seg = (seg+1) % NUM_SEG;
+ if (seg == 0) begin
+ @(posedge clk);
+ clear_lbus_in();
+ idle_insert++;
+ // insert period idle period
+ if (idle_insert > 2) begin
+ @(posedge clk);
+ idle_insert =0;
+ end
+ end
+ b = 0;
+ end
+
+ // 25% of the time we start a new packet
+ if ($urandom_range(99) < 25) begin
+ b = 0;
+ seg = 0;
+ // 25% of the time add an idle cycle
+ if ($urandom_range(99) < 25) begin
+ @(posedge clk);
+ clear_lbus_in();
+ idle_insert++;
+ // insert period idle period
+ if (idle_insert > 2) begin
+ idle_insert =0;
+ @(posedge clk);
+ end
+ @(posedge clk);
+ end else begin
+ @(posedge clk);
+ clear_lbus_in();
+ idle_insert++;
+ // insert period idle period
+ if (idle_insert > 2) begin
+ idle_insert =0;
+ @(posedge clk);
+ end
+ end
+ end
+
+ end // foreach packet
+
+ @(posedge clk);
+ clear_lbus_in();
+
+ endtask : send_lbus;
+
+ task automatic compare_packet(AxisPacket_t actual, expected);
+
+ automatic XportPacket_t actual_copy = new();
+ automatic XportPacket_t expected_copy = new();
+ actual_copy.import_axis(actual);
+ expected_copy.import_axis(expected);
+
+ expected_copy.tkeep_to_tuser();
+ actual_copy.clear_unused_bytes();
+
+ if (!expected_copy.equal(actual_copy)) begin
+ $display("Expected");
+ expected_copy.print();
+ $display("Actual");
+ actual_copy.print();
+ if (!expected_copy.equal(actual_copy))
+ $error("ERROR :: packet mismatch");
+
+ end
+
+ endtask : compare_packet;
+
+ task automatic test_transfers(int num_samples[$]);
+ automatic AxisPacket_t send[$];
+ automatic AxisPacket_t expected[$];
+ automatic int sample_sum = 0;
+
+ test.start_test({TEST_NAME,"Test Transfer"}, 200us);
+
+ foreach (num_samples[i]) begin
+ automatic raw_pkt_t pay;
+
+ expected[i] = new;
+ send[i] = new;
+
+ get_ramp_raw_pkt(.num_samps(num_samples[i]),.ramp_start((sample_sum)%256),
+ .ramp_inc(1),.pkt(pay),.SWIDTH(8));
+ sample_sum += num_samples[i];
+ send[i].push_bytes(pay);
+
+ // rebuild the expected packet for comparison without the preamble
+ expected[i].push_bytes(pay);
+ end
+
+ fork
+ begin // tx_thread
+ send_lbus(send);
+ end
+ begin //rx_thread
+ foreach(expected[i]) begin
+ automatic AxisPacket_t actual;
+ axi.get(actual);
+ compare_packet(actual,expected[i]);
+ end
+ end
+ join
+
+ test.end_test();
+ endtask : test_transfers
+
+ //----------------------------------------------------
+ // Main test loop
+ //----------------------------------------------------
+ initial begin : tb_main
+ automatic int num_samples[$];
+ automatic int random_value;
+ clk_gen.reset();
+
+ // stalling is not allowed by whoever is reading the MAC
+ // i.e. ready is ignored
+ axi.slave_tready_init = 1'b1;
+ axi.set_master_stall_prob(0);
+ axi.set_slave_stall_prob(0);
+ axi.run();
+ clear_lbus_in();
+ test_reset();
+
+ $display("Fixed Sequences");
+ num_samples = {64,65,66,67,68,69,70,71};
+ test_transfers(num_samples);
+ num_samples = {64,128,256,512,256,64,64,64};
+ test_transfers(num_samples);
+ num_samples = {65,64,64,64,64,64,64,64};
+ test_transfers(num_samples);
+ num_samples = {65,64,80,80,80,80,80,80};
+ test_transfers(num_samples);
+ num_samples = {64,64,96,80,96,80,96,80};
+ test_transfers(num_samples);
+ $display("Tons of 64");
+ num_samples.delete();
+ repeat(1000) begin
+ num_samples.push_back(64);
+ end
+ test_transfers(num_samples);
+ $display("Tons of 65");
+ num_samples.delete();
+ repeat(1000) begin
+ num_samples.push_back(65);
+ end
+ test_transfers(num_samples);
+ $display("Tons of Random");
+ num_samples.delete();
+ repeat(1000) begin
+ random_value = $urandom_range(64,512);
+ num_samples.push_back(random_value);
+ end
+ test_transfers(num_samples);
+
+
+ // End the TB, but don't $finish, since we don't want to kill other
+ // instances of this testbench that may be running.
+ test.end_tb(0);
+
+ // Kill the clocks to end this instance of the testbench
+ clk_gen.kill();
+ end // initial begin
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/eth_100g_bd/model_100gbe.sv b/fpga/usrp3/top/x400/ip/eth_100g_bd/model_100gbe.sv
new file mode 100644
index 000000000..e7995dd44
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/eth_100g_bd/model_100gbe.sv
@@ -0,0 +1,234 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: model_100gbe
+//
+// Description:
+//
+// A wrapper of the 100gbe core to axistream interface. this model can be
+// used drive packets into the X400 translated to serial Ethernet. This is
+// generally pretty slower than just driving things in at the output of the
+// mac.
+//
+
+package Pkg100gbMac;
+ import PkgAxiLite::*;
+ import PkgAxiLiteBfm::*;
+ import PkgTestExec::*;
+
+ localparam DATA_WIDTH =32;
+ localparam ADDR_WIDTH =15;
+
+ typedef AxiLiteBfm #(DATA_WIDTH, ADDR_WIDTH) MacAxiLiteBfm_t;
+
+ // defined in https://www.xilinx.com/support/documentation/ip_documentation/cmac_usplus/v2_4/pg203-cmac-usplus.pdf
+ // pg 187
+ localparam CONFIGURATION_TX_REG1 = 32'h000C;
+ localparam ctl_tx_ctl_enable = 0;
+ localparam ctl_tx_ctl_tx_send_lfi = 3;
+ localparam ctl_tx_ctl_tx_send_rfi = 4;
+ localparam ctl_tx_ctl_tx_send_idle = 5;
+ localparam ctl_tx_ctl_test_pattern = 16;
+
+ localparam CONFIGURATION_RX_REG1 = 32'h0014;
+ localparam ctl_rx_ctl_enable = 0;
+ localparam ctl_rx_ctl_rx_force_resync = 7;
+ localparam ctl_rx_ctl_test_pattern = 8;
+
+ localparam RSFEC_CONFIG_INDICATION_CORRECTION = 32'h1000;
+ localparam rs_fec_in_ctl_rx_rsfec_enable_correction = 0;
+ localparam rs_fec_in_ctl_rx_rsfec_enable_indication = 1;
+ localparam rs_fec_in_ctl_rsfec_ieee_error_indication_mode = 2;
+
+ localparam RSFEC_CONFIG_ENABLE = 32'h107C;
+ localparam rs_fec_in_ctl_rx_rsfec_enable = 0;
+ localparam rs_fec_in_ctl_tx_rsfec_enable = 1;
+
+ localparam STAT_RX_STATUS_REG = 32'h0204;
+ localparam stat_rx_status = 0;
+ localparam stat_rx_aligned = 1;
+ localparam stat_rx_misaligned = 2;
+ localparam stat_rx_aligned_err = 3;
+
+ task automatic init_mac (int offset, MacAxiLiteBfm_t axi);
+ automatic logic [31:0] data;
+ automatic resp_t resp;
+
+ // start transmitting alignment pattern
+ data = 0;
+ data[ctl_tx_ctl_enable] = 0;
+ data[ctl_tx_ctl_tx_send_idle] = 0;
+ data[ctl_tx_ctl_tx_send_lfi] = 0;
+ data[ctl_tx_ctl_tx_send_rfi] = 1;
+ data[ctl_tx_ctl_test_pattern] = 0;
+ axi.wr(CONFIGURATION_TX_REG1+offset,data);
+
+ // configure fec
+ data = 0;
+ data[rs_fec_in_ctl_rx_rsfec_enable_correction] = 1;
+ data[rs_fec_in_ctl_rx_rsfec_enable_indication] = 1;
+ data[rs_fec_in_ctl_rsfec_ieee_error_indication_mode] = 1;
+ axi.wr(RSFEC_CONFIG_INDICATION_CORRECTION+offset,data);
+
+ data = 0;
+ data[rs_fec_in_ctl_rx_rsfec_enable] = 1;
+ data[rs_fec_in_ctl_tx_rsfec_enable] = 1;
+ axi.wr(RSFEC_CONFIG_ENABLE+offset,data);
+
+ // turn on RX interface
+ data = 0;
+ data[ctl_rx_ctl_enable] = 1;
+ data[ctl_rx_ctl_rx_force_resync] = 0;
+ data[ctl_rx_ctl_test_pattern] = 0;
+ axi.wr(CONFIGURATION_RX_REG1+offset,data);
+
+ do begin
+ axi.rd_block(STAT_RX_STATUS_REG+offset,data,resp);
+ assert (resp==OKAY);
+ end while (data[stat_rx_aligned] !== 1);
+
+ // stop transmitting alignment pattern
+ // and start transmitting data
+ data = 0;
+ data[ctl_tx_ctl_enable] = 1;
+ data[ctl_tx_ctl_tx_send_idle] = 0;
+ data[ctl_tx_ctl_tx_send_lfi] = 0;
+ data[ctl_tx_ctl_tx_send_rfi] = 0;
+ data[ctl_tx_ctl_test_pattern] = 0;
+ axi.wr(CONFIGURATION_TX_REG1+offset,data);
+
+ endtask : init_mac
+
+endpackage : Pkg100gbMac
+
+module model_100gbe (
+ input logic areset,
+ // 156.25 Mhz refclk
+ input logic ref_clk,
+
+ // QSFP high-speed IO
+ output logic [3:0] tx_p,
+ output logic [3:0] tx_n,
+ input logic [3:0] rx_p,
+ input logic [3:0] rx_n,
+
+ // CLK and RESET out
+ output logic mgt_clk,
+ output logic mgt_rst,
+ output logic link_up,
+
+ // Data port
+ AxiStreamIf.slave mgt_tx,
+ AxiStreamIf.master mgt_rx
+
+);
+
+ // Include macros and time declarations for use with PkgTestExec
+ `define TEST_EXEC_OBJ test
+ `include "test_exec.svh"
+ import PkgAxiLiteBfm::*;
+ import PkgTestExec::*;
+
+ logic refclk_p;
+ logic refclk_n;
+
+ logic clk40,clk40_rst;
+ logic clk100,clk100_rst;
+ logic phy_reset;
+
+ assign refclk_p = ref_clk;
+ assign refclk_n = ~ref_clk;
+
+ //interface
+ AxiLiteIf #(Pkg100gbMac::DATA_WIDTH,Pkg100gbMac::ADDR_WIDTH)
+ mgt_axil (clk40, clk40_rst);
+ //bfm
+ Pkg100gbMac::MacAxiLiteBfm_t axi = new(.master(mgt_axil));
+ TestExec mac_test = new();
+
+ sim_clock_gen #(.PERIOD(25.0), .AUTOSTART(1))
+ clk40_gen (.clk(clk40), .rst(clk40_rst));
+ sim_clock_gen #(.PERIOD(100.0), .AUTOSTART(1))
+ clk100_gen (.clk(clk100), .rst(clk100_rst));
+
+ initial begin : init_model
+ clk40_gen.reset();
+ axi.run();
+ wait(!clk40_rst);
+ repeat (10) @(posedge clk40);
+
+ wait(!phy_reset); // both usr_clk's are ok
+
+ mac_test.start_test("model_100gbe::Wait for MAC link_up", 150us);
+ //Added autoconnect - uncomment to test connecting over AXI
+ //Pkg100gbMac::init_mac(0,axi);
+ mac_test.end_test();
+ end
+
+
+ AxiStreamIf #(.DATA_WIDTH(512),.USER_WIDTH(7),.TKEEP(0))
+ eth100g_rx(mgt_clk,mgt_rst);
+
+ always_comb begin
+ mgt_rx.tdata = eth100g_rx.tdata;
+ mgt_rx.tuser = eth100g_rx.tuser;
+ mgt_rx.tkeep = eth100g_rx.trailing2keep(eth100g_rx.tuser);
+ mgt_rx.tvalid = eth100g_rx.tvalid;
+ mgt_rx.tlast = eth100g_rx.tlast;
+ eth100g_rx.tready = mgt_rx.tready;
+ // The MAC ignores hold off. Data must be consumed every clock it is valid.
+ if (!mgt_rst) begin
+ if (!mgt_rx.tready && mgt_rx.tvalid) begin
+ $error("Model 100Gbe : can't hold off the MAC");
+ end
+ end
+ end
+
+ // model does not pause. Users could access this heirarchically to test it
+ logic mgt_pause_req;
+ assign mgt_pause_req = 1'b0;
+
+ // hold off link up untill the stat_auto_config writes are complete
+ logic link_up_model;
+ logic [31:0] mac_status;
+ always_comb begin
+ link_up = link_up_model && mac_status[4];
+ end
+
+ eth_100g #(.PAUSE_QUANTA(10),.PAUSE_REFRESH(100)) eth_100gx (
+ .areset(areset),
+ //-- Free running 100 MHz clock used for InitClk and AxiLite to mac
+ //-- 3.125 - 161.132812 MHz.
+ .clk100(clk100),
+ // MGT Reference Clock 100/125/156.25/161.1328125 MHz
+ .refclk_p(refclk_p),
+ .refclk_n(refclk_n),
+ // MGT TX/RX differential signals
+ .tx_p(tx_p),
+ .tx_n(tx_n),
+ .rx_p(rx_p),
+ .rx_n(rx_n),
+ // 322.26666 Mhz clock generated by 100G Phy from RefClock
+ .mgt_clk(mgt_clk),
+ .mgt_rst(mgt_rst),
+ // pause
+ .mgt_pause_req(mgt_pause_req),
+ //------------------------ AXI Stream TX Interface ------------------------
+ .mgt_tx(mgt_tx),
+ //---------------------- AXI Stream RX Interface ------------------------
+ // There is no RxTReady signal support by the Ethernet100G IP. Received data has to
+ // be read immediately or it is lost.
+ // tUser indicates an error on rcvd packet
+ .mgt_rx(eth100g_rx),
+ .mgt_axil(mgt_axil),
+ // LEDs of QSFP28 port
+ .phy_status(),
+ .mac_ctrl(32'h01000001), // autoconfig / pause mask set to global
+ .mac_status(mac_status),
+ .phy_reset(phy_reset),
+ .link_up(link_up_model)
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/fifo_4k_2clk/Makefile.inc b/fpga/usrp3/top/x400/ip/fifo_4k_2clk/Makefile.inc
new file mode 100644
index 000000000..d1fe561c7
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/fifo_4k_2clk/Makefile.inc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_FIFO_4K_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci
+
+IP_FIFO_4K_2CLK_HDL_SIM_SRCS = $(addprefix $(IP_BUILD_DIR)/fifo_4k_2clk/, \
+fifo_4k_2clk_sim_netlist.v \
+)
+
+IP_FIFO_4K_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_4k_2clk/, \
+fifo_4k_2clk.xci.out \
+synth/fifo_4k_2clk.vhd \
+)
+
+$(IP_FIFO_4K_2CLK_SRCS) $(IP_FIFO_4K_2CLK_OUTS) : $(IP_DIR)/fifo_4k_2clk/fifo_4k_2clk.xci
+ $(call BUILD_VIVADO_IP,fifo_4k_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0)
diff --git a/fpga/usrp3/top/x400/ip/fifo_4k_2clk/fifo_4k_2clk.xci b/fpga/usrp3/top/x400/ip/fifo_4k_2clk/fifo_4k_2clk.xci
new file mode 100644
index 000000000..888840273
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/fifo_4k_2clk/fifo_4k_2clk.xci
@@ -0,0 +1,576 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>fifo_4k_2clk</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="13.2"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ARESETN.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADD_NGC_CONSTRAINT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDEST_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TID_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TKEEP_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TSTRB_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LEN_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LOCK_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_COUNT_WIDTH">9</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_VALUE">BlankString</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_AXIS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RACH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RDCH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WACH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WDCH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WRCH">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_RST_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_WIDTH">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RLOCS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RST_SYNC">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FULL_FLAGS_RST_VAL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_EMPTY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_FULL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDATA">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDEST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TUSER">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ARUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_AWUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_BUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RD_CHANNEL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WR_CHANNEL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BACKUP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INT_CLK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MASTER_CE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEMINIT_FILE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_OVERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_DATA_COUNT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_RST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SLAVE_CE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SRST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_UNDERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_VALID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_ACK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_DATA_COUNT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_RST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_AXIS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RACH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RDCH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WACH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WDCH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WRCH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_WR_PNTR_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEMORY_TYPE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MIF_FILE_NAME">BlankString</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MSGON_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERFLOW_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_SAVING_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_LATENCY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_REGS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE">512x72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_AXIS">1kx18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RACH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RDCH">1kx36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WACH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WDCH">1kx36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WRCH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_NEGATE_VAL">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL">511</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_AXIS">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RACH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RDCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WACH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WDCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WRCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_NEGATE_VAL">510</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RACH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RDCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DEPTH">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_FREQ">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_PNTR_WIDTH">9</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SELECT_XPM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNCHRONIZER_STAGE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_UNDERFLOW_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_OVERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_UNDERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_SETTINGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DOUT_RST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_EMBEDDED_REG">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FIFO16_FLAGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FWFT_DATA_COUNT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PIPELINE_REG">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_VALID_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WACH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WDCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_ACK_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DATA_COUNT_WIDTH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_AXIS">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RACH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RDCH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WACH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WDCH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WRCH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_FREQ">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH">9</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_AXIS">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RACH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RDCH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WACH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WDCH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WRCH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_RESPONSE_LATENCY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDRESS_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_NGC_Constraint_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Empty_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Full_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_SELECT_XPM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Enable_Type">Slave_Interface_Clock_Enable</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Type_AXI">Common_Clock</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">fifo_4k_2clk</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count_Width">9</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Dout_Reset_Value">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_axis">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rach">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rdch">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wach">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wdch">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wrch">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Negate_Value">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Overflow">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Underflow">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_Type">Hard_ECC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Reset_Synchronization">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Safety_Circuit">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TLAST">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TREADY">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_axis">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rach">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rdch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wach">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wdch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wrch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_axis">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rach">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rdch">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wach">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wdch">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wrch">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fifo_Implementation">Independent_Clocks_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Flags_Reset_Value">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value">511</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_axis">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rach">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rdch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wach">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wdch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wrch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value">510</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_ACLKEN">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TKEEP">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TSTRB">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Data_Width">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_axis">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rach">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rdch">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wach">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wdch">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wrch">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Master_interface_Clock_enable_memory_mapped">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Data_Width">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Depth">512</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Register_Type">Embedded_Reg</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense_AXI">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Performance_Options">First_Word_Fall_Through</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_axis">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wrch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_axis">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wrch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Clock_Frequency">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count_Width">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_axis">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rach">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rdch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wach">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wdch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wrch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Pin">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">Asynchronous_Reset</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Slave_interface_Clock_enable_memory_mapped">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TKEEP_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TSTRB_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense_AXI">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Dout_Reset">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Extra_Logic">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Clock_Frequency">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count_Width">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.asymmetric_port_width">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axis_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dynamic_power_saving">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecc_pipeline_reg">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_low_latency">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_read_pointer_increment_by2">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rach_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rdch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages_axi">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_dout_register">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wach_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wdch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wrch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z100</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Count_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Disable_Timing_Violations" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Negate_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Fifo_Implementation" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Flags_Reset_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Input_Data_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Input_Depth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Data_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Depth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Performance_Options" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Data_Count" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Type" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TKEEP_WIDTH" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TSTRB_WIDTH" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Use_Extra_Logic" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Data_Count" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/fifo_short_2clk/Makefile.inc b/fpga/usrp3/top/x400/ip/fifo_short_2clk/Makefile.inc
new file mode 100644
index 000000000..5fd855017
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/fifo_short_2clk/Makefile.inc
@@ -0,0 +1,21 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_FIFO_SHORT_2CLK_SRCS = $(IP_BUILD_DIR)/fifo_short_2clk/fifo_short_2clk.xci
+
+IP_FIFO_SHORT_2CLK_HDL_SIM_SRCS = $(addprefix $(IP_BUILD_DIR)/fifo_short_2clk/, \
+fifo_short_2clk_sim_netlist.v \
+)
+
+IP_FIFO_SHORT_2CLK_OUTS = $(addprefix $(IP_BUILD_DIR)/fifo_short_2clk/, \
+fifo_short_2clk.xci.out \
+synth/fifo_short_2clk.vhd \
+)
+
+$(IP_FIFO_SHORT_2CLK_SRCS) $(IP_FIFO_SHORT_2CLK_OUTS) : $(IP_DIR)/fifo_short_2clk/fifo_short_2clk.xci
+ $(call BUILD_VIVADO_IP,fifo_short_2clk,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0)
diff --git a/fpga/usrp3/top/x400/ip/fifo_short_2clk/fifo_short_2clk.xci b/fpga/usrp3/top/x400/ip/fifo_short_2clk/fifo_short_2clk.xci
new file mode 100644
index 000000000..cc0f896b8
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/fifo_short_2clk/fifo_short_2clk.xci
@@ -0,0 +1,578 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>fifo_short_2clk</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="13.2"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CORE_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MASTER_ACLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.READ_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ACLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SLAVE_ARESETN.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.WRITE_CLK.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADD_NGC_CONSTRAINT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDEST_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TID_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TKEEP_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TSTRB_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LEN_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LOCK_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_COUNT_WIDTH">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_VALUE">BlankString</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_AXIS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RACH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RDCH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WACH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WDCH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WRCH">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_RST_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_WIDTH">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RLOCS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RST_SYNC">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FULL_FLAGS_RST_VAL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_EMPTY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_FULL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDATA">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDEST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TUSER">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ARUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_AWUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_BUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RD_CHANNEL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WR_CHANNEL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BACKUP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INT_CLK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MASTER_CE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEMINIT_FILE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_OVERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_DATA_COUNT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_RST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SLAVE_CE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SRST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_UNDERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_VALID">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_ACK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_DATA_COUNT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_RST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_AXIS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RACH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RDCH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WACH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WDCH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WRCH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_WR_PNTR_VAL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEMORY_TYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MIF_FILE_NAME">BlankString</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MSGON_VAL">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERFLOW_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_SAVING_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_LATENCY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_REGS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE">512x72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_AXIS">1kx18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RACH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RDCH">1kx36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WACH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WDCH">1kx36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WRCH">512x36</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_NEGATE_VAL">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL">31</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_AXIS">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RACH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RDCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WACH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WDCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WRCH">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_NEGATE_VAL">30</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RACH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RDCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DATA_COUNT_WIDTH">6</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DEPTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_FREQ">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_PNTR_WIDTH">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SELECT_XPM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNCHRONIZER_STAGE">3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_UNDERFLOW_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_OVERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_UNDERFLOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_SETTINGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DOUT_RST">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_AXIS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WACH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WDCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WRCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_EMBEDDED_REG">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FIFO16_FLAGS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FWFT_DATA_COUNT">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PIPELINE_REG">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_VALID_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WACH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WDCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRCH_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_ACK_LOW">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DATA_COUNT_WIDTH">6</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_AXIS">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RACH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RDCH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WACH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WDCH">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WRCH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_FREQ">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_AXIS">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RACH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RDCH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WACH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WDCH">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WRCH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_RESPONSE_LATENCY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDRESS_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_NGC_Constraint_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Empty_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Full_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_SELECT_XPM">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Enable_Type">Slave_Interface_Clock_Enable</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Type_AXI">Common_Clock</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">fifo_short_2clk</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count_Width">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Dout_Reset_Value">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_axis">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rach">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rdch">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wach">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wdch">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wrch">1022</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Negate_Value">5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Overflow">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Underflow">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_Type">Hard_ECC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Reset_Synchronization">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Safety_Circuit">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TLAST">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TREADY">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_axis">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rach">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rdch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wach">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wdch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wrch">Data_FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_axis">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rach">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rdch">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wach">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wdch">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wrch">Common_Clock_Block_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fifo_Implementation">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Flags_Reset_Value">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value">31</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_axis">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rach">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rdch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wach">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wdch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wrch">1023</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value">30</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_ACLKEN">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TKEEP">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TSTRB">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wach">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wdch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wrch">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Data_Width">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_axis">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rach">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rdch">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wach">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wdch">1024</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wrch">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Master_interface_Clock_enable_memory_mapped">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Data_Width">72</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Depth">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Register_Type">Embedded_Reg</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense_AXI">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Performance_Options">First_Word_Fall_Through</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_axis">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wrch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_axis">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wrch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Clock_Frequency">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count_Width">6</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_axis">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rach">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rdch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wach">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wdch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wrch">Fully_Registered</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Pin">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">Asynchronous_Reset</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Slave_interface_Clock_enable_memory_mapped">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TKEEP_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TSTRB_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TUSER_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag_AXI">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense_AXI">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Dout_Reset">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers_axis">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Extra_Logic">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_Width">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Flag">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Sense">Active_High</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Clock_Frequency">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count_Width">6</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.asymmetric_port_width">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axis_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dynamic_power_saving">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecc_pipeline_reg">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_low_latency">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_read_pointer_increment_by2">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rach_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rdch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages">3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages_axi">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_dout_register">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wach_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wdch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wrch_type">FIFO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z100</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_BURST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_CACHE" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_LOCK" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_PROT" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_QOS" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_REGION" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXI.PROTOCOL" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_BURST" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_CACHE" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_LOCK" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_PROT" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_QOS" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_REGION" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI.PROTOCOL" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Count_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Empty_Threshold_Negate_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Fifo_Implementation" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Flags_Reset_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Input_Data_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Input_Depth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Data_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Depth" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Performance_Options" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Data_Count" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Data_Count_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Type" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TKEEP_WIDTH" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TSTRB_WIDTH" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Use_Extra_Logic" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Data_Count" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Data_Count_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.synchronization_stages" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/hb47_1to2/Makefile.inc b/fpga/usrp3/top/x400/ip/hb47_1to2/Makefile.inc
new file mode 100644
index 000000000..895f2b50f
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/hb47_1to2/Makefile.inc
@@ -0,0 +1,17 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_HB47_1TO2_SRCS = $(IP_BUILD_DIR)/hb47_1to2/hb47_1to2.xci
+
+IP_HB47_1TO2_OUTS = $(addprefix $(IP_BUILD_DIR)/hb47_1to2/, \
+hb47_1to2.xci.out \
+synth/hb47_1to2.vhd \
+)
+
+$(IP_HB47_1TO2_SRCS) $(IP_HB47_1TO2_OUTS) : $(IP_DIR)/hb47_1to2/hb47_1to2.xci
+ $(call BUILD_VIVADO_IP,hb47_1to2,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0)
diff --git a/fpga/usrp3/top/x400/ip/hb47_1to2/hb47_1to2.xci b/fpga/usrp3/top/x400/ip/hb47_1to2/hb47_1to2.xci
new file mode 100644
index 000000000..7959aa2fe
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/hb47_1to2/hb47_1to2.xci
@@ -0,0 +1,298 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>hb47_1to2</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fir_compiler" spirit:version="7.2"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLKEN_INTF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ARESETN_INTF.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_CONFIG_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_CONFIG_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_DATA_CHANID_INCORRECT_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_DATA_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_DATA_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_RELOAD_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_RELOAD_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ACCUM_OP_PATH_WIDTHS">35,35,35,35</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ACCUM_PATH_WIDTHS">35,35,35,35</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CHANNEL_PATTERN">fixed</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_FILE">hb47_1to2.mif</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_FILE_LINES">48</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_MEMTYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_MEM_PACKING">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_PATH_SIGN">0,0,0,0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_PATH_SRC">0,0,2,2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_PATH_WIDTHS">18,18,18,18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_RELOAD">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_WIDTH">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COL_CONFIG">24</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COL_MODE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COL_PIPE_LEN">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMPONENT_NAME">hb47_1to2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONFIG_PACKET_SIZE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONFIG_SYNC_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONFIG_TDATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATAPATH_MEMTYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_IP_PATH_WIDTHS">16,16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_MEMTYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_MEM_PACKING">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_PSAMP_SRC">-0;-1;-0;-1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_SIGN">0,0,0,0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_SRC">0,1,0,1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_WIDTHS">16,16,16,16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PX_PATH_WIDTHS">16,16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_WIDTH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DECIM_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">./</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EXT_MULT_CNFG">none</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FILTER_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FILTS_PACKED">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ACLKEN">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ARESETn">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_CONFIG_CHANNEL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INPUT_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERP_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IPBUFF_MEMTYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LATENCY">29</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEM_ARRANGEMENT">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_HAS_TUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_TDATA_WIDTH">96</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_TUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_CHANNELS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_FILTS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_MADDS">24</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_RELOAD_SLOTS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_TAPS">47</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPBUFF_MEMTYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPT_MADDS">none;none</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OP_PATH_PSAMP_SRC">-0;-1;-0;-1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_PATH_WIDTHS">18,18,18,18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_WIDTH">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERSAMPLING_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PX_PATH_SRC">0,1,2,3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RELOAD_TDATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ROUND_MODE">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYMMETRY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_HAS_FIFO">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_HAS_TUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_TDATA_WIDTH">32</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_TUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynquplus</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ZERO_PACKING_FACTOR">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BestPrecision">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Blank_Output">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Channel_Sequence">Basic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Frequency">300.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CoefficientSource">Vector</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CoefficientVector">-62, 0, 194, 0, -440, 0, 855, 0, -1505, 0, 2478, 0, -3900, 0, 5990, 0, -9187, 0, 14632, 0, -26536, 0, 83009, 131071, 83009, 0, -26536, 0, 14632, 0, -9187, 0, 5990, 0, -3900, 0, 2478, 0, -1505, 0, 855, 0, -440, 0, 194, 0, -62</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_File">no_coe_file_loaded</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Fractional_Bits">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Reload">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Sets">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Sign">Signed</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Structure">Inferred</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Width">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ColumnConfig">24</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">hb47_1to2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_Has_TLAST">Not_Required</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_TUSER_Width">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Fractional_Bits">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Sign">Signed</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Width">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Decimation_Rate">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DisplayReloadOrder">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Filter_Architecture">Systolic_Multiply_Accumulate</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Filter_Selection">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Filter_Type">Interpolation</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GUI_Behaviour">Coregen</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Gen_MIF_Files">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Gen_MIF_from_COE">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Gen_MIF_from_Spec">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HardwareOversamplingRate">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Has_ACLKEN">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Has_ARESETn">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inter_Column_Pipe_Length">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Interpolation_Rate">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M_DATA_Has_TREADY">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M_DATA_Has_TUSER">Not_Required</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Multi_Column_Support">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Num_Reload_Slots">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Number_Channels">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Number_Paths">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Optimization_Goal">Area</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Optimization_List">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Optimization_Selection">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Rounding_Mode">Convergent_Rounding_to_Even</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Width">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Passband_Max">0.5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Passband_Min">0.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Pattern_List">P4-0,P4-1,P4-2,P4-3,P4-4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Preference_For_Other_Storage">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Quantization">Integer_Coefficients</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RateSpecification">Output_Sample_Period</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Rate_Change_Type">Integer</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reload_File">no_coe_file_loaded</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Data_Vector">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_CONFIG_Method">Single</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_CONFIG_Sync_Mode">On_Vector</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_DATA_Has_FIFO">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_DATA_Has_TUSER">Not_Required</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SamplePeriod">0.5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sample_Frequency">0.001</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Select_Pattern">All</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Stopband_Max">1.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Stopband_Min">0.5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Zero_Pack_Factor">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynquplusRFSOC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xczu28dr</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffvg1517</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1.1_AR73068</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TUSER_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Clock_Frequency" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CoefficientVector" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Fractional_Bits" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Sets" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Sign" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Structure" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ColumnConfig" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Decimation_Rate" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Filter_Architecture" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Filter_Type" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Has_ARESETn" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Interpolation_Rate" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M_DATA_Has_TREADY" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Number_Channels" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Number_Paths" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Rounding_Mode" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Quantization" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RateSpecification" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Data_Vector" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S_DATA_Has_FIFO" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SamplePeriod" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Sample_Frequency" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Zero_Pack_Factor" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/hb47_2to1/Makefile.inc b/fpga/usrp3/top/x400/ip/hb47_2to1/Makefile.inc
new file mode 100644
index 000000000..e4a865941
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/hb47_2to1/Makefile.inc
@@ -0,0 +1,17 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_HB47_2TO1_SRCS = $(IP_BUILD_DIR)/hb47_2to1/hb47_2to1.xci
+
+IP_HB47_2TO1_OUTS = $(addprefix $(IP_BUILD_DIR)/hb47_2to1/, \
+hb47_2to1.xci.out \
+synth/hb47_2to1.vhd \
+)
+
+$(IP_HB47_2TO1_SRCS) $(IP_HB47_2TO1_OUTS) : $(IP_DIR)/hb47_2to1/hb47_2to1.xci
+ $(call BUILD_VIVADO_IP,hb47_2to1,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0)
diff --git a/fpga/usrp3/top/x400/ip/hb47_2to1/hb47_2to1.xci b/fpga/usrp3/top/x400/ip/hb47_2to1/hb47_2to1.xci
new file mode 100644
index 000000000..e9af18853
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/hb47_2to1/hb47_2to1.xci
@@ -0,0 +1,313 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>hb47_2to1</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fir_compiler" spirit:version="7.2"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLKEN_INTF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ARESETN_INTF.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_CONFIG_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_CONFIG_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_DATA_CHANID_INCORRECT_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_DATA_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_DATA_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_RELOAD_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_S_RELOAD_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES">6</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ACCUM_OP_PATH_WIDTHS">35,35</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ACCUM_PATH_WIDTHS">35,35,35,35</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CHANNEL_PATTERN">fixed</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_FILE">hb47_2to1.mif</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_FILE_LINES">47</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_MEMTYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_MEM_PACKING">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_PATH_SIGN">0,0,0,0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_PATH_SRC">0,0,0,0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_PATH_WIDTHS">18,18,18,18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_RELOAD">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COEF_WIDTH">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COL_CONFIG">47</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COL_MODE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COL_PIPE_LEN">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMPONENT_NAME">hb47_2to1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONFIG_PACKET_SIZE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONFIG_SYNC_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONFIG_TDATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATAPATH_MEMTYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_IP_PATH_WIDTHS">16,16,16,16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_MEMTYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_MEM_PACKING">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_PSAMP_SRC">-0,2;-1,3;0,-2;1,-3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_SIGN">0,0,0,0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_SRC">0,1,2,3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_WIDTHS">16,16,16,16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PX_PATH_WIDTHS">16,16,16,16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_WIDTH">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DECIM_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">./</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EXT_MULT_CNFG">none</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FILTER_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FILTS_PACKED">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ACLKEN">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ARESETn">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_CONFIG_CHANNEL">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INPUT_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERP_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IPBUFF_MEMTYPE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LATENCY">52</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEM_ARRANGEMENT">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_HAS_TUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_TDATA_WIDTH">48</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_DATA_TUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_CHANNELS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_FILTS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_MADDS">47</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_RELOAD_SLOTS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_TAPS">47</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPBUFF_MEMTYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPT_MADDS">none</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OP_PATH_PSAMP_SRC">0,-2;1,-3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_PATH_WIDTHS">17,17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_WIDTH">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERSAMPLING_RATE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PX_PATH_SRC">2,3,2,3</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RELOAD_TDATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ROUND_MODE">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYMMETRY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_HAS_FIFO">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_HAS_TUSER">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_TDATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_DATA_TUSER_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynquplus</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ZERO_PACKING_FACTOR">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BestPrecision">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Blank_Output">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Channel_Sequence">Basic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Frequency">300.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CoefficientSource">Vector</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CoefficientVector">-62 0 194 0 -440 0 855 0 -1505 0 2478 0 -3900 0 5990 0 -9187 0 14632 0 -26536 0 83009 131071 83009 0 -26536 0 14632 0 -9187 0 5990 0 -3900 0 2478 0 -1505 0 855 0 -440 0 194 0 -62</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_File">hb47.coe</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Fractional_Bits">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Reload">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Sets">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Sign">Signed</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Structure">Inferred</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coefficient_Width">18</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ColumnConfig">47</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">hb47_2to1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_Has_TLAST">Not_Required</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_TUSER_Width">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Fractional_Bits">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Sign">Signed</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Width">16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Decimation_Rate">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DisplayReloadOrder">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Filter_Architecture">Systolic_Multiply_Accumulate</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Filter_Selection">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Filter_Type">Decimation</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GUI_Behaviour">Coregen</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Gen_MIF_Files">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Gen_MIF_from_COE">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Gen_MIF_from_Spec">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HardwareOversamplingRate">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Has_ACLKEN">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Has_ARESETn">true</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inter_Column_Pipe_Length">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Interpolation_Rate">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M_DATA_Has_TREADY">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.M_DATA_Has_TUSER">Not_Required</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Multi_Column_Support">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Num_Reload_Slots">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Number_Channels">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Number_Paths">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Optimization_Goal">Area</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Optimization_List">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Optimization_Selection">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Buffer_Type">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Rounding_Mode">Convergent_Rounding_to_Even</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Width">17</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Passband_Max">0.4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Passband_Min">0.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Pattern_List">P4-0,P4-1,P4-2,P4-3,P4-4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Preference_For_Other_Storage">Automatic</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Quantization">Integer_Coefficients</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RateSpecification">Input_Sample_Period</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Rate_Change_Type">Integer</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reload_File">no_coe_file_loaded</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Data_Vector">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_CONFIG_Method">Single</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_CONFIG_Sync_Mode">On_Vector</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_DATA_Has_FIFO">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.S_DATA_Has_TUSER">Not_Required</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SamplePeriod">0.5</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Sample_Frequency">0.001</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Select_Pattern">All</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Stopband_Max">1.0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Stopband_Min">0.59</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Zero_Pack_Factor">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynquplusRFSOC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xczu28dr</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffvg1517</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">12</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1.1_AR73068</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TUSER_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TKEEP" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_RELOAD.TUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Channel_Sequence" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Clock_Frequency" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CoefficientSource" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CoefficientVector" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_File" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Fractional_Bits" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Sets" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Sign" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Structure" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coefficient_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ColumnConfig" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_Has_TLAST" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Fractional_Bits" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Data_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Decimation_Rate" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Filter_Architecture" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Filter_Selection" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Filter_Type" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Has_ARESETn" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Interpolation_Rate" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M_DATA_Has_TREADY" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.M_DATA_Has_TUSER" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Number_Channels" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Number_Paths" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Optimization_Goal" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Optimization_List" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Optimization_Selection" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Rounding_Mode" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Output_Width" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Passband_Max" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Quantization" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.RateSpecification" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reset_Data_Vector" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S_DATA_Has_FIFO" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.S_DATA_Has_TUSER" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.SamplePeriod" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Sample_Frequency" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Select_Pattern" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Stopband_Max" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Stopband_Min" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Zero_Pack_Factor" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/Makefile.inc b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/Makefile.inc
new file mode 100644
index 000000000..5d1d4b3a5
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/Makefile.inc
@@ -0,0 +1,46 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+IP_X4XX_PS_RFDC_ORIG_SRCS = $(addprefix $(IP_DIR)/x4xx_ps_rfdc_bd/, \
+x4xx_ps_rfdc_bd.tcl \
+)
+
+IP_X4XX_PS_RFDC_HDL_SRCS = $(addprefix $(BASE_DIR)/x400/rf/common/, \
+capture_sysref.v \
+rf_nco_reset.vhd \
+rf_reset_controller.vhd \
+rf_reset.vhd \
+clock_gates.vhd \
+sync_wrapper.v \
+axis_mux.vhd \
+gpio_to_axis_mux.vhd \
+) \
+$(addprefix $(BASE_DIR)/../lib/control/, \
+synchronizer.v \
+synchronizer_impl.v \
+) \
+$(addprefix $(BASE_DIR)/x400/regmap/, PkgRFDC_REGS_REGMAP.vhd )
+
+IP_X4XX_PS_RFDC_BDTCL_SRCS = $(addprefix $(IP_BUILD_DIR)/x4xx_ps_rfdc_bd/, \
+x4xx_ps_rfdc_bd.tcl \
+)
+
+IP_X4XX_PS_RFDC_BD_SRCS = $(addprefix $(IP_BUILD_DIR)/x4xx_ps_rfdc_bd/, \
+x4xx_ps_rfdc_bd/x4xx_ps_rfdc_bd.bd \
+)
+
+BD_X4XX_PS_RFDC_BD_OUTS = $(addprefix $(IP_BUILD_DIR)/x4xx_ps_rfdc_bd/, \
+x4xx_ps_rfdc_bd.bd.out \
+x4xx_ps_rfdc_bd/x4xx_ps_rfdc_bd_ooc.xdc \
+x4xx_ps_rfdc_bd/synth/x4xx_ps_rfdc_bd.v \
+)
+
+EMPTY_IP_SRCS =
+
+$(IP_X4XX_PS_RFDC_BD_SRCS) $(BD_X4XX_PS_RFDC_BD_OUTS) $(IP_X4XX_PS_RFDC_BDTCL_SRCS): $(IP_X4XX_PS_RFDC_ORIG_SRCS)
+ $(call BUILD_VIVADO_BDTCL,x4xx_ps_rfdc_bd,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),$(LIB_DIR)/vivado_ipi,$(IP_X4XX_PS_RFDC_HDL_SRCS))
diff --git a/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/hdl_sources.tcl b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/hdl_sources.tcl
new file mode 100644
index 000000000..65c3aa6ba
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/hdl_sources.tcl
@@ -0,0 +1,14 @@
+set script_loc [file normalize [info script]]
+set script_dir [file dirname $script_loc]
+
+read_verilog -library work $script_dir/../../rf/common/capture_sysref.v
+read_verilog -library work $script_dir/../../../../lib/control/synchronizer.v
+read_verilog -library work $script_dir/../../../../lib/control/synchronizer_impl.v
+read_verilog -library work $script_dir/../../rf/common/sync_wrapper.v
+read_vhdl -library work $script_dir/../../rf/common/rf_nco_reset.vhd
+read_vhdl -library work $script_dir/../../regmap/PkgRFDC_REGS_REGMAP.vhd
+read_vhdl -library work $script_dir/../../rf/common/rf_reset_controller.vhd
+read_vhdl -library work $script_dir/../../rf/common/rf_reset.vhd
+read_vhdl -library work $script_dir/../../rf/common/clock_gates.vhd
+read_vhdl -library work $script_dir/../../rf/common/axis_mux.vhd
+read_vhdl -library work $script_dir/../../rf/common/gpio_to_axis_mux.vhd
diff --git a/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/common_regs.v b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/common_regs.v
new file mode 100644
index 000000000..454154382
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/common_regs.v
@@ -0,0 +1,550 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: common_regs
+// Description:
+// Registers definition within the x4xx_ps_rfdc_bd IP.
+
+//XmlParse xml_on
+//<top name="X4XX_FPGA">
+// <ports>
+// <info>
+// This section lists all common Processing System ports through
+// which the register maps in this project are accessed. Each input
+// port to the fabric will point to a regmap.
+// </info>
+// <port name="ARM_M_AXI_HPM0" targetregmap="AXI_HPM0_REGMAP">
+// <info>
+// This is the main AXI4-Lite master interface that the PS
+// exposes to the kernel to interact with the FPGA fabric.
+// There are multiple endpoints connected to this interface.
+// </info>
+// </port>
+// <port name="ARM_S_AXI_HPC0" sourcewindow="PL_DMA_MASTER_REGMAP|AXI_HPC0_WINDOW">
+// <info>
+// This is one of the two cache-coherent AXI slave ports available to
+// communicate from the fabric (master) to the PS (slave).
+// </info>
+// </port>
+// <port name="ARM_S_AXI_HPC1" sourcewindow="PL_DMA_MASTER_REGMAP|AXI_HPC1_WINDOW">
+// <info>
+// This is one of the two cache-coherent AXI slave ports available to
+// communicate from the fabric (master) to the PS (slave).
+// </info>
+// </port>
+// <port name="ARM_SPI1_CS3" targetregmap="MB_CPLD_PS_REGMAP">
+// <info>
+// This is the SPI1 interface
+// (see <a href="https://www.xilinx.com/html_docs/registers/ug1087/mod___spi.html" target="_blank">Zynq UltraScale+ Devices Register Reference</a>)
+// of the PS.
+// With chip select 3 enabled transactions are targeted for the PS MB CPLD register interface linked here.{br}
+// The request format on SPI is defined as.{br}
+// {b}Write request:{/b}
+// {ul}
+// {li}1'b1 = write
+// {li}15 bit address
+// {li}32 bit data (MOSI)
+// {li}8 bit processing gap
+// {li}5 bit padding
+// {li}1 bit ack
+// {li}2 bit status
+// {/ul}
+// {b}Read request:{/b}
+// {ul}
+// {li}1'b0 = read
+// {li}15 bit address
+// {li}8 bit processing gap
+// {li}32 bit data (MISO)
+// {li}5 bit padding
+// {li}1 bit ack
+// {li}2 bit status
+// {/ul}
+// </info>
+// </port>
+// </ports>
+// <regmapcfg readablestrobes="false">
+// <map name="AXI_HPM0_REGMAP"/>
+// <map name="MB_CPLD_PS_REGMAP"/>
+// </regmapcfg>
+//</top>
+//
+//<regmap name="AXI_HPM0_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <info>
+// This is the map for the register space that the Processing System's
+// M_AXI_HPM0_FPD port (AXI4 master interface) has access to.
+// This port has a 40-bit address bus.
+// </info>
+// <group name="COMMON">
+// <window name="RPU" offset="0x0080000000" size="0x00010000">
+// <info>Space reserved for RPU access</info>
+// </window>
+// <window name="JTAG_ENGINE" offset="0x1000000000" size="0x1000">
+// <info>Register space for the JTAG engine for MB CPLD programming.</info>
+// </window>
+// <window name="WR" offset="0x100003F000" size="0x1000">
+// <info>NOT IMPLEMENTED YET! Register space reserved for White Rabbit.</info>
+// </window>
+// <window name="MPM_ENDPOINT" offset="0x1000080000" size="0x20000"
+// targetregmap="PL_CPLD_REGMAP">
+// <info>MPM endpoint fro MB/DB communication.</info>
+// </window>
+// <window name="CORE_REGS" offset="0x10000A0000" size="0x4000"
+// targetregmap="CORE_REGS_REGMAP">
+// <info>Register space reserved for mboard-regs (Core).</info>
+// </window>
+// <window name="INT_ETH_DMA" offset="0x10000A4000" size="0x6000"
+// targetregmap="ETH_DMA_CTRL_REGMAP">
+// <info>AXI DMA engine for internal Ethernet interface.</info>
+// </window>
+// <window name="INT_ETH_REGS" offset="0x10000AA000" size="0x2000">
+// <info>Misc. registers for internal Ethernet.</info>
+// </window>
+// <window name="RFDC" offset="0x1000100000" size="0x40000">
+// <info>Register space occupied by the Xilinx RFDC IP block.</info>
+// </window>
+// <window name="RFDC_REGS" offset="0x1000140000" size="0x20000"
+// targetregmap="RFDC_REGS_REGMAP">
+// <info>Register space for RFDC control/status registers.</info>
+// </window>
+// </group>
+//</regmap>
+//
+//<regmap name="ETH_DMA_CTRL_REGMAP" readablestrobes="false" generatevhdl="true" generateverilog="false" ettusguidelines="true">
+// <info>
+// This is the map that the nixge driver uses in Ethernet DMA to
+// move data between the Processing System's architecture and the fabric.
+// This map is a combination of two main components: a Xilix AXI DMA engine
+// and some registers for MAC/PHY control.
+// </info>
+// <group name="ETH_DMA_CTRL">
+// <window name="AXI_DMA_CTRL" offset="0x0" size="0x4000">
+// <info>
+// Refer to Xilinx' AXI DMA v7.1 IP product guide for further
+// information on this register map:
+// https://www.xilinx.com/support/documentation/ip_documentation/axi_dma/v7_1/pg021_axi_dma.pdf
+// </info>
+// </window>
+// <window name="ETH_IO_CTRL" offset="0x4000" size="0x2000">
+// <info>MAC/PHY control for the Ethernet interface.</info>
+// </window>
+// </group>
+//</regmap>
+//<regmap name="PL_DMA_MASTER_REGMAP" readablestrobes="false" generatevhdl="true" generateverilog="false" ettusguidelines="true">
+// <info>
+// This is a regmap to document the different ports that have access to the PS system memory.
+// Each port may have different restrictions on system memory. See the corresponding window
+// for details
+// </info>
+// <group name="HPC0_DMA">
+// <window name="AXI_HPC0_WINDOW" offset="0x0" size="0x10000000000">
+// <info>
+// The HPC0 port of the PS is used for general purpose cache-coherent accesses
+// to the PS system memory. Different applications may use it for different
+// purposes. Its access is configured as follows: {br}
+// {table border="1"}
+// {tr}{th}Offset{/th} {th}Size{/th} {th}Description{/th}{tr}
+// {tr}{td}0x000800000000{/td}{td}0x000800000000{/td}{td}DDR_HIGH{/td}{tr}
+// {tr}{td}0x00000000{/td} {td}0x80000000{/td} {td}DDR_LOW{/td}{tr}
+// {tr}{td}0xFF000000{/td} {td}0x01000000{/td} {td}LPS_OCM{/td}{tr}
+// {tr}{td}0xC0000000{/td} {td}0x20000000{/td} {td}QSPI{/td}{tr}
+// {/table}
+// </info>
+// </window>
+// </group>
+// <group name="HPC1_DMA">
+// <window name="AXI_HPC1_WINDOW" offset="0x0" size="0x1000000000">
+// <info>
+// The HPC1 port of the PS is connected to the Ethernet DMA module. Three slave
+// interfaces are lumped together in this window: scatter-gather, dma-rx, and dma-tx.
+// Its access is configured as follows: {br}
+// {table border="1"}
+// {tr}{th}Offset{/th} {th}Size{/th} {th}Description{/th}{tr}
+// {tr}{td}0x000800000000{/td}{td}0x000800000000{/td}{td}DDR_HIGH{/td}{tr}
+// {tr}{td}0x00000000{/td} {td}0x80000000{/td} {td}DDR_LOW{/td}{tr}
+// {tr}{td}0xC0000000{/td} {td}0x20000000{/td} {td}QSPI{/td}{tr}
+// {/table}
+// </info>
+// </window>
+// </group>
+//</regmap>
+//
+//<regmap name="RFDC_REGS_REGMAP" readablestrobes="false" generatevhdl="true" generateverilog="true" ettusguidelines="true">
+// <group name="RFDC_REGS">
+// <info>
+// These are the registers located within the RFDC block design
+// that provide control and status support for the RF chain.
+// </info>
+//
+// <window name="MMCM" offset="0x0" size="0x10000">
+// <info>
+// Register space for controlling the data clock MMCM instance
+// within the RFDC block design.
+// Refer to Xilinx' Clocking Wizard v6.0 Product Guide for the
+// regiter space description in chapter 2.
+// (https://www.xilinx.com/support/documentation/ip_documentation/clk_wiz/v6_0/pg065-clk-wiz.pdf)
+// </info>
+// </window>
+//
+// <register name="INVERT_IQ_REG" offset="0x10000" size="32">
+// <info>Control register for inverting I/Q data.</info>
+// <!-- TODO: possibly redo these bitfields -->
+// <bitfield name="INVERT_DB0_ADC0_IQ" range="0"/>
+// <bitfield name="INVERT_DB0_ADC1_IQ" range="1"/>
+// <bitfield name="INVERT_DB0_ADC2_IQ" range="2"/>
+// <bitfield name="INVERT_DB0_ADC3_IQ" range="3"/>
+// <bitfield name="INVERT_DB1_ADC0_IQ" range="4"/>
+// <bitfield name="INVERT_DB1_ADC1_IQ" range="5"/>
+// <bitfield name="INVERT_DB1_ADC2_IQ" range="6"/>
+// <bitfield name="INVERT_DB1_ADC3_IQ" range="7"/>
+// <bitfield name="INVERT_DB0_DAC0_IQ" range="8"/>
+// <bitfield name="INVERT_DB0_DAC1_IQ" range="9"/>
+// <bitfield name="INVERT_DB0_DAC2_IQ" range="10"/>
+// <bitfield name="INVERT_DB0_DAC3_IQ" range="11"/>
+// <bitfield name="INVERT_DB1_DAC0_IQ" range="12"/>
+// <bitfield name="INVERT_DB1_DAC1_IQ" range="13"/>
+// <bitfield name="INVERT_DB1_DAC2_IQ" range="14"/>
+// <bitfield name="INVERT_DB1_DAC3_IQ" range="15"/>
+// </register>
+//
+// <register name="MMCM_RESET_REG" offset="0x11000" size="32">
+// <info>Control register for resetting the data clock MMCM.</info>
+// <bitfield name="RESET_MMCM" range="0">
+// <info>
+// Write a '1' to this bit to reset the MMCM. Then write a
+// '0' to place the MMCM out of reset.
+// </info>
+// </bitfield>
+// </register>
+//
+// <register name="RF_RESET_CONTROL_REG" offset="0x12000" size="32">
+// <info>
+// Control register for the RF reset controller.
+// Verify the FSM ID before polling starting any reset sequence.
+// To use the SW reset triggers: Wait until DB*_DONE is de-asserted.
+// Assert either the *_RESET or *_ENABLE bitfields.
+// Wait until DB*_DONE is asserted to release the trigger.
+// The DB*_DONE signal should then de-assert.{BR/}
+// {b}Note: The *_DB1 constants are not used in the HDL, their purpose is
+// merely for documentation.{/b}
+// </info>
+// <bitfield name="FSM_RESET" range="0">
+// <info>
+// Write a '1' to this bit to reset the RF reset controller.
+// Write a '0' once db0_fsm_reset_done asserts.
+// </info>
+// </bitfield>
+// <bitfield name="ADC_RESET" range="4">
+// <info>
+// Write a '1' to this bit to trigger a reset for the
+// daughterboard 0 ADC chain. Write a '0' once db0_adc_seq_done
+// is asserted.
+// </info>
+// </bitfield>
+// <bitfield name="ADC_ENABLE" range="5">
+// <info>
+// Write a '1' to this bit to trigger the enable sequence for
+// the daughterboard 0 ADC chain. Write a '0' once
+// db0_adc_seq_done is asserted.
+// </info>
+// </bitfield>
+// <bitfield name="DAC_RESET" range="8">
+// <info>
+// Write a '1' to this bit to trigger a reset for the
+// daughterboard 0 DAC chain. Write a '0' once db0_dac_seq_done
+// is asserted.
+// </info>
+// </bitfield>
+// <bitfield name="DAC_ENABLE" range="9">
+// <info>
+// Write a '1' to this bit to trigger the enable sequence for
+// the daughterboard 0 DAC chain. Write a '0' once
+// db0_dac_seq_done is asserted.
+// </info>
+// </bitfield>
+// </register>
+//
+// <register name="RF_RESET_STATUS_REG" offset="0x12008" size="32" writable="false">
+// <info>
+// Status register for the RF reset controller.
+// Verify the FSM ID before polling starting any reset sequence.
+// Refer to RF_RESET_CONTROL_REG for instructions on how to use
+// the status bits in this register.{BR/}
+// {b}Note: The *_DB1 constants are not used in the HDL, their purpose is
+// merely for documentation.{/b}
+// </info>
+// <bitfield name="FSM_RESET_DONE" range="3">
+// <info>
+// This bit asserts ('1') when the DB0 RF reset controller FSM
+// reset sequence is completed. The bitfield deasserts ('0')
+// after deasserting db0_fsm_reset.
+// </info>
+// </bitfield>
+// <bitfield name="ADC_SEQ_DONE" range="7">
+// <info>
+// This bit asserts ('1') when the DB0 ADC chain reset sequence
+// is completed. The bitfield deasserts ('0') after
+// deasserting the issued triggered (enable or reset).
+// </info>
+// </bitfield>
+// <bitfield name="DAC_SEQ_DONE" range="11">
+// <info>
+// This bit asserts ('1') when the DB0 DAC chain reset sequence
+// is completed. The bitfield deasserts ('0') after
+// deasserting the issued triggered (enable or reset).
+// </info>
+// </bitfield>
+// </register>
+//
+// <register name="RF_AXI_STATUS_REG" offset="0x13000" size="32" writable="false">
+// <info>
+// Status register for the RF AXI-Stream interfaces.{BR/}
+// {b}Note: The *_DB1 constants are not used in the HDL, their purpose is
+// merely for documentation.{/b}
+// </info>
+// <bitfield name="RFDC_DAC_TREADY" range="1..0">
+// <info>
+// This bitfield is wired to the RFDC's DAC (DB0) AXI-Stream
+// TReady handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_DAC_TVALID" range="3..2">
+// <info>
+// This bitfield is wired to the RFDC's DAC (DB0) AXI-Stream
+// TValid handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_Q_TREADY" range="5..4">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+// TReady handshake signals (Q portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_I_TREADY" range="7..6">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+// TReady handshake signals (I portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_Q_TVALID" range="9..8">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+// TValid handshake signals (Q portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_I_TVALID" range="11..10">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB0) AXI-Stream
+// TValid handshake signals (I portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="USER_ADC_TVALID" range="13..12">
+// <info>
+// This bitfield is wired to the user's ADC (DB0) AXI-Stream
+// TValid handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="USER_ADC_TREADY" range="15..14">
+// <info>
+// This bitfield is wired to the user's ADC (DB0) AXI-Stream
+// TReady handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_DAC_TREADY_DB1" range="17..16">
+// <info>
+// This bitfield is wired to the RFDC's DAC (DB1) AXI-Stream
+// TReady handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_DAC_TVALID_DB1" range="19..18">
+// <info>
+// This bitfield is wired to the RFDC's DAC (DB1) AXI-Stream
+// TValid handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_Q_TREADY_DB1" range="21..20">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+// TReady handshake signals (Q portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_I_TREADY_DB1" range="23..22">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+// TReady handshake signals (I portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_Q_TVALID_DB1" range="25..24">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+// TValid handshake signals (Q portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="RFDC_ADC_I_TVALID_DB1" range="27..26">
+// <info>
+// This bitfield is wired to the RFDC's ADC (DB1) AXI-Stream
+// TValid handshake signals (I portion). The LSB is channel 0
+// and the MSB is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="USER_ADC_TVALID_DB1" range="29..28">
+// <info>
+// This bitfield is wired to the user's ADC (DB1) AXI-Stream
+// TValid handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="USER_ADC_TREADY_DB1" range="31..30">
+// <info>
+// This bitfield is wired to the user's ADC (DB1) AXI-Stream
+// TReady handshake signals. The LSB is channel 0 and the MSB
+// is channel 1.
+// </info>
+// </bitfield>
+// </register>
+//
+// <register name="CALIBRATION_DATA" offset="0x014000">
+// <info>
+// The fields of this register provide data to all the DAC channels when enabled
+// by the CALIBRATION_ENABLE register.
+// </info>
+// <bitfield name="Q_DATA" range="31..16">
+// </bitfield>
+// <bitfield name="I_DATA" range="15..00">
+// </bitfield>
+// </register>
+//
+// <register name="CALIBRATION_ENABLE" offset="0x014008">
+// <info>
+// This register enables calibration data in the DAC data path for each of the
+// four channels. Each of these bits is normally '0'. When written '1', DAC data
+// for the corresponding channel will be constantly driven with the contents of
+// the CALIBRATION_DATA register.
+// </info>
+// <bitfield name="ENABLE_CALIBRATION_DATA_0" range="0">
+// <info>
+// Enables calibration data for channel 0.
+// </info>
+// </bitfield>
+// <bitfield name="ENABLE_CALIBRATION_DATA_1" range="1">
+// <info>
+// Enables calibration data for channel 1.
+// </info>
+// </bitfield>
+// <bitfield name="ENABLE_CALIBRATION_DATA_2" range="4">
+// <info>
+// Enables calibration data for channel 2.
+// </info>
+// </bitfield>
+// <bitfield name="ENABLE_CALIBRATION_DATA_3" range="5">
+// <info>
+// Enables calibration data for channel 3.
+// </info>
+// </bitfield>
+// </register>
+//
+// <register name="RF_PLL_CONTROL_REG" offset="0x16000" size="32" writable="true">
+// <info>
+// Enable RF MMCM outputs.
+// </info>
+// <bitfield name="ENABLE_DATA_CLK" range="0"/>
+// <bitfield name="ENABLE_DATA_CLK_2X" range="4"/>
+// <bitfield name="ENABLE_RF_CLK" range="8"/>
+// <bitfield name="ENABLE_RF_CLK_2X" range="12"/>
+// <bitfield name="CLEAR_DATA_CLK_UNLOCKED" range="16"/>
+// </register>
+//
+// <register name="RF_PLL_STATUS_REG" offset="0x16008" size="32" writable="false">
+// <info>
+// Data Clk Pll Status Register
+// </info>
+// <bitfield name="DATA_CLK_PLL_UNLOCKED_STICKY" range="16"/>
+// <bitfield name="DATA_CLK_PLL_LOCKED" range="20"/>
+// </register>
+//
+// <register name="THRESHOLD_STATUS" offset="0x015000">
+// <info>
+// This register shows threshold status for the ADCs. Each bit reflects the
+// RFDC's real-time ADC status signals, which will assert when the ADC input
+// signal exceeds the programmed threshold value. The status will remain
+// asserted until cleared by software.
+// The bitfield names follow the pattern ADCX_ZZ_over_threshold(1|2), where X is
+// the location of the tile in the converter column and ZZ is either 01 (the
+// lower RF-ADC in the tile) or 23 (the upper RF-ADC in the tile).
+// See also the Xilinx document PG269.
+// </info>
+// <bitfield name="ADC0_01_THRESHOLD1" range="0">
+// </bitfield>
+// <bitfield name="ADC0_01_THRESHOLD2" range="1">
+// </bitfield>
+// <bitfield name="ADC0_23_THRESHOLD1" range="2">
+// </bitfield>
+// <bitfield name="ADC0_23_THRESHOLD2" range="3">
+// </bitfield>
+// <bitfield name="ADC2_01_THRESHOLD1" range="8">
+// </bitfield>
+// <bitfield name="ADC2_01_THRESHOLD2" range="9">
+// </bitfield>
+// <bitfield name="ADC2_23_THRESHOLD1" range="10">
+// </bitfield>
+// <bitfield name="ADC2_23_THRESHOLD2" range="11">
+// </bitfield>
+// </register>
+//
+// <enumeratedtype name="FABRIC_DSP_BW_ENUM" showhex="true">
+// <value name="FABRIC_DSP_BW_NONE" integer="0"/>
+// <value name="FABRIC_DSP_BW_100M" integer="100"/>
+// <value name="FABRIC_DSP_BW_200M" integer="200"/>
+// <value name="FABRIC_DSP_BW_400M" integer="400"/>
+// </enumeratedtype>
+//
+// <register name="FABRIC_DSP_REG" offset="0x13008" size="32" writable="false">
+// <info>
+// This register provides information to the driver on the type
+// of DSP that is instantiated in the fabric.{BR/}
+// The X410 platform supports multiple RF daughterboards, each requiring
+// a different fabric RF DSP chain that works with specific RFDC settings.
+// Each bandwidth DSP chain has a unique identifier (BW in MHz), this
+// information is conveyed in this register to let the driver
+// configure the RFDC with the proper settings.
+// Also, channel count for the DSP module is included.{BR/}
+// {b}Note: The *_DB1 constants are not used in the HDL, their purpose is
+// merely for documentation.{/b}
+// </info>
+// <bitfield name="FABRIC_DSP_BW" range="11..0" type="FABRIC_DSP_BW_ENUM" initialvalue="FABRIC_DSP_BW_NONE">
+// <info>Fabric DSP BW in MHz for daughterboard 0.</info>
+// </bitfield>
+// <bitfield name="FABRIC_DSP_RX_CNT" range="13..12" initialvalue="0">
+// <info>Fabric DSP RX channel count for daughterboard 0.</info>
+// </bitfield>
+// <bitfield name="FABRIC_DSP_TX_CNT" range="15..14" initialvalue="0">
+// <info>Fabric DSP TX channel count for daughterboard 0.</info>
+// </bitfield>
+// <bitfield name="FABRIC_DSP_BW_DB1" range="27..16" type="FABRIC_DSP_BW_ENUM" initialvalue="FABRIC_DSP_BW_NONE">
+// <info>Fabric DSP BW in MHz for daughterboard 1.</info>
+// </bitfield>
+// <bitfield name="FABRIC_DSP_RX_CNT_DB1" range="29..28" initialvalue="0">
+// <info>Fabric DSP RX channel count for daughterboard 0.</info>
+// </bitfield>
+// <bitfield name="FABRIC_DSP_TX_CNT_DB1" range="31..30" initialvalue="0">
+// <info>Fabric DSP TX channel count for daughterboard 0.</info>
+// </bitfield>
+// </register>
+//
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/uhd_regs.v b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/uhd_regs.v
new file mode 100644
index 000000000..329393bfc
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/regmap/uhd_regs.v
@@ -0,0 +1,336 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: uhd_regs
+// Description:
+// Registers definition within the x4xx_ps_rfdc_bd IP.
+
+//XmlParse xml_on
+//<regmap name="CMAC_REGMAP" markdown="true" generateverilog="false">
+// <group name="XILINX_CMAC_REGISTERS">
+// <info>
+// 100G MAC ethernet registers (Link 0) defined in the CMAC Manual starting on pg 187.
+//
+// - http://www.xilinx.com/support/documentation/ip_documentation/cmac_usplus/v2_4/pg203-cmac-usplus.pdf
+//
+// </info>
+// </group>
+//</regmap>
+
+//XmlParse xml_on
+//<regmap name="XGE_MAC_REGMAP" markdown="true" generateverilog="false">
+// <group name="OPENCORE_XGE_REGISTERS">
+// <info>
+//
+// 10G MAC ethernet registers defined in the USRP OSS distribution fpga/usrp3/lib/xge/doc/xge_mac_spec.pdf
+//
+// </info>
+// </group>
+//</regmap>
+
+
+//<regmap name="DMA_REGMAP" markdown="true" generateverilog="false">
+// <group name="XILINX_DMA_REGISTERS">
+// <info>
+// Scatter Gather DMA block defined in Xilinx DMA manual start on pg 11
+//
+// - https://www.xilinx.com/support/documentation/ip_documentation/axi_dma/v7_1/pg021_axi_dma.pdf
+//
+// </info>
+// </group>
+//</regmap>
+
+//<regmap name="NIXGE_REGMAP" markdown="true" generateverilog="false">
+// <group name="XGE_MAC_WINDOW">
+// <window name="XGE_MAC" offset="0x1000" size="0x1000" targetregmap="XGE_MAC_REGMAP"/>
+// </group>
+// <group name="XGE_MAC_REGS">
+// <info>
+// nixge (maps to 10g mac if present)
+// </info>
+// <register name="PORT_INFO" offset="0x0000">
+// <bitfield name="COMPAT_NUM" range="31..24">
+// <info>
+// Constant indicating version for this space.
+// Not used by the NIXGE driver (12/4/2020)
+// </info>
+// </bitfield>
+// <bitfield name="ACTIVITY" range="17">
+// <info>
+// Generically this mirrors the activity LED. Specific meaning varies based on the MGT_PROTOCOL.
+// </info>
+// </bitfield>
+// <bitfield name="LINK_UP" range="16">
+// <info>
+// Generically means that a connection with a peer has been established. Specific
+// meaning varies based on the MGT_PROTOCOL.
+// </info>
+// </bitfield>
+// <bitfield name="MGT_PROTOCOL" range="15..8">
+// <info>
+// Constant indicating what flavor of communication this port is using
+//
+// - 0 = NONE
+// - 1 = 1GbE
+// - 2 = 10GbE
+// - 3 = Aurora
+// - 4 = WhiteRabbit
+// - 5 = 100GbE
+//
+// </info>
+// </bitfield>
+// <bitfield name="PORTNUM" range="7..0">
+// <info>
+// Constant indicating which port this register is hooked to
+//
+// - 0 = QSFP0
+// - 1 = QSFP1
+//
+// </info>
+// </bitfield>
+// </register>
+// <register name="MAC_CTRL_STATUS" offset="0x0004">
+// <info>
+// Definition of this register depends on Protocol
+//
+// **10GBE**
+//
+// *READ - Status*
+//
+// - 0 = status_crc_error
+// - 1 = status_fragment_error
+// - 2 = status_txdfifo_ovflow
+// - 3 = status_txdfifo_udflow
+// - 4 = status_rxdfifo_ovflow
+// - 5 = status_rxdfifo_udflow
+// - 6 = status_pause_frame_rx
+// - 7 = status_local_fault
+// - 8 = status_remote_fault
+//
+// *WRITE - Ctl*
+//
+// - 0 = ctrl_tx_enable
+//
+// **100 GBE**
+//
+// *READ - Status*
+//
+// - 0 = tx_ovfout - Sets if TX overflow reported by CMAC
+// (Stays set till MAC is reset). This is a fatal error
+// - 1 = tx_unfout - Sets if TX underflow reported by CMAC
+// (Stays set till MAC is reset). This is a fatal error
+// - 2 = stat_rx_aligned - goes high when CMAC has finished
+// alignment, and is ready to start reception of traffic.
+// - 3 = mac_dropped_packet - If the mac RX wants to push data(TVALID)
+// but upstream is trying to hold(TREADY)off we drop a packet.
+// Upstream circuitry should detect this when traffic is forked
+// between CHDR and CPU, so this bit will only set if there is a
+// HW design error.
+// - 4 = auto_config_done - This bit goes high when the auto_config
+// state machine finishes operation. It is very similiar to
+// stat_rx_alligned, but waits for extra writes which occur
+// after allignement to complete.
+// - 24:16 = pause_mask - readable version of pause_mask bellow.
+//
+// *WRITE - Ctl*
+//
+// - 0 = auto_enable - Defaults to ON after reset - Enables a
+// state machine that performs CMAC register writes to
+// bring up the MAC without SW intervention.
+// - 24:16 = pause_mask - A second layer of enables(the first being
+// register in the CMAC) on the pause_request mechanic. Bits
+// 7:0 of enable pause on PFC7:0. Bit 8 enables global pause
+// request (not priority controlled). The mask is used for TX
+// and RX.
+// </info>
+// </register>
+// <register name="MAC_PHY_STATUS" offset="0x0008">
+// <info>
+//
+// Definition of this register depends on Protocol
+//
+// **10GBE**
+//
+// *READ - Status *
+//
+// - 0 = core_status 0 - link_up
+// - 1 = core_status 1
+// - 2 = core_status 2
+// - 3 = core_status 3
+// - 4 = core_status 4
+// - 5 = core_status 5
+// - 6 = core_status 6
+// - 7 = core_status 7
+//
+// **100 GBE**
+//
+// *READ - Status*
+//
+// - 0 = usr_tx_reset - TX PLL's have locked - The clock for the 100G mac isn't stable till this bit sets.
+// - 1 = usr_rx_reset - RX PLL's have locked
+//
+// </info>
+// </register>
+// <register name="MAC_LED_CTL" offset="0x000C">
+// <bitfield name="identify_enable" range="0">
+// <info>
+// When set identify_value is used to control the activity LED.
+// When clear the activity LED set on any TX or RX traffic to the mgt
+// </info>
+// </bitfield>
+// <bitfield name="identify_value" range="1">
+// <info>
+// When identify_enable is set, this value controls the activity LED.
+// </info>
+// </bitfield>
+// </register>
+// <register name="ETH_MDIO_BASE" offset="0x0010">
+// <info>
+// The x4xx family of products does not use MDIO.
+// </info>
+// </register>
+// <register name="AURORA_OVERRUNS" offset="0x0020">
+// <info>
+// Only valid if the protocol is Aurora.
+// </info>
+// </register>
+// <register name="AURORA_CHECKSUM_ERRORS" offset="0x0024">
+// <info>
+// Only valid if the protocol is Aurora.
+// </info>
+// </register>
+// <register name="AURORA_BIST_CHECKER_SAMPS" offset="0x0028">
+// <info>
+// Only valid if the protocol is Aurora.
+// </info>
+// </register>
+// <register name="AURORA_BIST_CHECKER_ERRORS" offset="0x002C">
+// <info>
+// Only valid if the protocol is Aurora.
+// </info>
+// </register>
+// </group>
+//</regmap>
+//
+//<regmap name="UIO_REGMAP" markdown="true" generateverilog="false">
+// <group name="UIO_REGS">
+// <info>
+// UIO
+// </info>
+// <register name="IP" offset="0x0000">
+// <info>
+// Set this port's IP address
+// </info>
+// </register>
+// <register name="UDP" offset="0x0004">
+// <info>
+// Set the UDP port for CHDR_traffic
+// </info>
+// </register>
+// <register name="BRIDGE_MAC_LSB" offset="0x0010">
+// <info>
+// If BRIDGE_ENABLE is set use this MAC_ID
+// </info>
+// </register>
+// <register name="BRIDGE_MAC_MSB" offset="0x0014">
+// <info>
+// If BRIDGE_ENABLE is set use this MAC_ID
+// </info>
+// </register>
+// <register name="BRIDGE_IP" offset="0x0018">
+// <info>
+// If BRIDGE_ENABLE is set use this IP Address
+// </info>
+// </register>
+// <register name="BRIDGE_UDP" offset="0x001C">
+// <info>
+// If BRIDGE_ENABLE is set use this UDP Port for CHDR_traffic
+// </info>
+// </register>
+// <register name="BRIDGE_ENABLE" offset="0x0020">
+// <info>
+// Bit 0 Controls the following logic
+//
+//```verilog
+// always_comb begin : bridge_mux
+// my_mac = bridge_en ? bridge_mac_reg : mac_reg;
+// my_ip = bridge_en ? bridge_ip_reg : ip_reg;
+// my_udp_chdr_port = bridge_en ? bridge_udp_port : udp_port;
+// end
+//```
+//
+// </info>
+// </register>
+// <register name="CHDR_DROPPED" offset="0x0030">
+// <info>
+// Count the number of Packets dropped that were addressed to the CHDR section.
+// </info>
+// </register>
+// <register name="CPU_DROPPED" offset="0x0034">
+// <info>
+// Count the number of Packets dropped that were addressed to us, but not to the CHDR section.
+// </info>
+// </register>
+// <register name="PAUSE" offset="0x0038">
+// <bitfield name="pause_set" range="15..0">
+// <info>
+// If the fullness of the CHDR_FIFO in ETH_W words exceeds this value request an ethernet pause. This feature is only
+// used with 100Gb ethernet
+// </info>
+// </bitfield>
+// <bitfield name="pause_clear" range="31..16">
+// <info>
+// If the fullness of the CHDR_FIFO in ETH_W words falls bellow this value stop requesting an ethernet pause.
+// *Pause clear must be less than pause set or terrible things will happen.*
+// The clearing of the pause request causes the MAC to send a request to resume traffic. This feature is only
+// used with 100Gb ethernet
+// </info>
+// </bitfield>
+// </register>
+// </group>
+//</regmap>
+
+//<regmap name="QSFP_REGMAP" markdown="true" generateverilog="false">
+// <group name="QSFP_WINDOWS">
+// <info>
+// Register space for a single QSFP Communication port. This currently breaks into 2 possible configurations
+//
+// - 1X10GB Ethernet - Using OpenCore XGE MAC
+// - 1x100GB Ethernet - Using Xilinx CMAC
+// - (future possible) - Xilinx Aurora (various rates and lane widths)
+// - (future possible) - 4X10GB Ethernet
+//
+// </info>
+// <window name="ETH_DMA" offset="0x000" size="0x4000" targetregmap="DMA_REGMAP"/>
+// <window name="NIXGE" offset="0x8000" size="0x2000" targetregmap="NIXGE_REGMAP"/>
+// <window name="UIO" offset="0xA000" size="0x2000" targetregmap="UIO_REGMAP"/>
+// <window name="CMAC" offset="0xC000" size="0x2000" targetregmap="CMAC_REGMAP"/>
+// </group>
+//</regmap>
+
+
+//<regmap name="AXI_HPM0_REGMAP" markdown="true" generateverilog="false">
+// <group name="UHD_ONLY">
+// <info>
+// - 0_0 indicates QSFP0 - Lane0 or a 4 LANE QSFP0
+// - 0_1 indicates QSFP0 - Lane1
+// - 0_2 indicates QSFP0 - Lane2
+// - 0_3 indicates QSFP0 - Lane3
+// - 1_0 indicates QSFP1 - Lane0 or a 4 LANE QSFP1
+// - 1_1 indicates QSFP1 - Lane1
+// - 1_2 indicates QSFP1 - Lane2
+// - 1_3 indicates QSFP1 - Lane3
+// </info>
+// <window name="QSFP_0_0" offset="0x1200000000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_0_1" offset="0x1200010000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_0_2" offset="0x1200020000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_0_3" offset="0x1200030000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_1_0" offset="0x1200040000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_1_1" offset="0x1200050000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_1_2" offset="0x1200060000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// <window name="QSFP_1_3" offset="0x1200070000" size="0x10000" targetregmap="QSFP_REGMAP"/>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/synthstub/x4xx_ps_rfdc_bd.vhd b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/synthstub/x4xx_ps_rfdc_bd.vhd
new file mode 100644
index 000000000..2fac8ad64
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/synthstub/x4xx_ps_rfdc_bd.vhd
@@ -0,0 +1,411 @@
+------------------------------------------------------------------------------------------
+--
+-- File: x4xx_ps_rfdc_bd.vhd
+-- Author: niBlockDesign::niBdExportStub
+-- Original Project: HwBuildTools
+-- Date: 03 February 2021
+--
+------------------------------------------------------------------------------------------
+-- (c) Copyright National Instruments Corporation
+-- All Rights Reserved
+-- National Instruments Internal Information
+------------------------------------------------------------------------------------------
+--
+-- Purpose: This is an automatically generated stub file to match the entity
+-- declaration for 'x4xx_ps_rfdc_bd'. This file was created using niBdExportStub
+-- Do not modify this file directly!
+--
+------------------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+library unisim;
+use unisim.vcomponents.all;
+
+entity x4xx_ps_rfdc_bd is
+port (
+ adc_data_out_resetn_dclk : out STD_LOGIC;
+ adc_enable_data_rclk : out STD_LOGIC;
+ adc_reset_pulse_dclk : in STD_LOGIC;
+ adc_rfdc_axi_resetn_rclk : out STD_LOGIC;
+ bus_clk : in STD_LOGIC;
+ bus_rstn : in STD_LOGIC;
+ clk40 : in STD_LOGIC;
+ clk40_rstn : in STD_LOGIC;
+ dac_data_in_resetn_dclk : out STD_LOGIC;
+ dac_data_in_resetn_dclk2x : out STD_LOGIC;
+ dac_data_in_resetn_rclk : out STD_LOGIC;
+ dac_data_in_resetn_rclk2x : out STD_LOGIC;
+ dac_reset_pulse_dclk : in STD_LOGIC;
+ data_clk : out STD_LOGIC;
+ data_clk_2x : out STD_LOGIC;
+ data_clock_locked : out STD_LOGIC;
+ enable_gated_clocks_clk40 : in STD_LOGIC;
+ enable_sysref_rclk : in STD_LOGIC;
+ fir_resetn_rclk2x : out STD_LOGIC;
+ gated_base_clks_valid_clk40 : out STD_LOGIC;
+ invert_adc_iq_rclk2 : out STD_LOGIC_VECTOR ( 7 downto 0 );
+ invert_dac_iq_rclk2 : out STD_LOGIC_VECTOR ( 7 downto 0 );
+ irq0_lpd_rpu_n : in STD_LOGIC;
+ irq1_lpd_rpu_n : in STD_LOGIC;
+ jtag0_tck : inout STD_LOGIC;
+ jtag0_tdi : inout STD_LOGIC;
+ jtag0_tdo : in STD_LOGIC;
+ jtag0_tms : inout STD_LOGIC;
+ nco_reset_done_dclk : out STD_LOGIC;
+ pl_clk40 : out STD_LOGIC;
+ pl_clk100 : out STD_LOGIC;
+ pl_clk166 : out STD_LOGIC;
+ pl_clk200 : out STD_LOGIC;
+ pl_ps_irq0 : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ pl_ps_irq1 : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ pl_resetn0 : out STD_LOGIC;
+ pl_resetn1 : out STD_LOGIC;
+ pl_resetn2 : out STD_LOGIC;
+ pl_resetn3 : out STD_LOGIC;
+ pll_ref_clk_in : in STD_LOGIC;
+ pll_ref_clk_out : out STD_LOGIC;
+ rf_axi_status_clk40 : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ rf_dsp_info_clk40 : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ rfdc_clk : out STD_LOGIC_VECTOR ( 0 to 0 );
+ rfdc_clk_2x : out STD_LOGIC_VECTOR ( 0 to 0 );
+ rfdc_irq : out STD_LOGIC;
+ s_axi_hp0_aclk : in STD_LOGIC;
+ s_axi_hp1_aclk : in STD_LOGIC;
+ s_axi_hpc0_aclk : in STD_LOGIC;
+ start_nco_reset_dclk : in STD_LOGIC;
+ sysref_out_pclk : out STD_LOGIC;
+ sysref_out_rclk : out STD_LOGIC;
+ sysref_pl_in : in STD_LOGIC;
+ s_axi_hp0_aruser : in STD_LOGIC;
+ s_axi_hp0_awuser : in STD_LOGIC;
+ s_axi_hp0_awid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp0_awaddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hp0_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hp0_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp0_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp0_awlock : in STD_LOGIC;
+ s_axi_hp0_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hp0_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp0_awvalid : in STD_LOGIC;
+ s_axi_hp0_awready : out STD_LOGIC;
+ s_axi_hp0_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hp0_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 );
+ s_axi_hp0_wlast : in STD_LOGIC;
+ s_axi_hp0_wvalid : in STD_LOGIC;
+ s_axi_hp0_wready : out STD_LOGIC;
+ s_axi_hp0_bid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp0_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp0_bvalid : out STD_LOGIC;
+ s_axi_hp0_bready : in STD_LOGIC;
+ s_axi_hp0_arid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp0_araddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hp0_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hp0_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp0_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp0_arlock : in STD_LOGIC;
+ s_axi_hp0_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hp0_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp0_arvalid : in STD_LOGIC;
+ s_axi_hp0_arready : out STD_LOGIC;
+ s_axi_hp0_rid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp0_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hp0_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp0_rlast : out STD_LOGIC;
+ s_axi_hp0_rvalid : out STD_LOGIC;
+ s_axi_hp0_rready : in STD_LOGIC;
+ s_axi_hp0_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hp0_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axis_eth_dma_tdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
+ s_axis_eth_dma_tkeep : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axis_eth_dma_tlast : in STD_LOGIC;
+ s_axis_eth_dma_tready : out STD_LOGIC;
+ s_axis_eth_dma_tvalid : in STD_LOGIC;
+ s_axi_hp1_aruser : in STD_LOGIC;
+ s_axi_hp1_awuser : in STD_LOGIC;
+ s_axi_hp1_awid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp1_awaddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hp1_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hp1_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp1_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp1_awlock : in STD_LOGIC;
+ s_axi_hp1_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hp1_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp1_awvalid : in STD_LOGIC;
+ s_axi_hp1_awready : out STD_LOGIC;
+ s_axi_hp1_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hp1_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 );
+ s_axi_hp1_wlast : in STD_LOGIC;
+ s_axi_hp1_wvalid : in STD_LOGIC;
+ s_axi_hp1_wready : out STD_LOGIC;
+ s_axi_hp1_bid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp1_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp1_bvalid : out STD_LOGIC;
+ s_axi_hp1_bready : in STD_LOGIC;
+ s_axi_hp1_arid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp1_araddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hp1_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hp1_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp1_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp1_arlock : in STD_LOGIC;
+ s_axi_hp1_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hp1_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hp1_arvalid : in STD_LOGIC;
+ s_axi_hp1_arready : out STD_LOGIC;
+ s_axi_hp1_rid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hp1_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hp1_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hp1_rlast : out STD_LOGIC;
+ s_axi_hp1_rvalid : out STD_LOGIC;
+ s_axi_hp1_rready : in STD_LOGIC;
+ s_axi_hp1_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hp1_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc0_aruser : in STD_LOGIC;
+ s_axi_hpc0_awuser : in STD_LOGIC;
+ s_axi_hpc0_awid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc0_awaddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hpc0_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hpc0_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc0_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc0_awlock : in STD_LOGIC;
+ s_axi_hpc0_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc0_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc0_awvalid : in STD_LOGIC;
+ s_axi_hpc0_awready : out STD_LOGIC;
+ s_axi_hpc0_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hpc0_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 );
+ s_axi_hpc0_wlast : in STD_LOGIC;
+ s_axi_hpc0_wvalid : in STD_LOGIC;
+ s_axi_hpc0_wready : out STD_LOGIC;
+ s_axi_hpc0_bid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc0_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc0_bvalid : out STD_LOGIC;
+ s_axi_hpc0_bready : in STD_LOGIC;
+ s_axi_hpc0_arid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc0_araddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hpc0_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hpc0_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc0_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc0_arlock : in STD_LOGIC;
+ s_axi_hpc0_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc0_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc0_arvalid : in STD_LOGIC;
+ s_axi_hpc0_arready : out STD_LOGIC;
+ s_axi_hpc0_rid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc0_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hpc0_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc0_rlast : out STD_LOGIC;
+ s_axi_hpc0_rvalid : out STD_LOGIC;
+ s_axi_hpc0_rready : in STD_LOGIC;
+ s_axi_hpc0_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc0_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ adc0_clk_clk_n : in STD_LOGIC;
+ adc0_clk_clk_p : in STD_LOGIC;
+ adc2_clk_clk_n : in STD_LOGIC;
+ adc2_clk_clk_p : in STD_LOGIC;
+ m_axi_app_awaddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_app_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_app_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_app_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ m_axi_app_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_app_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_araddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_app_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_app_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_app_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_app_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_app_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ dac0_clk_clk_n : in STD_LOGIC;
+ dac0_clk_clk_p : in STD_LOGIC;
+ dac1_clk_clk_n : in STD_LOGIC;
+ dac1_clk_clk_p : in STD_LOGIC;
+ gpio_0_tri_i : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ gpio_0_tri_o : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ gpio_0_tri_t : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_eth_internal_awaddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_eth_internal_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_eth_internal_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_eth_internal_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ m_axi_eth_internal_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_eth_internal_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_araddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_eth_internal_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_eth_internal_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_eth_internal_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_eth_internal_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_eth_internal_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axis_eth_dma_tdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
+ m_axis_eth_dma_tkeep : out STD_LOGIC_VECTOR ( 7 downto 0 );
+ m_axis_eth_dma_tlast : out STD_LOGIC;
+ m_axis_eth_dma_tready : in STD_LOGIC;
+ m_axis_eth_dma_tvalid : out STD_LOGIC;
+ m_axi_rpu_awaddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_rpu_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_rpu_awvalid : out STD_LOGIC;
+ m_axi_rpu_awready : in STD_LOGIC;
+ m_axi_rpu_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_rpu_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ m_axi_rpu_wvalid : out STD_LOGIC;
+ m_axi_rpu_wready : in STD_LOGIC;
+ m_axi_rpu_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_rpu_bvalid : in STD_LOGIC;
+ m_axi_rpu_bready : out STD_LOGIC;
+ m_axi_rpu_araddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_rpu_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_rpu_arvalid : out STD_LOGIC;
+ m_axi_rpu_arready : in STD_LOGIC;
+ m_axi_rpu_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_rpu_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_rpu_rvalid : in STD_LOGIC;
+ m_axi_rpu_rready : out STD_LOGIC;
+ m_axi_core_awaddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_core_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_core_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_core_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ m_axi_core_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_core_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_araddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_core_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_core_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_core_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_core_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_core_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_awaddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_mpm_ep_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_mpm_ep_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_mpm_ep_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
+ m_axi_mpm_ep_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_mpm_ep_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_araddr : out STD_LOGIC_VECTOR ( 39 downto 0 );
+ m_axi_mpm_ep_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
+ m_axi_mpm_ep_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
+ m_axi_mpm_ep_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ m_axi_mpm_ep_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ m_axi_mpm_ep_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ adc_tile224_ch0_dout_i_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile224_ch0_dout_i_tready : in STD_LOGIC;
+ adc_tile224_ch0_dout_i_tvalid : out STD_LOGIC;
+ adc_tile224_ch0_dout_q_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile224_ch0_dout_q_tready : in STD_LOGIC;
+ adc_tile224_ch0_dout_q_tvalid : out STD_LOGIC;
+ adc_tile224_ch1_dout_i_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile224_ch1_dout_i_tready : in STD_LOGIC;
+ adc_tile224_ch1_dout_i_tvalid : out STD_LOGIC;
+ adc_tile224_ch1_dout_q_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile224_ch1_dout_q_tready : in STD_LOGIC;
+ adc_tile224_ch1_dout_q_tvalid : out STD_LOGIC;
+ adc_tile226_ch0_dout_i_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile226_ch0_dout_i_tready : in STD_LOGIC;
+ adc_tile226_ch0_dout_i_tvalid : out STD_LOGIC;
+ adc_tile226_ch0_dout_q_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile226_ch0_dout_q_tready : in STD_LOGIC;
+ adc_tile226_ch0_dout_q_tvalid : out STD_LOGIC;
+ adc_tile226_ch1_dout_i_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile226_ch1_dout_i_tready : in STD_LOGIC;
+ adc_tile226_ch1_dout_i_tvalid : out STD_LOGIC;
+ adc_tile226_ch1_dout_q_tdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ adc_tile226_ch1_dout_q_tready : in STD_LOGIC;
+ adc_tile226_ch1_dout_q_tvalid : out STD_LOGIC;
+ dac_tile228_ch0_vout_v_n : out STD_LOGIC;
+ dac_tile228_ch0_vout_v_p : out STD_LOGIC;
+ dac_tile228_ch1_vout_v_n : out STD_LOGIC;
+ dac_tile228_ch1_vout_v_p : out STD_LOGIC;
+ dac_tile229_ch0_vout_v_n : out STD_LOGIC;
+ dac_tile229_ch0_vout_v_p : out STD_LOGIC;
+ dac_tile229_ch1_vout_v_n : out STD_LOGIC;
+ dac_tile229_ch1_vout_v_p : out STD_LOGIC;
+ dac_tile228_ch0_din_tdata : in STD_LOGIC_VECTOR ( 255 downto 0 );
+ dac_tile228_ch0_din_tvalid : in STD_LOGIC;
+ dac_tile228_ch0_din_tready : out STD_LOGIC;
+ dac_tile228_ch1_din_tdata : in STD_LOGIC_VECTOR ( 255 downto 0 );
+ dac_tile228_ch1_din_tvalid : in STD_LOGIC;
+ dac_tile228_ch1_din_tready : out STD_LOGIC;
+ dac_tile229_ch0_din_tdata : in STD_LOGIC_VECTOR ( 255 downto 0 );
+ dac_tile229_ch0_din_tvalid : in STD_LOGIC;
+ dac_tile229_ch0_din_tready : out STD_LOGIC;
+ dac_tile229_ch1_din_tdata : in STD_LOGIC_VECTOR ( 255 downto 0 );
+ dac_tile229_ch1_din_tvalid : in STD_LOGIC;
+ dac_tile229_ch1_din_tready : out STD_LOGIC;
+ s_axi_hpc1_awid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc1_awaddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hpc1_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hpc1_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc1_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc1_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc1_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc1_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc1_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hpc1_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 );
+ s_axi_hpc1_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_bid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc1_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc1_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_arid : in STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc1_araddr : in STD_LOGIC_VECTOR ( 48 downto 0 );
+ s_axi_hpc1_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
+ s_axi_hpc1_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc1_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc1_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc1_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
+ s_axi_hpc1_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
+ s_axi_hpc1_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_rid : out STD_LOGIC_VECTOR ( 5 downto 0 );
+ s_axi_hpc1_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
+ s_axi_hpc1_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
+ s_axi_hpc1_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
+ sysref_rf_in_diff_n : in STD_LOGIC;
+ sysref_rf_in_diff_p : in STD_LOGIC;
+ adc_tile224_ch0_vin_v_n : in STD_LOGIC;
+ adc_tile224_ch0_vin_v_p : in STD_LOGIC;
+ adc_tile224_ch1_vin_v_n : in STD_LOGIC;
+ adc_tile224_ch1_vin_v_p : in STD_LOGIC;
+ adc_tile226_ch0_vin_v_n : in STD_LOGIC;
+ adc_tile226_ch0_vin_v_p : in STD_LOGIC;
+ adc_tile226_ch1_vin_v_n : in STD_LOGIC;
+ adc_tile226_ch1_vin_v_p : in STD_LOGIC;
+ s_axi_hpc1_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
+ s_axi_hpc1_awuser : in STD_LOGIC_VECTOR ( 0 to 0 )
+ );
+ end entity x4xx_ps_rfdc_bd;
+
+architecture stub of x4xx_ps_rfdc_bd is
+begin
+end architecture stub;
diff --git a/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/x4xx_ps_rfdc_bd.tcl b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/x4xx_ps_rfdc_bd.tcl
new file mode 100644
index 000000000..23eedcb0e
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/x4xx_ps_rfdc_bd/x4xx_ps_rfdc_bd.tcl
@@ -0,0 +1,3681 @@
+
+################################################################
+# This is a generated script based on design: x4xx_ps_rfdc_bd
+#
+# Though there are limitations about the generated script,
+# the main purpose of this utility is to make learning
+# IP Integrator Tcl commands easier.
+################################################################
+
+namespace eval _tcl {
+proc get_script_folder {} {
+ set script_path [file normalize [info script]]
+ set script_folder [file dirname $script_path]
+ return $script_folder
+}
+}
+variable script_folder
+set script_folder [_tcl::get_script_folder]
+
+################################################################
+# Check if script is running in correct Vivado version.
+################################################################
+set scripts_vivado_version 2019.1
+set current_vivado_version [version -short]
+
+if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
+ puts ""
+ catch {common::send_msg_id "BD_TCL-109" "ERROR" "This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script."}
+
+ return 1
+}
+
+################################################################
+# START
+################################################################
+
+# To test this script, run the following commands from Vivado Tcl console:
+# source x4xx_ps_rfdc_bd_script.tcl
+
+
+# The design that will be created by this Tcl script contains the following
+# module references:
+# capture_sysref, clock_gates, rf_nco_reset, rf_reset_controller, gpio_to_axis_mux
+
+# Please add the sources of those modules before sourcing this Tcl script.
+
+# If there is no project opened, this script will create a
+# project, but make sure you do not have an existing project
+# <./myproj/project_1.xpr> in the current working folder.
+
+set list_projs [get_projects -quiet]
+if { $list_projs eq "" } {
+ create_project project_1 myproj -part xczu28dr-ffvg1517-1-e
+}
+
+
+# CHANGE DESIGN NAME HERE
+variable design_name
+set design_name x4xx_ps_rfdc_bd
+
+# If you do not already have an existing IP Integrator design open,
+# you can create a design using the following command:
+# create_bd_design $design_name
+
+# Creating design if needed
+set errMsg ""
+set nRet 0
+
+set cur_design [current_bd_design -quiet]
+set list_cells [get_bd_cells -quiet]
+
+if { ${design_name} eq "" } {
+ # USE CASES:
+ # 1) Design_name not set
+
+ set errMsg "Please set the variable <design_name> to a non-empty value."
+ set nRet 1
+
+} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
+ # USE CASES:
+ # 2): Current design opened AND is empty AND names same.
+ # 3): Current design opened AND is empty AND names diff; design_name NOT in project.
+ # 4): Current design opened AND is empty AND names diff; design_name exists in project.
+
+ if { $cur_design ne $design_name } {
+ common::send_msg_id "BD_TCL-001" "INFO" "Changing value of <design_name> from <$design_name> to <$cur_design> since current design is empty."
+ set design_name [get_property NAME $cur_design]
+ }
+ common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design <$cur_design>..."
+
+} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
+ # USE CASES:
+ # 5) Current design opened AND has components AND same names.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 1
+} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
+ # USE CASES:
+ # 6) Current opened design, has components, but diff names, design_name exists in project.
+ # 7) No opened design, design_name exists in project.
+
+ set errMsg "Design <$design_name> already exists in your project, please set the variable <design_name> to another value."
+ set nRet 2
+
+} else {
+ # USE CASES:
+ # 8) No opened design, design_name not in project.
+ # 9) Current opened design, has components, but diff names, design_name not in project.
+
+ common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
+
+ create_bd_design $design_name
+
+ common::send_msg_id "BD_TCL-004" "INFO" "Making design <$design_name> as current_bd_design."
+ current_bd_design $design_name
+
+}
+
+ # Add USER_COMMENTS on $design_name
+ set_property USER_COMMENTS.comment_2 "reg_reset_mmcm:
+[0] = mmcm_reset_n (default b0)" [get_bd_designs $design_name]
+ set_property USER_COMMENTS.comment_3 "reg_invert_iq:
+[15:8] = invert DAC channels
+[7:0] = invert ADC channels" [get_bd_designs $design_name]
+
+common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable <design_name> is equal to \"$design_name\"."
+
+if { $nRet != 0 } {
+ catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
+ return $nRet
+}
+
+set bCheckIPsPassed 1
+##################################################################
+# CHECK IPs
+##################################################################
+set bCheckIPs 1
+if { $bCheckIPs == 1 } {
+ set list_check_ips "\
+ettus.com:ip:axi_bitq:1.0\
+xilinx.com:ip:zynq_ultra_ps_e:3.3\
+xilinx.com:ip:xlconcat:2.1\
+xilinx.com:ip:xlconstant:1.1\
+xilinx.com:ip:clk_wiz:6.0\
+xilinx.com:ip:axi_gpio:2.0\
+xilinx.com:ip:usp_rf_data_converter:2.1\
+xilinx.com:ip:xlslice:1.0\
+xilinx.com:ip:axi_protocol_converter:2.1\
+xilinx.com:ip:axi_dma:7.1\
+xilinx.com:ip:smartconnect:1.0\
+xilinx.com:ip:util_ds_buf:2.1\
+"
+
+ set list_ips_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
+
+ foreach ip_vlnv $list_check_ips {
+ set ip_obj [get_ipdefs -all $ip_vlnv]
+ if { $ip_obj eq "" } {
+ lappend list_ips_missing $ip_vlnv
+ }
+ }
+
+ if { $list_ips_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
+ set bCheckIPsPassed 0
+ }
+
+}
+
+##################################################################
+# CHECK Modules
+##################################################################
+set bCheckModules 1
+if { $bCheckModules == 1 } {
+ set list_check_mods "\
+capture_sysref\
+clock_gates\
+rf_nco_reset\
+rf_reset_controller\
+gpio_to_axis_mux\
+"
+
+ set list_mods_missing ""
+ common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following modules exist in the project's sources: $list_check_mods ."
+
+ foreach mod_vlnv $list_check_mods {
+ if { [can_resolve_reference $mod_vlnv] == 0 } {
+ lappend list_mods_missing $mod_vlnv
+ }
+ }
+
+ if { $list_mods_missing ne "" } {
+ catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following module(s) are not found in the project: $list_mods_missing" }
+ common::send_msg_id "BD_TCL-008" "INFO" "Please add source files for the missing module(s) above."
+ set bCheckIPsPassed 0
+ }
+}
+
+if { $bCheckIPsPassed != 1 } {
+ common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
+ return 3
+}
+
+##################################################################
+# DESIGN PROCs
+##################################################################
+
+
+# Hierarchical cell: rf_clock_buffers
+proc create_hier_cell_rf_clock_buffers { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_rf_clock_buffers() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+
+ # Create pins
+ create_bd_pin -dir O -from 0 -to 0 rfdc_clk
+ create_bd_pin -dir O -from 0 -to 0 rfdc_clk_2x
+ create_bd_pin -dir I -from 0 -to 0 rfdc_clk_2x_ce
+ create_bd_pin -dir I -from 0 -to 0 -type clk rfdc_clk_2x_pll
+ create_bd_pin -dir I -from 0 -to 0 rfdc_clk_ce
+ create_bd_pin -dir I -from 0 -to 0 -type clk rfdc_clk_pll
+
+ # Create instance: rfdc_clk_1x_buf, and set properties
+ set rfdc_clk_1x_buf [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.1 rfdc_clk_1x_buf ]
+ set_property -dict [ list \
+ CONFIG.C_BUF_TYPE {BUFGCE} \
+ ] $rfdc_clk_1x_buf
+
+ # Create instance: rfdc_clk_2x_buf, and set properties
+ set rfdc_clk_2x_buf [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.1 rfdc_clk_2x_buf ]
+ set_property -dict [ list \
+ CONFIG.C_BUF_TYPE {BUFGCE} \
+ ] $rfdc_clk_2x_buf
+
+ # Create port connections
+ connect_bd_net -net BUFGCE_I2_1 [get_bd_pins rfdc_clk_2x_pll] [get_bd_pins rfdc_clk_2x_buf/BUFGCE_I]
+ connect_bd_net -net rfdc_clk_1 [get_bd_pins rfdc_clk_pll] [get_bd_pins rfdc_clk_1x_buf/BUFGCE_I]
+ connect_bd_net -net rfdc_clk_1x_buf_BUFGCE_O [get_bd_pins rfdc_clk] [get_bd_pins rfdc_clk_1x_buf/BUFGCE_O]
+ connect_bd_net -net rfdc_clk_2x_buf_BUFGCE_O [get_bd_pins rfdc_clk_2x] [get_bd_pins rfdc_clk_2x_buf/BUFGCE_O]
+ connect_bd_net -net rfdc_clk_2x_ce_1 [get_bd_pins rfdc_clk_2x_ce] [get_bd_pins rfdc_clk_2x_buf/BUFGCE_CE]
+ connect_bd_net -net rfdc_clk_ce_1 [get_bd_pins rfdc_clk_ce] [get_bd_pins rfdc_clk_1x_buf/BUFGCE_CE]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+# Hierarchical cell: calibration_muxes
+proc create_hier_cell_calibration_muxes { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_calibration_muxes() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI_1
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile228_ch0_din
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile228_ch1_din
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile229_ch0_din
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile229_ch1_din
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_0_0
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_1_0
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_2_0
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_3_0
+
+
+ # Create pins
+ create_bd_pin -dir I -type clk s_axi_aclk_0
+ create_bd_pin -dir I -type rst s_axi_config_aresetn
+ create_bd_pin -dir I -type clk s_axi_config_clk
+
+ # Create instance: axi_gpio_data, and set properties
+ set axi_gpio_data [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_data ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_OUTPUTS {1} \
+ CONFIG.C_ALL_OUTPUTS_2 {1} \
+ CONFIG.C_GPIO2_WIDTH {8} \
+ CONFIG.C_IS_DUAL {1} \
+ ] $axi_gpio_data
+
+ # Create instance: gpio_to_axis_mux_0, and set properties
+ set block_name gpio_to_axis_mux
+ set block_cell_name gpio_to_axis_mux_0
+ if { [catch {set gpio_to_axis_mux_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $gpio_to_axis_mux_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+ set_property -dict [ list \
+ CONFIG.kGpioWidth {32} \
+ ] $gpio_to_axis_mux_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins m_axis_0_0] [get_bd_intf_pins gpio_to_axis_mux_0/m_axis_0]
+ connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins m_axis_1_0] [get_bd_intf_pins gpio_to_axis_mux_0/m_axis_1]
+ connect_bd_intf_net -intf_net Conn3 [get_bd_intf_pins m_axis_2_0] [get_bd_intf_pins gpio_to_axis_mux_0/m_axis_2]
+ connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins m_axis_3_0] [get_bd_intf_pins gpio_to_axis_mux_0/m_axis_3]
+ connect_bd_intf_net -intf_net Conn5 [get_bd_intf_pins dac_tile228_ch0_din] [get_bd_intf_pins gpio_to_axis_mux_0/s_axis_0]
+ connect_bd_intf_net -intf_net Conn6 [get_bd_intf_pins dac_tile228_ch1_din] [get_bd_intf_pins gpio_to_axis_mux_0/s_axis_1]
+ connect_bd_intf_net -intf_net Conn7 [get_bd_intf_pins dac_tile229_ch0_din] [get_bd_intf_pins gpio_to_axis_mux_0/s_axis_2]
+ connect_bd_intf_net -intf_net Conn8 [get_bd_intf_pins dac_tile229_ch1_din] [get_bd_intf_pins gpio_to_axis_mux_0/s_axis_3]
+ connect_bd_intf_net -intf_net Conn10 [get_bd_intf_pins S_AXI_1] [get_bd_intf_pins axi_gpio_data/S_AXI]
+
+ # Create port connections
+ connect_bd_net -net Net [get_bd_pins s_axi_config_clk] [get_bd_pins axi_gpio_data/s_axi_aclk]
+ connect_bd_net -net Net1 [get_bd_pins s_axi_config_aresetn] [get_bd_pins axi_gpio_data/s_axi_aresetn]
+ connect_bd_net -net axi_gpio_0_gpio_io_o [get_bd_pins axi_gpio_data/gpio_io_o] [get_bd_pins gpio_to_axis_mux_0/gpio]
+ connect_bd_net -net axi_gpio_data_gpio2_io_o [get_bd_pins axi_gpio_data/gpio2_io_o] [get_bd_pins gpio_to_axis_mux_0/mux_select]
+ connect_bd_net -net s_axi_aclk_0_1 [get_bd_pins s_axi_aclk_0] [get_bd_pins gpio_to_axis_mux_0/m_axis_0_aclk] [get_bd_pins gpio_to_axis_mux_0/m_axis_1_aclk] [get_bd_pins gpio_to_axis_mux_0/m_axis_2_aclk] [get_bd_pins gpio_to_axis_mux_0/m_axis_3_aclk] [get_bd_pins gpio_to_axis_mux_0/s_axis_0_aclk] [get_bd_pins gpio_to_axis_mux_0/s_axis_1_aclk] [get_bd_pins gpio_to_axis_mux_0/s_axis_2_aclk] [get_bd_pins gpio_to_axis_mux_0/s_axis_3_aclk]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+# Hierarchical cell: ThresholdRegister
+proc create_hier_cell_ThresholdRegister { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_ThresholdRegister() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 S_AXI
+
+
+ # Create pins
+ create_bd_pin -dir I -from 0 -to 0 In0
+ create_bd_pin -dir I -from 0 -to 0 In1
+ create_bd_pin -dir I -from 0 -to 0 In2
+ create_bd_pin -dir I -from 0 -to 0 In3
+ create_bd_pin -dir I -from 0 -to 0 In5
+ create_bd_pin -dir I -from 0 -to 0 In6
+ create_bd_pin -dir I -from 0 -to 0 In7
+ create_bd_pin -dir I -from 0 -to 0 In8
+ create_bd_pin -dir I -type rst s_axi_config_aresetn
+ create_bd_pin -dir I -type clk s_axi_config_clk
+
+ # Create instance: axi_gpio_0, and set properties
+ set axi_gpio_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_0 ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_INPUTS {1} \
+ CONFIG.C_GPIO_WIDTH {12} \
+ ] $axi_gpio_0
+
+ # Create instance: xlconcat_0, and set properties
+ set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ]
+ set_property -dict [ list \
+ CONFIG.NUM_PORTS {9} \
+ ] $xlconcat_0
+
+ # Create instance: xlconstant_0, and set properties
+ set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ]
+ set_property -dict [ list \
+ CONFIG.CONST_WIDTH {4} \
+ ] $xlconstant_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins S_AXI] [get_bd_intf_pins axi_gpio_0/S_AXI]
+
+ # Create port connections
+ connect_bd_net -net In0_1 [get_bd_pins In0] [get_bd_pins xlconcat_0/In0]
+ connect_bd_net -net In1_1 [get_bd_pins In1] [get_bd_pins xlconcat_0/In1]
+ connect_bd_net -net In2_1 [get_bd_pins In2] [get_bd_pins xlconcat_0/In2]
+ connect_bd_net -net In3_1 [get_bd_pins In3] [get_bd_pins xlconcat_0/In3]
+ connect_bd_net -net In5_1 [get_bd_pins In5] [get_bd_pins xlconcat_0/In5]
+ connect_bd_net -net In6_1 [get_bd_pins In6] [get_bd_pins xlconcat_0/In6]
+ connect_bd_net -net In7_1 [get_bd_pins In7] [get_bd_pins xlconcat_0/In7]
+ connect_bd_net -net In8_1 [get_bd_pins In8] [get_bd_pins xlconcat_0/In8]
+ connect_bd_net -net s_axi_config_aresetn_1 [get_bd_pins s_axi_config_aresetn] [get_bd_pins axi_gpio_0/s_axi_aresetn]
+ connect_bd_net -net s_axi_config_clk_1 [get_bd_pins s_axi_config_clk] [get_bd_pins axi_gpio_0/s_axi_aclk]
+ connect_bd_net -net xlconcat_0_dout [get_bd_pins axi_gpio_0/gpio_io_i] [get_bd_pins xlconcat_0/dout]
+ connect_bd_net -net xlconstant_0_dout [get_bd_pins xlconcat_0/In4] [get_bd_pins xlconstant_0/dout]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+# Hierarchical cell: eth_dma_internal
+proc create_hier_cell_eth_dma_internal { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_eth_dma_internal() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_to_ps
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_eth_dma
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_eth_dma_ctrl
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_eth_dma
+
+
+ # Create pins
+ create_bd_pin -dir I -type clk bus_clk
+ create_bd_pin -dir I -type rst bus_rstn
+ create_bd_pin -dir I -type clk clk40
+ create_bd_pin -dir I -type rst clk40_rstn
+ create_bd_pin -dir O -from 1 -to 0 irq
+
+ # Create instance: axi_eth_dma_internal, and set properties
+ set axi_eth_dma_internal [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_dma:7.1 axi_eth_dma_internal ]
+ set_property -dict [ list \
+ CONFIG.c_addr_width {36} \
+ CONFIG.c_enable_multi_channel {0} \
+ CONFIG.c_include_mm2s_dre {1} \
+ CONFIG.c_include_s2mm {1} \
+ CONFIG.c_include_s2mm_dre {1} \
+ CONFIG.c_m_axi_mm2s_data_width {128} \
+ CONFIG.c_m_axi_s2mm_data_width {128} \
+ CONFIG.c_m_axis_mm2s_tdata_width {64} \
+ CONFIG.c_micro_dma {0} \
+ CONFIG.c_mm2s_burst_size {16} \
+ CONFIG.c_s2mm_burst_size {16} \
+ CONFIG.c_sg_include_stscntrl_strm {0} \
+ ] $axi_eth_dma_internal
+
+ # Create instance: pl_ps_irq1_concat, and set properties
+ set pl_ps_irq1_concat [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 pl_ps_irq1_concat ]
+ set_property -dict [ list \
+ CONFIG.NUM_PORTS {2} \
+ ] $pl_ps_irq1_concat
+
+ # Create instance: smartconnect_dma, and set properties
+ set smartconnect_dma [ create_bd_cell -type ip -vlnv xilinx.com:ip:smartconnect:1.0 smartconnect_dma ]
+ set_property -dict [ list \
+ CONFIG.NUM_SI {3} \
+ ] $smartconnect_dma
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net Conn3 [get_bd_intf_pins m_axis_eth_dma] [get_bd_intf_pins axi_eth_dma_internal/M_AXIS_MM2S]
+ connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins s_axis_eth_dma] [get_bd_intf_pins axi_eth_dma_internal/S_AXIS_S2MM]
+ connect_bd_intf_net -intf_net axi_eth_dma_internal_M_AXI_MM2S [get_bd_intf_pins axi_eth_dma_internal/M_AXI_MM2S] [get_bd_intf_pins smartconnect_dma/S01_AXI]
+ connect_bd_intf_net -intf_net axi_eth_dma_internal_M_AXI_S2MM [get_bd_intf_pins axi_eth_dma_internal/M_AXI_S2MM] [get_bd_intf_pins smartconnect_dma/S02_AXI]
+ connect_bd_intf_net -intf_net axi_eth_dma_internal_M_AXI_SG [get_bd_intf_pins axi_eth_dma_internal/M_AXI_SG] [get_bd_intf_pins smartconnect_dma/S00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_eth_dma_ctrl [get_bd_intf_pins s_axi_eth_dma_ctrl] [get_bd_intf_pins axi_eth_dma_internal/S_AXI_LITE]
+ connect_bd_intf_net -intf_net smartconnect_0_M00_AXI [get_bd_intf_pins m_axi_to_ps] [get_bd_intf_pins smartconnect_dma/M00_AXI]
+
+ # Create port connections
+ connect_bd_net -net axi_eth_dma_internal_mm2s_introut [get_bd_pins axi_eth_dma_internal/mm2s_introut] [get_bd_pins pl_ps_irq1_concat/In0]
+ connect_bd_net -net axi_eth_dma_internal_s2mm_introut [get_bd_pins axi_eth_dma_internal/s2mm_introut] [get_bd_pins pl_ps_irq1_concat/In1]
+ connect_bd_net -net bus_rstn_1 [get_bd_pins bus_rstn] [get_bd_pins smartconnect_dma/aresetn]
+ connect_bd_net -net clk40_1 [get_bd_pins clk40] [get_bd_pins axi_eth_dma_internal/s_axi_lite_aclk]
+ connect_bd_net -net clk40_rstn_1 [get_bd_pins clk40_rstn] [get_bd_pins axi_eth_dma_internal/axi_resetn]
+ connect_bd_net -net m_axi_sg_aclk_0_1 [get_bd_pins bus_clk] [get_bd_pins axi_eth_dma_internal/m_axi_mm2s_aclk] [get_bd_pins axi_eth_dma_internal/m_axi_s2mm_aclk] [get_bd_pins axi_eth_dma_internal/m_axi_sg_aclk] [get_bd_pins smartconnect_dma/aclk]
+ connect_bd_net -net xlconcat_0_dout [get_bd_pins irq] [get_bd_pins pl_ps_irq1_concat/dout]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+# Hierarchical cell: axi_interconnect_common
+proc create_hier_cell_axi_interconnect_common { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_axi_interconnect_common() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_app
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_core
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_eth_dma_ctrl
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_eth_internal
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_jtag
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mpm_ep
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_rf
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_rpu
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_common
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_lpd
+
+
+ # Create pins
+ create_bd_pin -dir I -type clk clk40
+ create_bd_pin -dir I -type rst clk40_rstn
+
+ # Create instance: axi_interconnect_0, and set properties
+ set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ]
+ set_property -dict [ list \
+ CONFIG.NUM_MI {7} \
+ ] $axi_interconnect_0
+
+ # Create instance: axi_protocol_convert_0, and set properties
+ set axi_protocol_convert_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_protocol_converter:2.1 axi_protocol_convert_0 ]
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins m_axi_jtag] [get_bd_intf_pins axi_interconnect_0/M01_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins m_axi_app] [get_bd_intf_pins axi_interconnect_0/M00_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M02_AXI [get_bd_intf_pins m_axi_rf] [get_bd_intf_pins axi_interconnect_0/M02_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M03_AXI [get_bd_intf_pins m_axi_mpm_ep] [get_bd_intf_pins axi_interconnect_0/M03_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M04_AXI [get_bd_intf_pins m_axi_core] [get_bd_intf_pins axi_interconnect_0/M04_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M05_AXI [get_bd_intf_pins m_axi_eth_dma_ctrl] [get_bd_intf_pins axi_interconnect_0/M05_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M06_AXI [get_bd_intf_pins m_axi_eth_internal] [get_bd_intf_pins axi_interconnect_0/M06_AXI]
+ connect_bd_intf_net -intf_net axi_protocol_convert_0_M_AXI [get_bd_intf_pins m_axi_rpu] [get_bd_intf_pins axi_protocol_convert_0/M_AXI]
+ connect_bd_intf_net -intf_net inst_zynq_ps_M_AXI_HPM0_FPD [get_bd_intf_pins s_axi_common] [get_bd_intf_pins axi_interconnect_0/S00_AXI]
+ connect_bd_intf_net -intf_net s_axi_lpd_1 [get_bd_intf_pins s_axi_lpd] [get_bd_intf_pins axi_protocol_convert_0/S_AXI]
+
+ # Create port connections
+ connect_bd_net -net M01_ARESETN_1 [get_bd_pins clk40_rstn] [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/M05_ARESETN] [get_bd_pins axi_interconnect_0/M06_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_protocol_convert_0/aresetn]
+ connect_bd_net -net clk40_1 [get_bd_pins clk40] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/M05_ACLK] [get_bd_pins axi_interconnect_0/M06_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_protocol_convert_0/aclk]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+# Hierarchical cell: rfdc
+proc create_hier_cell_rfdc { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_rfdc() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc0_clk
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc2_clk
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch0_dout_i
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch0_dout_q
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile224_ch0_vin
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch1_dout_i
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch1_dout_q
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile224_ch1_vin
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch0_dout_i
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch0_dout_q
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile226_ch0_vin
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch1_dout_i
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch1_dout_q
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile226_ch1_vin
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac0_clk
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac1_clk
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile228_ch0_din
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile228_ch0_vout
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile228_ch1_din
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile228_ch1_vout
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile229_ch0_din
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile229_ch0_vout
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile229_ch1_din
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile229_ch1_vout
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_config
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:display_usp_rf_data_converter:diff_pins_rtl:1.0 sysref_rf_in
+
+
+ # Create pins
+ create_bd_pin -dir O adc_data_out_resetn_dclk
+ create_bd_pin -dir O adc_enable_data_rclk
+ create_bd_pin -dir I adc_reset_pulse_dclk
+ create_bd_pin -dir O adc_rfdc_axi_resetn_rclk
+ create_bd_pin -dir O dac_data_in_resetn_dclk
+ create_bd_pin -dir O dac_data_in_resetn_dclk2x
+ create_bd_pin -dir O dac_data_in_resetn_rclk
+ create_bd_pin -dir O dac_data_in_resetn_rclk2x
+ create_bd_pin -dir I dac_reset_pulse_dclk
+ create_bd_pin -dir O -type clk data_clk
+ create_bd_pin -dir O -type clk data_clk_2x
+ create_bd_pin -dir O data_clock_locked
+ create_bd_pin -dir I enable_gated_clocks_clk40
+ create_bd_pin -dir I enable_sysref_rclk
+ create_bd_pin -dir O fir_resetn_rclk2x
+ create_bd_pin -dir O gated_base_clks_valid_clk40
+ create_bd_pin -dir O -from 7 -to 0 invert_adc_iq_rclk2
+ create_bd_pin -dir O -from 7 -to 0 invert_dac_iq_rclk2
+ create_bd_pin -dir O nco_reset_done_dclk
+ create_bd_pin -dir I -type clk pll_ref_clk_in
+ create_bd_pin -dir O -type clk pll_ref_clk_out
+ create_bd_pin -dir I -from 31 -to 0 rf_axi_status_sclk
+ create_bd_pin -dir I -from 31 -to 0 rf_dsp_info_sclk
+ create_bd_pin -dir O -from 0 -to 0 rfdc_clk
+ create_bd_pin -dir O -from 0 -to 0 rfdc_clk_2x
+ create_bd_pin -dir O -type intr rfdc_irq
+ create_bd_pin -dir I -type rst s_axi_config_aresetn
+ create_bd_pin -dir I -type clk s_axi_config_clk
+ create_bd_pin -dir I start_nco_reset_dclk
+ create_bd_pin -dir O sysref_out_pclk
+ create_bd_pin -dir O sysref_out_rclk
+ create_bd_pin -dir I sysref_pl_in
+
+ # Create instance: ThresholdRegister
+ create_hier_cell_ThresholdRegister $hier_obj ThresholdRegister
+
+ # Create instance: axi_interconnect_rf, and set properties
+ set axi_interconnect_rf [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_rf ]
+ set_property -dict [ list \
+ CONFIG.ENABLE_ADVANCED_OPTIONS {0} \
+ CONFIG.NUM_MI {9} \
+ CONFIG.STRATEGY {1} \
+ ] $axi_interconnect_rf
+
+ # Create instance: calibration_muxes
+ create_hier_cell_calibration_muxes $hier_obj calibration_muxes
+
+ # Create instance: capture_sysref, and set properties
+ set block_name capture_sysref
+ set block_cell_name capture_sysref
+ if { [catch {set capture_sysref [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $capture_sysref eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {61440000} \
+ CONFIG.PHASE {0} \
+ CONFIG.CLK_DOMAIN {x4xx_ps_rfdc_bd_pll_ref_clk_in} \
+ ] [get_bd_pins /rfdc/capture_sysref/pll_ref_clk]
+
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.PHASE {0} \
+ CONFIG.CLK_DOMAIN {x4xx_ps_rfdc_bd_pll_ref_clk_in} \
+ ] [get_bd_pins /rfdc/capture_sysref/rfdc_clk]
+
+ # Create instance: clock_gates_0, and set properties
+ set block_name clock_gates
+ set block_cell_name clock_gates_0
+ if { [catch {set clock_gates_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $clock_gates_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: const_1, and set properties
+ set const_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 const_1 ]
+
+ # Create instance: data_clock_mmcm, and set properties
+ set data_clock_mmcm [ create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 data_clock_mmcm ]
+ set_property -dict [ list \
+ CONFIG.AXI_DRP {true} \
+ CONFIG.CLKIN1_JITTER_PS {162.76} \
+ CONFIG.CLKOUT1_DRIVES {Buffer} \
+ CONFIG.CLKOUT1_JITTER {116.960} \
+ CONFIG.CLKOUT1_PHASE_ERROR {124.626} \
+ CONFIG.CLKOUT1_REQUESTED_OUT_FREQ {61.44} \
+ CONFIG.CLKOUT2_DRIVES {Buffer} \
+ CONFIG.CLKOUT2_JITTER {104.559} \
+ CONFIG.CLKOUT2_PHASE_ERROR {124.626} \
+ CONFIG.CLKOUT2_REQUESTED_OUT_FREQ {122.88} \
+ CONFIG.CLKOUT2_USED {true} \
+ CONFIG.CLKOUT3_DRIVES {Buffer} \
+ CONFIG.CLKOUT3_JITTER {98.017} \
+ CONFIG.CLKOUT3_PHASE_ERROR {124.626} \
+ CONFIG.CLKOUT3_REQUESTED_OUT_FREQ {184.32} \
+ CONFIG.CLKOUT3_USED {true} \
+ CONFIG.CLKOUT4_DRIVES {Buffer} \
+ CONFIG.CLKOUT4_JITTER {93.671} \
+ CONFIG.CLKOUT4_PHASE_ERROR {124.626} \
+ CONFIG.CLKOUT4_REQUESTED_OUT_FREQ {245.76} \
+ CONFIG.CLKOUT4_USED {true} \
+ CONFIG.CLKOUT5_DRIVES {Buffer} \
+ CONFIG.CLKOUT5_JITTER {87.938} \
+ CONFIG.CLKOUT5_PHASE_ERROR {124.626} \
+ CONFIG.CLKOUT5_REQUESTED_OUT_FREQ {368.64} \
+ CONFIG.CLKOUT5_USED {true} \
+ CONFIG.CLKOUT6_DRIVES {Buffer} \
+ CONFIG.CLKOUT7_DRIVES {Buffer} \
+ CONFIG.CLK_OUT1_PORT {pll_ref_clk_out} \
+ CONFIG.CLK_OUT2_PORT {data_clk} \
+ CONFIG.CLK_OUT3_PORT {rfdc_clk} \
+ CONFIG.CLK_OUT4_PORT {data_clk_2x} \
+ CONFIG.CLK_OUT5_PORT {rfdc_clk_2x} \
+ CONFIG.ENABLE_CLOCK_MONITOR {false} \
+ CONFIG.FEEDBACK_SOURCE {FDBK_AUTO} \
+ CONFIG.MMCM_CLKFBOUT_MULT_F {24.000} \
+ CONFIG.MMCM_CLKIN1_PERIOD {16.276} \
+ CONFIG.MMCM_CLKIN2_PERIOD {10.0} \
+ CONFIG.MMCM_CLKOUT0_DIVIDE_F {24.000} \
+ CONFIG.MMCM_CLKOUT1_DIVIDE {12} \
+ CONFIG.MMCM_CLKOUT2_DIVIDE {8} \
+ CONFIG.MMCM_CLKOUT3_DIVIDE {6} \
+ CONFIG.MMCM_CLKOUT4_DIVIDE {4} \
+ CONFIG.MMCM_DIVCLK_DIVIDE {1} \
+ CONFIG.NUM_OUT_CLKS {5} \
+ CONFIG.PHASE_DUTY_CONFIG {false} \
+ CONFIG.PRIMITIVE {MMCM} \
+ CONFIG.PRIM_IN_FREQ {61.44} \
+ CONFIG.PRIM_SOURCE {No_buffer} \
+ CONFIG.SECONDARY_SOURCE {Single_ended_clock_capable_pin} \
+ CONFIG.USE_CLKFB_STOPPED {false} \
+ CONFIG.USE_DYN_RECONFIG {true} \
+ CONFIG.USE_INCLK_STOPPED {false} \
+ CONFIG.USE_LOCKED {true} \
+ CONFIG.USE_PHASE_ALIGNMENT {true} \
+ CONFIG.USE_POWER_DOWN {false} \
+ CONFIG.USE_RESET {true} \
+ CONFIG.USE_SAFE_CLOCK_STARTUP {false} \
+ ] $data_clock_mmcm
+
+ # Create instance: reg_clock_gate_control, and set properties
+ set reg_clock_gate_control [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 reg_clock_gate_control ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_INPUTS_2 {1} \
+ CONFIG.C_ALL_OUTPUTS {1} \
+ CONFIG.C_GPIO_WIDTH {32} \
+ CONFIG.C_IS_DUAL {1} \
+ ] $reg_clock_gate_control
+
+ # Create instance: reg_invert_iq, and set properties
+ set reg_invert_iq [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 reg_invert_iq ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_OUTPUTS {1} \
+ ] $reg_invert_iq
+
+ # Create instance: reg_reset_mmcm, and set properties
+ set reg_reset_mmcm [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 reg_reset_mmcm ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_OUTPUTS {1} \
+ CONFIG.C_GPIO_WIDTH {1} \
+ ] $reg_reset_mmcm
+
+ # Create instance: reg_rf_axi_status, and set properties
+ set reg_rf_axi_status [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 reg_rf_axi_status ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_INPUTS {1} \
+ CONFIG.C_ALL_INPUTS_2 {1} \
+ CONFIG.C_ALL_OUTPUTS {0} \
+ CONFIG.C_GPIO_WIDTH {32} \
+ CONFIG.C_IS_DUAL {1} \
+ ] $reg_rf_axi_status
+
+ # Create instance: reg_rf_reset_control, and set properties
+ set reg_rf_reset_control [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 reg_rf_reset_control ]
+ set_property -dict [ list \
+ CONFIG.C_ALL_INPUTS_2 {1} \
+ CONFIG.C_ALL_OUTPUTS {1} \
+ CONFIG.C_GPIO_WIDTH {32} \
+ CONFIG.C_IS_DUAL {1} \
+ ] $reg_rf_reset_control
+
+ # Create instance: rf_clock_buffers
+ create_hier_cell_rf_clock_buffers $hier_obj rf_clock_buffers
+
+ # Create instance: rf_data_converter, and set properties
+ set rf_data_converter [ create_bd_cell -type ip -vlnv xilinx.com:ip:usp_rf_data_converter:2.1 rf_data_converter ]
+ set_property -dict [ list \
+ CONFIG.ADC0_Enable {1} \
+ CONFIG.ADC0_Fabric_Freq {184.320} \
+ CONFIG.ADC0_Multi_Tile_Sync {true} \
+ CONFIG.ADC0_Outclk_Freq {184.320} \
+ CONFIG.ADC0_Refclk_Freq {2949.120} \
+ CONFIG.ADC0_Sampling_Rate {2.94912} \
+ CONFIG.ADC1_Enable {0} \
+ CONFIG.ADC1_Fabric_Freq {0.0} \
+ CONFIG.ADC1_Multi_Tile_Sync {false} \
+ CONFIG.ADC1_Outclk_Freq {15.625} \
+ CONFIG.ADC1_Refclk_Freq {2000.000} \
+ CONFIG.ADC1_Sampling_Rate {2.0} \
+ CONFIG.ADC224_En {true} \
+ CONFIG.ADC225_En {false} \
+ CONFIG.ADC2_Enable {1} \
+ CONFIG.ADC2_Fabric_Freq {184.320} \
+ CONFIG.ADC2_Multi_Tile_Sync {true} \
+ CONFIG.ADC2_Outclk_Freq {184.320} \
+ CONFIG.ADC2_Refclk_Freq {2949.120} \
+ CONFIG.ADC2_Sampling_Rate {2.94912} \
+ CONFIG.ADC_Data_Type00 {1} \
+ CONFIG.ADC_Data_Type01 {1} \
+ CONFIG.ADC_Data_Type02 {1} \
+ CONFIG.ADC_Data_Type03 {1} \
+ CONFIG.ADC_Data_Type10 {0} \
+ CONFIG.ADC_Data_Type11 {0} \
+ CONFIG.ADC_Data_Type12 {0} \
+ CONFIG.ADC_Data_Type13 {0} \
+ CONFIG.ADC_Data_Type20 {1} \
+ CONFIG.ADC_Data_Type21 {1} \
+ CONFIG.ADC_Data_Type22 {1} \
+ CONFIG.ADC_Data_Type23 {1} \
+ CONFIG.ADC_Data_Width00 {8} \
+ CONFIG.ADC_Data_Width01 {8} \
+ CONFIG.ADC_Data_Width02 {8} \
+ CONFIG.ADC_Data_Width03 {8} \
+ CONFIG.ADC_Data_Width10 {8} \
+ CONFIG.ADC_Data_Width11 {8} \
+ CONFIG.ADC_Data_Width12 {8} \
+ CONFIG.ADC_Data_Width13 {8} \
+ CONFIG.ADC_Data_Width20 {8} \
+ CONFIG.ADC_Data_Width21 {8} \
+ CONFIG.ADC_Data_Width22 {8} \
+ CONFIG.ADC_Data_Width23 {8} \
+ CONFIG.ADC_Debug {false} \
+ CONFIG.ADC_Decimation_Mode00 {2} \
+ CONFIG.ADC_Decimation_Mode01 {2} \
+ CONFIG.ADC_Decimation_Mode02 {2} \
+ CONFIG.ADC_Decimation_Mode03 {2} \
+ CONFIG.ADC_Decimation_Mode10 {0} \
+ CONFIG.ADC_Decimation_Mode11 {0} \
+ CONFIG.ADC_Decimation_Mode12 {0} \
+ CONFIG.ADC_Decimation_Mode13 {0} \
+ CONFIG.ADC_Decimation_Mode20 {2} \
+ CONFIG.ADC_Decimation_Mode21 {2} \
+ CONFIG.ADC_Decimation_Mode22 {2} \
+ CONFIG.ADC_Decimation_Mode23 {2} \
+ CONFIG.ADC_Dither00 {false} \
+ CONFIG.ADC_Dither01 {false} \
+ CONFIG.ADC_Dither02 {false} \
+ CONFIG.ADC_Dither03 {false} \
+ CONFIG.ADC_Dither10 {true} \
+ CONFIG.ADC_Dither11 {true} \
+ CONFIG.ADC_Dither12 {true} \
+ CONFIG.ADC_Dither13 {true} \
+ CONFIG.ADC_Dither20 {false} \
+ CONFIG.ADC_Dither21 {false} \
+ CONFIG.ADC_Dither22 {false} \
+ CONFIG.ADC_Dither23 {false} \
+ CONFIG.ADC_Mixer_Mode00 {0} \
+ CONFIG.ADC_Mixer_Mode01 {0} \
+ CONFIG.ADC_Mixer_Mode02 {0} \
+ CONFIG.ADC_Mixer_Mode03 {0} \
+ CONFIG.ADC_Mixer_Mode10 {2} \
+ CONFIG.ADC_Mixer_Mode11 {2} \
+ CONFIG.ADC_Mixer_Mode12 {2} \
+ CONFIG.ADC_Mixer_Mode13 {2} \
+ CONFIG.ADC_Mixer_Mode20 {0} \
+ CONFIG.ADC_Mixer_Mode21 {0} \
+ CONFIG.ADC_Mixer_Mode22 {0} \
+ CONFIG.ADC_Mixer_Mode23 {0} \
+ CONFIG.ADC_Mixer_Type00 {2} \
+ CONFIG.ADC_Mixer_Type01 {2} \
+ CONFIG.ADC_Mixer_Type02 {2} \
+ CONFIG.ADC_Mixer_Type03 {2} \
+ CONFIG.ADC_Mixer_Type10 {3} \
+ CONFIG.ADC_Mixer_Type11 {3} \
+ CONFIG.ADC_Mixer_Type12 {3} \
+ CONFIG.ADC_Mixer_Type13 {3} \
+ CONFIG.ADC_Mixer_Type20 {2} \
+ CONFIG.ADC_Mixer_Type21 {2} \
+ CONFIG.ADC_Mixer_Type22 {2} \
+ CONFIG.ADC_Mixer_Type23 {2} \
+ CONFIG.ADC_NCO_Freq00 {0.200} \
+ CONFIG.ADC_NCO_Freq01 {0.200} \
+ CONFIG.ADC_NCO_Freq02 {0.200} \
+ CONFIG.ADC_NCO_Freq03 {0.200} \
+ CONFIG.ADC_NCO_Freq10 {0.0} \
+ CONFIG.ADC_NCO_Freq11 {0.0} \
+ CONFIG.ADC_NCO_Freq12 {0.0} \
+ CONFIG.ADC_NCO_Freq13 {0.0} \
+ CONFIG.ADC_NCO_Freq20 {0.200} \
+ CONFIG.ADC_NCO_Freq21 {0.200} \
+ CONFIG.ADC_NCO_Freq22 {0.200} \
+ CONFIG.ADC_NCO_Freq23 {0.200} \
+ CONFIG.ADC_NCO_Freq30 {0.0} \
+ CONFIG.ADC_NCO_Freq31 {0.0} \
+ CONFIG.ADC_NCO_RTS {true} \
+ CONFIG.ADC_RTS {true} \
+ CONFIG.ADC_Slice00_Enable {true} \
+ CONFIG.ADC_Slice01_Enable {true} \
+ CONFIG.ADC_Slice02_Enable {true} \
+ CONFIG.ADC_Slice03_Enable {true} \
+ CONFIG.ADC_Slice10_Enable {false} \
+ CONFIG.ADC_Slice11_Enable {false} \
+ CONFIG.ADC_Slice12_Enable {false} \
+ CONFIG.ADC_Slice13_Enable {false} \
+ CONFIG.ADC_Slice20_Enable {true} \
+ CONFIG.ADC_Slice21_Enable {true} \
+ CONFIG.ADC_Slice22_Enable {true} \
+ CONFIG.ADC_Slice23_Enable {true} \
+ CONFIG.Axiclk_Freq {40} \
+ CONFIG.Calibration_Freeze {true} \
+ CONFIG.Converter_Setup {1} \
+ CONFIG.DAC0_Band {0} \
+ CONFIG.DAC0_Enable {1} \
+ CONFIG.DAC0_Fabric_Freq {184.320} \
+ CONFIG.DAC0_Multi_Tile_Sync {true} \
+ CONFIG.DAC0_Outclk_Freq {184.320} \
+ CONFIG.DAC0_Refclk_Freq {2949.120} \
+ CONFIG.DAC0_Sampling_Rate {2.94912} \
+ CONFIG.DAC1_Enable {1} \
+ CONFIG.DAC1_Fabric_Freq {184.320} \
+ CONFIG.DAC1_Multi_Tile_Sync {true} \
+ CONFIG.DAC1_Outclk_Freq {184.320} \
+ CONFIG.DAC1_Refclk_Freq {2949.120} \
+ CONFIG.DAC1_Sampling_Rate {2.94912} \
+ CONFIG.DAC228_En {true} \
+ CONFIG.DAC_Data_Type00 {0} \
+ CONFIG.DAC_Data_Type01 {0} \
+ CONFIG.DAC_Data_Width00 {16} \
+ CONFIG.DAC_Data_Width01 {16} \
+ CONFIG.DAC_Data_Width02 {16} \
+ CONFIG.DAC_Data_Width03 {16} \
+ CONFIG.DAC_Data_Width10 {16} \
+ CONFIG.DAC_Data_Width11 {16} \
+ CONFIG.DAC_Debug {false} \
+ CONFIG.DAC_Interpolation_Mode00 {2} \
+ CONFIG.DAC_Interpolation_Mode01 {2} \
+ CONFIG.DAC_Interpolation_Mode02 {0} \
+ CONFIG.DAC_Interpolation_Mode03 {0} \
+ CONFIG.DAC_Interpolation_Mode10 {2} \
+ CONFIG.DAC_Interpolation_Mode11 {2} \
+ CONFIG.DAC_Invsinc_Ctrl00 {false} \
+ CONFIG.DAC_Mixer_Mode00 {0} \
+ CONFIG.DAC_Mixer_Mode01 {0} \
+ CONFIG.DAC_Mixer_Mode02 {2} \
+ CONFIG.DAC_Mixer_Mode03 {2} \
+ CONFIG.DAC_Mixer_Mode10 {0} \
+ CONFIG.DAC_Mixer_Mode11 {0} \
+ CONFIG.DAC_Mixer_Mode13 {2} \
+ CONFIG.DAC_Mixer_Mode20 {2} \
+ CONFIG.DAC_Mixer_Mode21 {2} \
+ CONFIG.DAC_Mixer_Mode30 {2} \
+ CONFIG.DAC_Mixer_Mode31 {2} \
+ CONFIG.DAC_Mixer_Type00 {2} \
+ CONFIG.DAC_Mixer_Type01 {2} \
+ CONFIG.DAC_Mixer_Type02 {3} \
+ CONFIG.DAC_Mixer_Type03 {3} \
+ CONFIG.DAC_Mixer_Type10 {2} \
+ CONFIG.DAC_Mixer_Type11 {2} \
+ CONFIG.DAC_NCO_Freq00 {0.200} \
+ CONFIG.DAC_NCO_Freq01 {0.200} \
+ CONFIG.DAC_NCO_Freq10 {0.200} \
+ CONFIG.DAC_NCO_Freq11 {0.200} \
+ CONFIG.DAC_NCO_RTS {true} \
+ CONFIG.DAC_Output_Current {1} \
+ CONFIG.DAC_RTS {false} \
+ CONFIG.DAC_Slice00_Enable {true} \
+ CONFIG.DAC_Slice01_Enable {true} \
+ CONFIG.DAC_Slice02_Enable {false} \
+ CONFIG.DAC_Slice03_Enable {false} \
+ CONFIG.DAC_Slice10_Enable {true} \
+ CONFIG.DAC_Slice11_Enable {true} \
+ CONFIG.mADC_Data_Type00 {0} \
+ CONFIG.mADC_Data_Type01 {0} \
+ CONFIG.mADC_Data_Type02 {0} \
+ CONFIG.mADC_Data_Type03 {0} \
+ CONFIG.mADC_Data_Width00 {8} \
+ CONFIG.mADC_Data_Width01 {8} \
+ CONFIG.mADC_Data_Width02 {8} \
+ CONFIG.mADC_Data_Width03 {8} \
+ CONFIG.mADC_Decimation_Mode00 {0} \
+ CONFIG.mADC_Decimation_Mode01 {0} \
+ CONFIG.mADC_Decimation_Mode02 {0} \
+ CONFIG.mADC_Decimation_Mode03 {0} \
+ CONFIG.mADC_Dither00 {true} \
+ CONFIG.mADC_Dither01 {true} \
+ CONFIG.mADC_Dither02 {true} \
+ CONFIG.mADC_Dither03 {true} \
+ CONFIG.mADC_Enable {0} \
+ CONFIG.mADC_Fabric_Freq {0.0} \
+ CONFIG.mADC_Mixer_Mode00 {2} \
+ CONFIG.mADC_Mixer_Mode01 {2} \
+ CONFIG.mADC_Mixer_Mode02 {2} \
+ CONFIG.mADC_Mixer_Mode03 {2} \
+ CONFIG.mADC_Mixer_Type00 {3} \
+ CONFIG.mADC_Mixer_Type01 {3} \
+ CONFIG.mADC_Mixer_Type02 {3} \
+ CONFIG.mADC_Mixer_Type03 {3} \
+ CONFIG.mADC_Multi_Tile_Sync {false} \
+ CONFIG.mADC_NCO_Freq02 {0.0} \
+ CONFIG.mADC_NCO_Freq03 {0.0} \
+ CONFIG.mADC_Outclk_Freq {15.625} \
+ CONFIG.mADC_Refclk_Freq {2000.000} \
+ CONFIG.mADC_Sampling_Rate {2.0} \
+ CONFIG.mADC_Slice00_Enable {false} \
+ CONFIG.mADC_Slice01_Enable {false} \
+ CONFIG.mADC_Slice02_Enable {false} \
+ CONFIG.mADC_Slice03_Enable {false} \
+ CONFIG.mDAC_Band {0} \
+ CONFIG.mDAC_Data_Type00 {0} \
+ CONFIG.mDAC_Data_Type01 {0} \
+ CONFIG.mDAC_Data_Width00 {2} \
+ CONFIG.mDAC_Data_Width01 {2} \
+ CONFIG.mDAC_Data_Width02 {16} \
+ CONFIG.mDAC_Data_Width03 {16} \
+ CONFIG.mDAC_Enable {1} \
+ CONFIG.mDAC_Fabric_Freq {368.640} \
+ CONFIG.mDAC_Interpolation_Mode00 {8} \
+ CONFIG.mDAC_Interpolation_Mode01 {8} \
+ CONFIG.mDAC_Interpolation_Mode02 {0} \
+ CONFIG.mDAC_Interpolation_Mode03 {0} \
+ CONFIG.mDAC_Invsinc_Ctrl00 {false} \
+ CONFIG.mDAC_Mixer_Mode00 {0} \
+ CONFIG.mDAC_Mixer_Mode01 {0} \
+ CONFIG.mDAC_Mixer_Mode02 {2} \
+ CONFIG.mDAC_Mixer_Mode03 {2} \
+ CONFIG.mDAC_Mixer_Type00 {2} \
+ CONFIG.mDAC_Mixer_Type01 {2} \
+ CONFIG.mDAC_Mixer_Type02 {3} \
+ CONFIG.mDAC_Mixer_Type03 {3} \
+ CONFIG.mDAC_Multi_Tile_Sync {false} \
+ CONFIG.mDAC_NCO_Freq01 {0} \
+ CONFIG.mDAC_Outclk_Freq {184.320} \
+ CONFIG.mDAC_Refclk_Freq {2949.120} \
+ CONFIG.mDAC_Sampling_Rate {2.94912} \
+ CONFIG.mDAC_Slice00_Enable {true} \
+ CONFIG.mDAC_Slice01_Enable {true} \
+ CONFIG.mDAC_Slice02_Enable {false} \
+ CONFIG.mDAC_Slice03_Enable {false} \
+ ] $rf_data_converter
+
+ # Create instance: rf_nco_reset_0, and set properties
+ set block_name rf_nco_reset
+ set block_cell_name rf_nco_reset_0
+ if { [catch {set rf_nco_reset_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $rf_nco_reset_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: rf_reset_controller_0, and set properties
+ set block_name rf_reset_controller
+ set block_cell_name rf_reset_controller_0
+ if { [catch {set rf_reset_controller_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
+ catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ } elseif { $rf_reset_controller_0 eq "" } {
+ catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
+ return 1
+ }
+
+ # Create instance: slice_15_8, and set properties
+ set slice_15_8 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 slice_15_8 ]
+ set_property -dict [ list \
+ CONFIG.DIN_FROM {15} \
+ CONFIG.DIN_TO {8} \
+ CONFIG.DOUT_WIDTH {8} \
+ ] $slice_15_8
+
+ # Create instance: slice_7_0, and set properties
+ set slice_7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 slice_7_0 ]
+ set_property -dict [ list \
+ CONFIG.DIN_FROM {7} \
+ CONFIG.DOUT_WIDTH {8} \
+ ] $slice_7_0
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net S_AXI_1_1 [get_bd_intf_pins axi_interconnect_rf/M06_AXI] [get_bd_intf_pins calibration_muxes/S_AXI_1]
+ connect_bd_intf_net -intf_net adc0_clk_0_1 [get_bd_intf_pins adc0_clk] [get_bd_intf_pins rf_data_converter/adc0_clk]
+ connect_bd_intf_net -intf_net adc2_clk_0_1 [get_bd_intf_pins adc2_clk] [get_bd_intf_pins rf_data_converter/adc2_clk]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_interconnect_rf/M00_AXI] [get_bd_intf_pins rf_data_converter/s_axi]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M01_AXI [get_bd_intf_pins axi_interconnect_rf/M01_AXI] [get_bd_intf_pins data_clock_mmcm/s_axi_lite]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M02_AXI [get_bd_intf_pins axi_interconnect_rf/M02_AXI] [get_bd_intf_pins reg_invert_iq/S_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M03_AXI [get_bd_intf_pins axi_interconnect_rf/M03_AXI] [get_bd_intf_pins reg_reset_mmcm/S_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M04_AXI [get_bd_intf_pins axi_interconnect_rf/M04_AXI] [get_bd_intf_pins reg_rf_reset_control/S_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M05_AXI [get_bd_intf_pins axi_interconnect_rf/M05_AXI] [get_bd_intf_pins reg_rf_axi_status/S_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M07_AXI [get_bd_intf_pins axi_interconnect_rf/M07_AXI] [get_bd_intf_pins reg_clock_gate_control/S_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_rf_M08_AXI [get_bd_intf_pins ThresholdRegister/S_AXI] [get_bd_intf_pins axi_interconnect_rf/M08_AXI]
+ connect_bd_intf_net -intf_net calibration_muxes_m_axis_0_0 [get_bd_intf_pins calibration_muxes/m_axis_0_0] [get_bd_intf_pins rf_data_converter/s00_axis]
+ connect_bd_intf_net -intf_net calibration_muxes_m_axis_1_0 [get_bd_intf_pins calibration_muxes/m_axis_1_0] [get_bd_intf_pins rf_data_converter/s01_axis]
+ connect_bd_intf_net -intf_net calibration_muxes_m_axis_2_0 [get_bd_intf_pins calibration_muxes/m_axis_2_0] [get_bd_intf_pins rf_data_converter/s10_axis]
+ connect_bd_intf_net -intf_net calibration_muxes_m_axis_3_0 [get_bd_intf_pins calibration_muxes/m_axis_3_0] [get_bd_intf_pins rf_data_converter/s11_axis]
+ connect_bd_intf_net -intf_net dac0_clk_0_1 [get_bd_intf_pins dac0_clk] [get_bd_intf_pins rf_data_converter/dac0_clk]
+ connect_bd_intf_net -intf_net dac1_clk_0_1 [get_bd_intf_pins dac1_clk] [get_bd_intf_pins rf_data_converter/dac1_clk]
+ connect_bd_intf_net -intf_net dac_tile228_ch0_din_1 [get_bd_intf_pins dac_tile228_ch0_din] [get_bd_intf_pins calibration_muxes/dac_tile228_ch0_din]
+ connect_bd_intf_net -intf_net dac_tile228_ch1_din_1 [get_bd_intf_pins dac_tile228_ch1_din] [get_bd_intf_pins calibration_muxes/dac_tile228_ch1_din]
+ connect_bd_intf_net -intf_net dac_tile229_ch0_din_1 [get_bd_intf_pins dac_tile229_ch0_din] [get_bd_intf_pins calibration_muxes/dac_tile229_ch0_din]
+ connect_bd_intf_net -intf_net dac_tile229_ch1_din_1 [get_bd_intf_pins dac_tile229_ch1_din] [get_bd_intf_pins calibration_muxes/dac_tile229_ch1_din]
+ connect_bd_intf_net -intf_net rf_data_converter_m00_axis [get_bd_intf_pins adc_tile224_ch0_dout_i] [get_bd_intf_pins rf_data_converter/m00_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m01_axis [get_bd_intf_pins adc_tile224_ch0_dout_q] [get_bd_intf_pins rf_data_converter/m01_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m02_axis [get_bd_intf_pins adc_tile224_ch1_dout_i] [get_bd_intf_pins rf_data_converter/m02_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m03_axis [get_bd_intf_pins adc_tile224_ch1_dout_q] [get_bd_intf_pins rf_data_converter/m03_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m20_axis [get_bd_intf_pins adc_tile226_ch0_dout_i] [get_bd_intf_pins rf_data_converter/m20_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m21_axis [get_bd_intf_pins adc_tile226_ch0_dout_q] [get_bd_intf_pins rf_data_converter/m21_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m22_axis [get_bd_intf_pins adc_tile226_ch1_dout_i] [get_bd_intf_pins rf_data_converter/m22_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_m23_axis [get_bd_intf_pins adc_tile226_ch1_dout_q] [get_bd_intf_pins rf_data_converter/m23_axis]
+ connect_bd_intf_net -intf_net rf_data_converter_vout00 [get_bd_intf_pins dac_tile228_ch0_vout] [get_bd_intf_pins rf_data_converter/vout00]
+ connect_bd_intf_net -intf_net rf_data_converter_vout01 [get_bd_intf_pins dac_tile228_ch1_vout] [get_bd_intf_pins rf_data_converter/vout01]
+ connect_bd_intf_net -intf_net rf_data_converter_vout10 [get_bd_intf_pins dac_tile229_ch0_vout] [get_bd_intf_pins rf_data_converter/vout10]
+ connect_bd_intf_net -intf_net rf_data_converter_vout11 [get_bd_intf_pins dac_tile229_ch1_vout] [get_bd_intf_pins rf_data_converter/vout11]
+ connect_bd_intf_net -intf_net s_axi_config_1 [get_bd_intf_pins s_axi_config] [get_bd_intf_pins axi_interconnect_rf/S00_AXI]
+ connect_bd_intf_net -intf_net sysref_in_0_1 [get_bd_intf_pins sysref_rf_in] [get_bd_intf_pins rf_data_converter/sysref_in]
+ connect_bd_intf_net -intf_net vin0_01_0_1 [get_bd_intf_pins adc_tile224_ch0_vin] [get_bd_intf_pins rf_data_converter/vin0_01]
+ connect_bd_intf_net -intf_net vin0_23_0_1 [get_bd_intf_pins adc_tile224_ch1_vin] [get_bd_intf_pins rf_data_converter/vin0_23]
+ connect_bd_intf_net -intf_net vin2_01_0_1 [get_bd_intf_pins adc_tile226_ch0_vin] [get_bd_intf_pins rf_data_converter/vin2_01]
+ connect_bd_intf_net -intf_net vin2_23_0_1 [get_bd_intf_pins adc_tile226_ch1_vin] [get_bd_intf_pins rf_data_converter/vin2_23]
+
+ # Create port connections
+ connect_bd_net -net M02_ARESETN_1 [get_bd_pins axi_interconnect_rf/M02_ARESETN] [get_bd_pins const_1/dout] [get_bd_pins reg_invert_iq/s_axi_aresetn]
+ connect_bd_net -net adc_reset_pulse_dclk_1 [get_bd_pins adc_reset_pulse_dclk] [get_bd_pins rf_reset_controller_0/dAdcResetPulse]
+ connect_bd_net -net capture_sysref_0_sysref_out_rclk [get_bd_pins sysref_out_rclk] [get_bd_pins capture_sysref/sysref_out_rclk] [get_bd_pins rf_data_converter/user_sysref_adc] [get_bd_pins rf_data_converter/user_sysref_dac]
+ connect_bd_net -net capture_sysref_sysref_out_pclk [get_bd_pins sysref_out_pclk] [get_bd_pins capture_sysref/sysref_out_pclk] [get_bd_pins rf_nco_reset_0/dSysref]
+ connect_bd_net -net clk_in1_0_1 [get_bd_pins pll_ref_clk_in] [get_bd_pins data_clock_mmcm/clk_in1]
+ connect_bd_net -net clock_gates_0_cSoftwareStatus [get_bd_pins clock_gates_0/rSoftwareStatus] [get_bd_pins reg_clock_gate_control/gpio2_io_i]
+ connect_bd_net -net clock_gates_0_rGatedBaseClksValid [get_bd_pins gated_base_clks_valid_clk40] [get_bd_pins clock_gates_0/rGatedBaseClksValid]
+ connect_bd_net -net clock_gates_0_rPllLocked [get_bd_pins data_clock_locked] [get_bd_pins clock_gates_0/rPllLocked]
+ connect_bd_net -net clock_gates_0_rf2EnableBufg [get_bd_pins clock_gates_0/aEnableRfBufg2x] [get_bd_pins rf_clock_buffers/rfdc_clk_2x_ce]
+ connect_bd_net -net clock_gates_0_rfEnableBufg [get_bd_pins clock_gates_0/aEnableRfBufg1x] [get_bd_pins rf_clock_buffers/rfdc_clk_ce]
+ connect_bd_net -net dac_reset_pulse_dclk_1 [get_bd_pins dac_reset_pulse_dclk] [get_bd_pins rf_reset_controller_0/dDacResetPulse]
+ connect_bd_net -net data_clk_2x_pll [get_bd_pins clock_gates_0/DataClk2xPll] [get_bd_pins data_clock_mmcm/data_clk_2x]
+ connect_bd_net -net data_clock_mmcm_data_clk [get_bd_pins data_clk] [get_bd_pins clock_gates_0/DataClk1x] [get_bd_pins rf_nco_reset_0/DataClk] [get_bd_pins rf_reset_controller_0/DataClk]
+ connect_bd_net -net data_clock_mmcm_data_clk1 [get_bd_pins clock_gates_0/DataClk1xPll] [get_bd_pins data_clock_mmcm/data_clk]
+ connect_bd_net -net data_clock_mmcm_data_clk_2x [get_bd_pins data_clk_2x] [get_bd_pins clock_gates_0/DataClk2x] [get_bd_pins rf_reset_controller_0/DataClk2x]
+ connect_bd_net -net data_clock_mmcm_locked [get_bd_pins clock_gates_0/aPllLocked] [get_bd_pins data_clock_mmcm/locked]
+ connect_bd_net -net data_clock_mmcm_rfdc_clk [get_bd_pins rfdc_clk] [get_bd_pins calibration_muxes/s_axi_aclk_0] [get_bd_pins capture_sysref/rfdc_clk] [get_bd_pins rf_clock_buffers/rfdc_clk] [get_bd_pins rf_data_converter/m0_axis_aclk] [get_bd_pins rf_data_converter/m2_axis_aclk] [get_bd_pins rf_data_converter/s0_axis_aclk] [get_bd_pins rf_data_converter/s1_axis_aclk] [get_bd_pins rf_reset_controller_0/RfClk]
+ connect_bd_net -net data_clock_mmcm_rfdc_clk1 [get_bd_pins data_clock_mmcm/rfdc_clk] [get_bd_pins rf_clock_buffers/rfdc_clk_pll]
+ connect_bd_net -net data_clock_mmcm_rfdc_clk_2x [get_bd_pins rfdc_clk_2x] [get_bd_pins axi_interconnect_rf/M02_ACLK] [get_bd_pins reg_invert_iq/s_axi_aclk] [get_bd_pins rf_clock_buffers/rfdc_clk_2x] [get_bd_pins rf_reset_controller_0/RfClk2x]
+ connect_bd_net -net data_clock_mmcm_rfdc_clk_2x1 [get_bd_pins data_clock_mmcm/rfdc_clk_2x] [get_bd_pins rf_clock_buffers/rfdc_clk_2x_pll]
+ connect_bd_net -net data_clock_mmcm_tdc_ref_clk [get_bd_pins pll_ref_clk_out] [get_bd_pins capture_sysref/pll_ref_clk] [get_bd_pins data_clock_mmcm/pll_ref_clk_out] [get_bd_pins rf_reset_controller_0/PllRefClk]
+ connect_bd_net -net enable_gated_clocks_clk40_1 [get_bd_pins enable_gated_clocks_clk40] [get_bd_pins clock_gates_0/rSafeToEnableGatedClks]
+ connect_bd_net -net enable_rclk_0_1 [get_bd_pins enable_sysref_rclk] [get_bd_pins capture_sysref/enable_rclk]
+ connect_bd_net -net gpio2_io_i_0_2 [get_bd_pins rf_dsp_info_sclk] [get_bd_pins reg_rf_axi_status/gpio2_io_i]
+ connect_bd_net -net gpio_io_i_0_1 [get_bd_pins rf_axi_status_sclk] [get_bd_pins reg_rf_axi_status/gpio_io_i]
+ connect_bd_net -net reg_reset_mmcm_gpio_io_o [get_bd_pins axi_interconnect_rf/M01_ARESETN] [get_bd_pins clock_gates_0/rPllReset_n] [get_bd_pins data_clock_mmcm/s_axi_aresetn] [get_bd_pins reg_reset_mmcm/gpio_io_o]
+ connect_bd_net -net reg_rf_reset_control1_gpio_io_o [get_bd_pins clock_gates_0/rSoftwareControl] [get_bd_pins reg_clock_gate_control/gpio_io_o]
+ connect_bd_net -net reg_rf_resets_gpio_io_o [get_bd_pins reg_rf_reset_control/gpio_io_o] [get_bd_pins rf_reset_controller_0/cSoftwareControl]
+ connect_bd_net -net rf_data_converter_adc0_01_over_threshold1 [get_bd_pins ThresholdRegister/In0] [get_bd_pins rf_data_converter/adc0_01_over_threshold1]
+ connect_bd_net -net rf_data_converter_adc0_01_over_threshold2 [get_bd_pins ThresholdRegister/In1] [get_bd_pins rf_data_converter/adc0_01_over_threshold2]
+ connect_bd_net -net rf_data_converter_adc0_23_over_threshold1 [get_bd_pins ThresholdRegister/In2] [get_bd_pins rf_data_converter/adc0_23_over_threshold1]
+ connect_bd_net -net rf_data_converter_adc0_23_over_threshold2 [get_bd_pins ThresholdRegister/In3] [get_bd_pins rf_data_converter/adc0_23_over_threshold2]
+ connect_bd_net -net rf_data_converter_adc0_nco_update_busy [get_bd_pins rf_data_converter/adc0_nco_update_busy] [get_bd_pins rf_nco_reset_0/cAdc0xNcoUpdateBusy]
+ connect_bd_net -net rf_data_converter_adc2_01_over_threshold1 [get_bd_pins ThresholdRegister/In5] [get_bd_pins rf_data_converter/adc2_01_over_threshold1]
+ connect_bd_net -net rf_data_converter_adc2_01_over_threshold2 [get_bd_pins ThresholdRegister/In6] [get_bd_pins rf_data_converter/adc2_01_over_threshold2]
+ connect_bd_net -net rf_data_converter_adc2_23_over_threshold1 [get_bd_pins ThresholdRegister/In7] [get_bd_pins rf_data_converter/adc2_23_over_threshold1]
+ connect_bd_net -net rf_data_converter_adc2_23_over_threshold2 [get_bd_pins ThresholdRegister/In8] [get_bd_pins rf_data_converter/adc2_23_over_threshold2]
+ connect_bd_net -net rf_data_converter_adc2_nco_update_busy [get_bd_pins rf_data_converter/adc2_nco_update_busy] [get_bd_pins rf_nco_reset_0/cAdc2xNcoUpdateBusy]
+ connect_bd_net -net rf_data_converter_dac0_nco_update_busy [get_bd_pins rf_data_converter/dac0_nco_update_busy] [get_bd_pins rf_nco_reset_0/cDac0xNcoUpdateBusy]
+ connect_bd_net -net rf_data_converter_dac1_nco_update_busy [get_bd_pins rf_data_converter/dac1_nco_update_busy] [get_bd_pins rf_nco_reset_0/cDac1xNcoUpdateBusy]
+ connect_bd_net -net rf_data_converter_irq [get_bd_pins rfdc_irq] [get_bd_pins rf_data_converter/irq]
+ connect_bd_net -net rf_nco_reset_0_cAdc0xNcoUpdateReq [get_bd_pins rf_data_converter/adc0_nco_update_req] [get_bd_pins rf_nco_reset_0/cAdc0xNcoUpdateReq]
+ connect_bd_net -net rf_nco_reset_0_cAdc2xNcoUpdateReq [get_bd_pins rf_data_converter/adc2_nco_update_req] [get_bd_pins rf_nco_reset_0/cAdc2xNcoUpdateReq]
+ connect_bd_net -net rf_nco_reset_0_cDac0xNcoUpdateReq [get_bd_pins rf_data_converter/dac0_nco_update_req] [get_bd_pins rf_nco_reset_0/cDac0xNcoUpdateReq]
+ connect_bd_net -net rf_nco_reset_0_cDac0xSysrefIntGating [get_bd_pins rf_data_converter/dac0_sysref_int_gating] [get_bd_pins rf_nco_reset_0/cDac0xSysrefIntGating]
+ connect_bd_net -net rf_nco_reset_0_cDac0xSysrefIntReenable [get_bd_pins rf_data_converter/dac0_sysref_int_reenable] [get_bd_pins rf_nco_reset_0/cDac0xSysrefIntReenable]
+ connect_bd_net -net rf_nco_reset_0_cDac1xNcoUpdateReq [get_bd_pins rf_data_converter/dac1_nco_update_req] [get_bd_pins rf_nco_reset_0/cDac1xNcoUpdateReq]
+ connect_bd_net -net rf_nco_reset_0_cNcoPhaseRst [get_bd_pins rf_data_converter/adc0_01_nco_phase_rst] [get_bd_pins rf_data_converter/adc0_23_nco_phase_rst] [get_bd_pins rf_data_converter/adc2_01_nco_phase_rst] [get_bd_pins rf_data_converter/adc2_23_nco_phase_rst] [get_bd_pins rf_data_converter/dac00_nco_phase_rst] [get_bd_pins rf_data_converter/dac01_nco_phase_rst] [get_bd_pins rf_data_converter/dac10_nco_phase_rst] [get_bd_pins rf_data_converter/dac11_nco_phase_rst] [get_bd_pins rf_nco_reset_0/cNcoPhaseRst]
+ connect_bd_net -net rf_nco_reset_0_cNcoUpdateEn [get_bd_pins rf_data_converter/adc0_01_nco_update_en] [get_bd_pins rf_data_converter/adc0_23_nco_update_en] [get_bd_pins rf_data_converter/adc2_01_nco_update_en] [get_bd_pins rf_data_converter/adc2_23_nco_update_en] [get_bd_pins rf_data_converter/dac00_nco_update_en] [get_bd_pins rf_data_converter/dac01_nco_update_en] [get_bd_pins rf_data_converter/dac10_nco_update_en] [get_bd_pins rf_data_converter/dac11_nco_update_en] [get_bd_pins rf_nco_reset_0/cNcoUpdateEn]
+ connect_bd_net -net rf_nco_reset_0_dNcoResetDone [get_bd_pins nco_reset_done_dclk] [get_bd_pins rf_nco_reset_0/dNcoResetDone]
+ connect_bd_net -net rf_reset_controller_0_cSoftwareStatus [get_bd_pins reg_rf_reset_control/gpio2_io_i] [get_bd_pins rf_reset_controller_0/cSoftwareStatus]
+ connect_bd_net -net rf_reset_controller_0_d2DacFirReset_n [get_bd_pins dac_data_in_resetn_dclk2x] [get_bd_pins rf_reset_controller_0/d2DacFirReset_n]
+ connect_bd_net -net rf_reset_controller_0_dAdcDataOutReset_n [get_bd_pins adc_data_out_resetn_dclk] [get_bd_pins rf_reset_controller_0/dAdcDataOutReset_n]
+ connect_bd_net -net rf_reset_controller_0_dDacDataInReset_n [get_bd_pins dac_data_in_resetn_dclk] [get_bd_pins rf_reset_controller_0/dDacDataInReset_n]
+ connect_bd_net -net rf_reset_controller_0_r2AdcFirReset_n [get_bd_pins fir_resetn_rclk2x] [get_bd_pins rf_reset_controller_0/r2AdcFirReset_n]
+ connect_bd_net -net rf_reset_controller_0_r2DacFirReset_n [get_bd_pins dac_data_in_resetn_rclk2x] [get_bd_pins rf_reset_controller_0/r2DacFirReset_n]
+ connect_bd_net -net rf_reset_controller_0_rAdcEnableData [get_bd_pins adc_enable_data_rclk] [get_bd_pins rf_reset_controller_0/rAdcEnableData]
+ connect_bd_net -net rf_reset_controller_0_rAdcGearboxReset_n [get_bd_pins adc_rfdc_axi_resetn_rclk] [get_bd_pins rf_reset_controller_0/rAdcGearboxReset_n]
+ connect_bd_net -net rf_reset_controller_0_rAdcRfdcAxiReset_n [get_bd_pins rf_data_converter/m0_axis_aresetn] [get_bd_pins rf_data_converter/m2_axis_aresetn] [get_bd_pins rf_reset_controller_0/rAdcRfdcAxiReset_n]
+ connect_bd_net -net rf_reset_controller_0_rDacGearboxReset_n [get_bd_pins dac_data_in_resetn_rclk] [get_bd_pins rf_reset_controller_0/rDacGearboxReset_n]
+ connect_bd_net -net rf_reset_controller_0_rDacRfdcAxiReset_n [get_bd_pins rf_data_converter/s0_axis_aresetn] [get_bd_pins rf_data_converter/s1_axis_aresetn] [get_bd_pins rf_reset_controller_0/rDacRfdcAxiReset_n]
+ connect_bd_net -net rfdc_regs_gpio_io_o [get_bd_pins reg_invert_iq/gpio_io_o] [get_bd_pins slice_15_8/Din] [get_bd_pins slice_7_0/Din]
+ connect_bd_net -net s_axi_aresetn_0_1 [get_bd_pins s_axi_config_aresetn] [get_bd_pins ThresholdRegister/s_axi_config_aresetn] [get_bd_pins axi_interconnect_rf/ARESETN] [get_bd_pins axi_interconnect_rf/M00_ARESETN] [get_bd_pins axi_interconnect_rf/M03_ARESETN] [get_bd_pins axi_interconnect_rf/M04_ARESETN] [get_bd_pins axi_interconnect_rf/M05_ARESETN] [get_bd_pins axi_interconnect_rf/M06_ARESETN] [get_bd_pins axi_interconnect_rf/M07_ARESETN] [get_bd_pins axi_interconnect_rf/M08_ARESETN] [get_bd_pins axi_interconnect_rf/S00_ARESETN] [get_bd_pins calibration_muxes/s_axi_config_aresetn] [get_bd_pins reg_clock_gate_control/s_axi_aresetn] [get_bd_pins reg_reset_mmcm/s_axi_aresetn] [get_bd_pins reg_rf_axi_status/s_axi_aresetn] [get_bd_pins reg_rf_reset_control/s_axi_aresetn] [get_bd_pins rf_data_converter/s_axi_aresetn]
+ connect_bd_net -net s_axi_config_clk_1 [get_bd_pins s_axi_config_clk] [get_bd_pins ThresholdRegister/s_axi_config_clk] [get_bd_pins axi_interconnect_rf/ACLK] [get_bd_pins axi_interconnect_rf/M00_ACLK] [get_bd_pins axi_interconnect_rf/M01_ACLK] [get_bd_pins axi_interconnect_rf/M03_ACLK] [get_bd_pins axi_interconnect_rf/M04_ACLK] [get_bd_pins axi_interconnect_rf/M05_ACLK] [get_bd_pins axi_interconnect_rf/M06_ACLK] [get_bd_pins axi_interconnect_rf/M07_ACLK] [get_bd_pins axi_interconnect_rf/M08_ACLK] [get_bd_pins axi_interconnect_rf/S00_ACLK] [get_bd_pins calibration_muxes/s_axi_config_clk] [get_bd_pins clock_gates_0/ReliableClk] [get_bd_pins data_clock_mmcm/s_axi_aclk] [get_bd_pins reg_clock_gate_control/s_axi_aclk] [get_bd_pins reg_reset_mmcm/s_axi_aclk] [get_bd_pins reg_rf_axi_status/s_axi_aclk] [get_bd_pins reg_rf_reset_control/s_axi_aclk] [get_bd_pins rf_data_converter/s_axi_aclk] [get_bd_pins rf_nco_reset_0/ConfigClk] [get_bd_pins rf_reset_controller_0/ConfigClk]
+ connect_bd_net -net start_nco_reset_rclk_1 [get_bd_pins start_nco_reset_dclk] [get_bd_pins rf_nco_reset_0/dStartNcoReset]
+ connect_bd_net -net sysref_in_0_2 [get_bd_pins sysref_pl_in] [get_bd_pins capture_sysref/sysref_in]
+ connect_bd_net -net xlslice_0_Dout [get_bd_pins invert_adc_iq_rclk2] [get_bd_pins slice_7_0/Dout]
+ connect_bd_net -net xlslice_1_Dout [get_bd_pins invert_dac_iq_rclk2] [get_bd_pins slice_15_8/Dout]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+# Hierarchical cell: ps
+proc create_hier_cell_ps { parentCell nameHier } {
+
+ variable script_folder
+
+ if { $parentCell eq "" || $nameHier eq "" } {
+ catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_ps() - Empty argument(s)!"}
+ return
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+ # Create cell and set as current instance
+ set hier_obj [create_bd_cell -type hier $nameHier]
+ current_bd_instance $hier_obj
+
+ # Create interface pins
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 gpio_0
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_app
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_core
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_eth_internal
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mpm_ep
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_rf
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_rpu
+
+ create_bd_intf_pin -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_eth_dma
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp0
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp1
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hpc0
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hpc1
+
+ create_bd_intf_pin -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_eth_dma
+
+
+ # Create pins
+ create_bd_pin -dir I -type clk bus_clk
+ create_bd_pin -dir I -type rst bus_rstn
+ create_bd_pin -dir I -type clk clk40
+ create_bd_pin -dir I -type rst clk40_rstn
+ create_bd_pin -dir I irq0_lpd_rpu_n
+ create_bd_pin -dir I irq1_lpd_rpu_n
+ create_bd_pin -dir IO jtag0_tck
+ create_bd_pin -dir IO jtag0_tdi
+ create_bd_pin -dir I jtag0_tdo
+ create_bd_pin -dir IO jtag0_tms
+ create_bd_pin -dir O -type clk pl_clk40
+ create_bd_pin -dir O -type clk pl_clk100
+ create_bd_pin -dir O -type clk pl_clk166
+ create_bd_pin -dir O -type clk pl_clk200
+ create_bd_pin -dir I -from 7 -to 0 -type intr pl_ps_irq0
+ create_bd_pin -dir I -from 5 -to 0 pl_ps_irq1_1
+ create_bd_pin -dir O -type rst pl_resetn0
+ create_bd_pin -dir O -type rst pl_resetn1
+ create_bd_pin -dir O -type rst pl_resetn2
+ create_bd_pin -dir O -type rst pl_resetn3
+ create_bd_pin -dir I -type clk s_axi_hp0_aclk
+ create_bd_pin -dir I -type clk s_axi_hp1_aclk
+ create_bd_pin -dir I -type clk s_axi_hpc0_aclk
+
+ # Create instance: axi_interconnect_common
+ create_hier_cell_axi_interconnect_common $hier_obj axi_interconnect_common
+
+ # Create instance: cpld_jtag_engine, and set properties
+ set cpld_jtag_engine [ create_bd_cell -type ip -vlnv ettus.com:ip:axi_bitq:1.0 cpld_jtag_engine ]
+
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.CLK_DOMAIN {x4xx_ps_rfdc_bd_clk40} \
+ ] [get_bd_pins /ps/cpld_jtag_engine/S_AXI_ACLK]
+
+ # Create instance: eth_dma_internal
+ create_hier_cell_eth_dma_internal $hier_obj eth_dma_internal
+
+ # Create instance: hpc1_axi_interconnect, and set properties
+ set hpc1_axi_interconnect [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 hpc1_axi_interconnect ]
+ set_property -dict [ list \
+ CONFIG.NUM_MI {1} \
+ CONFIG.NUM_SI {2} \
+ ] $hpc1_axi_interconnect
+
+ # Create instance: inst_zynq_ps, and set properties
+ set inst_zynq_ps [ create_bd_cell -type ip -vlnv xilinx.com:ip:zynq_ultra_ps_e:3.3 inst_zynq_ps ]
+ set_property -dict [ list \
+ CONFIG.CAN0_BOARD_INTERFACE {custom} \
+ CONFIG.CAN1_BOARD_INTERFACE {custom} \
+ CONFIG.CSU_BOARD_INTERFACE {custom} \
+ CONFIG.DP_BOARD_INTERFACE {custom} \
+ CONFIG.GEM0_BOARD_INTERFACE {custom} \
+ CONFIG.GEM1_BOARD_INTERFACE {custom} \
+ CONFIG.GEM2_BOARD_INTERFACE {custom} \
+ CONFIG.GEM3_BOARD_INTERFACE {custom} \
+ CONFIG.GPIO_BOARD_INTERFACE {custom} \
+ CONFIG.IIC0_BOARD_INTERFACE {custom} \
+ CONFIG.IIC1_BOARD_INTERFACE {custom} \
+ CONFIG.NAND_BOARD_INTERFACE {custom} \
+ CONFIG.PCIE_BOARD_INTERFACE {custom} \
+ CONFIG.PJTAG_BOARD_INTERFACE {custom} \
+ CONFIG.PMU_BOARD_INTERFACE {custom} \
+ CONFIG.PSU_BANK_0_IO_STANDARD {LVCMOS18} \
+ CONFIG.PSU_BANK_1_IO_STANDARD {LVCMOS18} \
+ CONFIG.PSU_BANK_2_IO_STANDARD {LVCMOS18} \
+ CONFIG.PSU_BANK_3_IO_STANDARD {LVCMOS33} \
+ CONFIG.PSU_DDR_RAM_HIGHADDR {0xFFFFFFFF} \
+ CONFIG.PSU_DDR_RAM_HIGHADDR_OFFSET {0x800000000} \
+ CONFIG.PSU_DDR_RAM_LOWADDR_OFFSET {0x80000000} \
+ CONFIG.PSU_DYNAMIC_DDR_CONFIG_EN {0} \
+ CONFIG.PSU_IMPORT_BOARD_PRESET {} \
+ CONFIG.PSU_MIO_0_DIRECTION {inout} \
+ CONFIG.PSU_MIO_0_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_0_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_0_POLARITY {Default} \
+ CONFIG.PSU_MIO_0_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_0_SLEW {slow} \
+ CONFIG.PSU_MIO_10_DIRECTION {inout} \
+ CONFIG.PSU_MIO_10_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_10_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_10_POLARITY {Default} \
+ CONFIG.PSU_MIO_10_PULLUPDOWN {disable} \
+ CONFIG.PSU_MIO_10_SLEW {slow} \
+ CONFIG.PSU_MIO_11_DIRECTION {inout} \
+ CONFIG.PSU_MIO_11_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_11_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_11_POLARITY {Default} \
+ CONFIG.PSU_MIO_11_PULLUPDOWN {disable} \
+ CONFIG.PSU_MIO_11_SLEW {slow} \
+ CONFIG.PSU_MIO_12_DIRECTION {inout} \
+ CONFIG.PSU_MIO_12_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_12_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_12_POLARITY {Default} \
+ CONFIG.PSU_MIO_12_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_12_SLEW {slow} \
+ CONFIG.PSU_MIO_13_DIRECTION {inout} \
+ CONFIG.PSU_MIO_13_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_13_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_13_POLARITY {Default} \
+ CONFIG.PSU_MIO_13_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_13_SLEW {slow} \
+ CONFIG.PSU_MIO_14_DIRECTION {inout} \
+ CONFIG.PSU_MIO_14_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_14_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_14_POLARITY {Default} \
+ CONFIG.PSU_MIO_14_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_14_SLEW {slow} \
+ CONFIG.PSU_MIO_15_DIRECTION {inout} \
+ CONFIG.PSU_MIO_15_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_15_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_15_POLARITY {Default} \
+ CONFIG.PSU_MIO_15_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_15_SLEW {slow} \
+ CONFIG.PSU_MIO_16_DIRECTION {inout} \
+ CONFIG.PSU_MIO_16_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_16_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_16_POLARITY {Default} \
+ CONFIG.PSU_MIO_16_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_16_SLEW {slow} \
+ CONFIG.PSU_MIO_17_DIRECTION {inout} \
+ CONFIG.PSU_MIO_17_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_17_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_17_POLARITY {Default} \
+ CONFIG.PSU_MIO_17_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_17_SLEW {slow} \
+ CONFIG.PSU_MIO_18_DIRECTION {inout} \
+ CONFIG.PSU_MIO_18_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_18_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_18_POLARITY {Default} \
+ CONFIG.PSU_MIO_18_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_18_SLEW {slow} \
+ CONFIG.PSU_MIO_19_DIRECTION {inout} \
+ CONFIG.PSU_MIO_19_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_19_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_19_POLARITY {Default} \
+ CONFIG.PSU_MIO_19_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_19_SLEW {slow} \
+ CONFIG.PSU_MIO_1_DIRECTION {inout} \
+ CONFIG.PSU_MIO_1_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_1_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_1_POLARITY {Default} \
+ CONFIG.PSU_MIO_1_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_1_SLEW {slow} \
+ CONFIG.PSU_MIO_20_DIRECTION {inout} \
+ CONFIG.PSU_MIO_20_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_20_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_20_POLARITY {Default} \
+ CONFIG.PSU_MIO_20_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_20_SLEW {slow} \
+ CONFIG.PSU_MIO_21_DIRECTION {inout} \
+ CONFIG.PSU_MIO_21_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_21_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_21_POLARITY {Default} \
+ CONFIG.PSU_MIO_21_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_21_SLEW {slow} \
+ CONFIG.PSU_MIO_22_DIRECTION {out} \
+ CONFIG.PSU_MIO_22_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_22_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_22_POLARITY {Default} \
+ CONFIG.PSU_MIO_22_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_22_SLEW {slow} \
+ CONFIG.PSU_MIO_23_DIRECTION {out} \
+ CONFIG.PSU_MIO_23_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_23_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_23_POLARITY {Default} \
+ CONFIG.PSU_MIO_23_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_23_SLEW {slow} \
+ CONFIG.PSU_MIO_24_DIRECTION {inout} \
+ CONFIG.PSU_MIO_24_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_24_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_24_POLARITY {Default} \
+ CONFIG.PSU_MIO_24_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_24_SLEW {slow} \
+ CONFIG.PSU_MIO_25_DIRECTION {inout} \
+ CONFIG.PSU_MIO_25_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_25_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_25_POLARITY {Default} \
+ CONFIG.PSU_MIO_25_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_25_SLEW {slow} \
+ CONFIG.PSU_MIO_26_DIRECTION {inout} \
+ CONFIG.PSU_MIO_26_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_26_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_26_POLARITY {Default} \
+ CONFIG.PSU_MIO_26_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_26_SLEW {slow} \
+ CONFIG.PSU_MIO_27_DIRECTION {inout} \
+ CONFIG.PSU_MIO_27_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_27_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_27_POLARITY {Default} \
+ CONFIG.PSU_MIO_27_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_27_SLEW {slow} \
+ CONFIG.PSU_MIO_28_DIRECTION {inout} \
+ CONFIG.PSU_MIO_28_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_28_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_28_POLARITY {Default} \
+ CONFIG.PSU_MIO_28_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_28_SLEW {slow} \
+ CONFIG.PSU_MIO_29_DIRECTION {inout} \
+ CONFIG.PSU_MIO_29_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_29_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_29_POLARITY {Default} \
+ CONFIG.PSU_MIO_29_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_29_SLEW {slow} \
+ CONFIG.PSU_MIO_2_DIRECTION {inout} \
+ CONFIG.PSU_MIO_2_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_2_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_2_POLARITY {Default} \
+ CONFIG.PSU_MIO_2_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_2_SLEW {slow} \
+ CONFIG.PSU_MIO_30_DIRECTION {in} \
+ CONFIG.PSU_MIO_30_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_30_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_30_POLARITY {Default} \
+ CONFIG.PSU_MIO_30_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_30_SLEW {fast} \
+ CONFIG.PSU_MIO_31_DIRECTION {out} \
+ CONFIG.PSU_MIO_31_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_31_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_31_POLARITY {Default} \
+ CONFIG.PSU_MIO_31_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_31_SLEW {slow} \
+ CONFIG.PSU_MIO_32_DIRECTION {out} \
+ CONFIG.PSU_MIO_32_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_32_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_32_POLARITY {Default} \
+ CONFIG.PSU_MIO_32_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_32_SLEW {slow} \
+ CONFIG.PSU_MIO_33_DIRECTION {in} \
+ CONFIG.PSU_MIO_33_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_33_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_33_POLARITY {Default} \
+ CONFIG.PSU_MIO_33_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_33_SLEW {fast} \
+ CONFIG.PSU_MIO_34_DIRECTION {out} \
+ CONFIG.PSU_MIO_34_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_34_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_34_POLARITY {Default} \
+ CONFIG.PSU_MIO_34_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_34_SLEW {fast} \
+ CONFIG.PSU_MIO_35_DIRECTION {out} \
+ CONFIG.PSU_MIO_35_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_35_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_35_POLARITY {Default} \
+ CONFIG.PSU_MIO_35_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_35_SLEW {slow} \
+ CONFIG.PSU_MIO_36_DIRECTION {inout} \
+ CONFIG.PSU_MIO_36_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_36_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_36_POLARITY {Default} \
+ CONFIG.PSU_MIO_36_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_36_SLEW {slow} \
+ CONFIG.PSU_MIO_37_DIRECTION {inout} \
+ CONFIG.PSU_MIO_37_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_37_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_37_POLARITY {Default} \
+ CONFIG.PSU_MIO_37_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_37_SLEW {slow} \
+ CONFIG.PSU_MIO_38_DIRECTION {inout} \
+ CONFIG.PSU_MIO_38_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_38_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_38_POLARITY {Default} \
+ CONFIG.PSU_MIO_38_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_38_SLEW {slow} \
+ CONFIG.PSU_MIO_39_DIRECTION {inout} \
+ CONFIG.PSU_MIO_39_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_39_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_39_POLARITY {Default} \
+ CONFIG.PSU_MIO_39_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_39_SLEW {slow} \
+ CONFIG.PSU_MIO_3_DIRECTION {inout} \
+ CONFIG.PSU_MIO_3_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_3_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_3_POLARITY {Default} \
+ CONFIG.PSU_MIO_3_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_3_SLEW {slow} \
+ CONFIG.PSU_MIO_40_DIRECTION {inout} \
+ CONFIG.PSU_MIO_40_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_40_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_40_POLARITY {Default} \
+ CONFIG.PSU_MIO_40_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_40_SLEW {slow} \
+ CONFIG.PSU_MIO_41_DIRECTION {inout} \
+ CONFIG.PSU_MIO_41_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_41_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_41_POLARITY {Default} \
+ CONFIG.PSU_MIO_41_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_41_SLEW {slow} \
+ CONFIG.PSU_MIO_42_DIRECTION {inout} \
+ CONFIG.PSU_MIO_42_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_42_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_42_POLARITY {Default} \
+ CONFIG.PSU_MIO_42_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_42_SLEW {slow} \
+ CONFIG.PSU_MIO_43_DIRECTION {inout} \
+ CONFIG.PSU_MIO_43_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_43_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_43_POLARITY {Default} \
+ CONFIG.PSU_MIO_43_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_43_SLEW {slow} \
+ CONFIG.PSU_MIO_44_DIRECTION {inout} \
+ CONFIG.PSU_MIO_44_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_44_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_44_POLARITY {Default} \
+ CONFIG.PSU_MIO_44_PULLUPDOWN {pulldown} \
+ CONFIG.PSU_MIO_44_SLEW {slow} \
+ CONFIG.PSU_MIO_45_DIRECTION {in} \
+ CONFIG.PSU_MIO_45_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_45_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_45_POLARITY {Default} \
+ CONFIG.PSU_MIO_45_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_45_SLEW {fast} \
+ CONFIG.PSU_MIO_46_DIRECTION {inout} \
+ CONFIG.PSU_MIO_46_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_46_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_46_POLARITY {Default} \
+ CONFIG.PSU_MIO_46_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_46_SLEW {slow} \
+ CONFIG.PSU_MIO_47_DIRECTION {inout} \
+ CONFIG.PSU_MIO_47_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_47_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_47_POLARITY {Default} \
+ CONFIG.PSU_MIO_47_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_47_SLEW {slow} \
+ CONFIG.PSU_MIO_48_DIRECTION {inout} \
+ CONFIG.PSU_MIO_48_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_48_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_48_POLARITY {Default} \
+ CONFIG.PSU_MIO_48_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_48_SLEW {slow} \
+ CONFIG.PSU_MIO_49_DIRECTION {inout} \
+ CONFIG.PSU_MIO_49_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_49_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_49_POLARITY {Default} \
+ CONFIG.PSU_MIO_49_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_49_SLEW {slow} \
+ CONFIG.PSU_MIO_4_DIRECTION {inout} \
+ CONFIG.PSU_MIO_4_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_4_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_4_POLARITY {Default} \
+ CONFIG.PSU_MIO_4_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_4_SLEW {slow} \
+ CONFIG.PSU_MIO_50_DIRECTION {inout} \
+ CONFIG.PSU_MIO_50_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_50_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_50_POLARITY {Default} \
+ CONFIG.PSU_MIO_50_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_50_SLEW {slow} \
+ CONFIG.PSU_MIO_51_DIRECTION {out} \
+ CONFIG.PSU_MIO_51_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_51_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_51_POLARITY {Default} \
+ CONFIG.PSU_MIO_51_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_51_SLEW {slow} \
+ CONFIG.PSU_MIO_52_DIRECTION {in} \
+ CONFIG.PSU_MIO_52_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_52_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_52_POLARITY {Default} \
+ CONFIG.PSU_MIO_52_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_52_SLEW {fast} \
+ CONFIG.PSU_MIO_53_DIRECTION {in} \
+ CONFIG.PSU_MIO_53_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_53_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_53_POLARITY {Default} \
+ CONFIG.PSU_MIO_53_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_53_SLEW {fast} \
+ CONFIG.PSU_MIO_54_DIRECTION {inout} \
+ CONFIG.PSU_MIO_54_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_54_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_54_POLARITY {Default} \
+ CONFIG.PSU_MIO_54_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_54_SLEW {slow} \
+ CONFIG.PSU_MIO_55_DIRECTION {in} \
+ CONFIG.PSU_MIO_55_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_55_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_55_POLARITY {Default} \
+ CONFIG.PSU_MIO_55_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_55_SLEW {fast} \
+ CONFIG.PSU_MIO_56_DIRECTION {inout} \
+ CONFIG.PSU_MIO_56_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_56_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_56_POLARITY {Default} \
+ CONFIG.PSU_MIO_56_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_56_SLEW {slow} \
+ CONFIG.PSU_MIO_57_DIRECTION {inout} \
+ CONFIG.PSU_MIO_57_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_57_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_57_POLARITY {Default} \
+ CONFIG.PSU_MIO_57_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_57_SLEW {slow} \
+ CONFIG.PSU_MIO_58_DIRECTION {out} \
+ CONFIG.PSU_MIO_58_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_58_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_58_POLARITY {Default} \
+ CONFIG.PSU_MIO_58_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_58_SLEW {slow} \
+ CONFIG.PSU_MIO_59_DIRECTION {inout} \
+ CONFIG.PSU_MIO_59_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_59_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_59_POLARITY {Default} \
+ CONFIG.PSU_MIO_59_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_59_SLEW {slow} \
+ CONFIG.PSU_MIO_5_DIRECTION {inout} \
+ CONFIG.PSU_MIO_5_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_5_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_5_POLARITY {Default} \
+ CONFIG.PSU_MIO_5_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_5_SLEW {slow} \
+ CONFIG.PSU_MIO_60_DIRECTION {inout} \
+ CONFIG.PSU_MIO_60_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_60_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_60_POLARITY {Default} \
+ CONFIG.PSU_MIO_60_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_60_SLEW {slow} \
+ CONFIG.PSU_MIO_61_DIRECTION {inout} \
+ CONFIG.PSU_MIO_61_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_61_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_61_POLARITY {Default} \
+ CONFIG.PSU_MIO_61_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_61_SLEW {slow} \
+ CONFIG.PSU_MIO_62_DIRECTION {inout} \
+ CONFIG.PSU_MIO_62_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_62_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_62_POLARITY {Default} \
+ CONFIG.PSU_MIO_62_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_62_SLEW {slow} \
+ CONFIG.PSU_MIO_63_DIRECTION {inout} \
+ CONFIG.PSU_MIO_63_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_63_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_63_POLARITY {Default} \
+ CONFIG.PSU_MIO_63_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_63_SLEW {slow} \
+ CONFIG.PSU_MIO_64_DIRECTION {out} \
+ CONFIG.PSU_MIO_64_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_64_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_64_POLARITY {Default} \
+ CONFIG.PSU_MIO_64_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_64_SLEW {slow} \
+ CONFIG.PSU_MIO_65_DIRECTION {out} \
+ CONFIG.PSU_MIO_65_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_65_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_65_POLARITY {Default} \
+ CONFIG.PSU_MIO_65_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_65_SLEW {slow} \
+ CONFIG.PSU_MIO_66_DIRECTION {out} \
+ CONFIG.PSU_MIO_66_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_66_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_66_POLARITY {Default} \
+ CONFIG.PSU_MIO_66_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_66_SLEW {slow} \
+ CONFIG.PSU_MIO_67_DIRECTION {out} \
+ CONFIG.PSU_MIO_67_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_67_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_67_POLARITY {Default} \
+ CONFIG.PSU_MIO_67_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_67_SLEW {slow} \
+ CONFIG.PSU_MIO_68_DIRECTION {out} \
+ CONFIG.PSU_MIO_68_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_68_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_68_POLARITY {Default} \
+ CONFIG.PSU_MIO_68_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_68_SLEW {slow} \
+ CONFIG.PSU_MIO_69_DIRECTION {out} \
+ CONFIG.PSU_MIO_69_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_69_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_69_POLARITY {Default} \
+ CONFIG.PSU_MIO_69_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_69_SLEW {slow} \
+ CONFIG.PSU_MIO_6_DIRECTION {inout} \
+ CONFIG.PSU_MIO_6_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_6_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_6_POLARITY {Default} \
+ CONFIG.PSU_MIO_6_PULLUPDOWN {pulldown} \
+ CONFIG.PSU_MIO_6_SLEW {slow} \
+ CONFIG.PSU_MIO_70_DIRECTION {in} \
+ CONFIG.PSU_MIO_70_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_70_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_70_POLARITY {Default} \
+ CONFIG.PSU_MIO_70_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_70_SLEW {fast} \
+ CONFIG.PSU_MIO_71_DIRECTION {in} \
+ CONFIG.PSU_MIO_71_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_71_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_71_POLARITY {Default} \
+ CONFIG.PSU_MIO_71_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_71_SLEW {fast} \
+ CONFIG.PSU_MIO_72_DIRECTION {in} \
+ CONFIG.PSU_MIO_72_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_72_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_72_POLARITY {Default} \
+ CONFIG.PSU_MIO_72_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_72_SLEW {fast} \
+ CONFIG.PSU_MIO_73_DIRECTION {in} \
+ CONFIG.PSU_MIO_73_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_73_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_73_POLARITY {Default} \
+ CONFIG.PSU_MIO_73_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_73_SLEW {fast} \
+ CONFIG.PSU_MIO_74_DIRECTION {in} \
+ CONFIG.PSU_MIO_74_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_74_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_74_POLARITY {Default} \
+ CONFIG.PSU_MIO_74_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_74_SLEW {fast} \
+ CONFIG.PSU_MIO_75_DIRECTION {in} \
+ CONFIG.PSU_MIO_75_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_75_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_75_POLARITY {Default} \
+ CONFIG.PSU_MIO_75_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_75_SLEW {fast} \
+ CONFIG.PSU_MIO_76_DIRECTION {out} \
+ CONFIG.PSU_MIO_76_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_76_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_76_POLARITY {Default} \
+ CONFIG.PSU_MIO_76_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_76_SLEW {slow} \
+ CONFIG.PSU_MIO_77_DIRECTION {inout} \
+ CONFIG.PSU_MIO_77_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_77_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_77_POLARITY {Default} \
+ CONFIG.PSU_MIO_77_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_77_SLEW {slow} \
+ CONFIG.PSU_MIO_7_DIRECTION {out} \
+ CONFIG.PSU_MIO_7_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_7_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_7_POLARITY {Default} \
+ CONFIG.PSU_MIO_7_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_7_SLEW {slow} \
+ CONFIG.PSU_MIO_8_DIRECTION {out} \
+ CONFIG.PSU_MIO_8_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_8_INPUT_TYPE {cmos} \
+ CONFIG.PSU_MIO_8_POLARITY {Default} \
+ CONFIG.PSU_MIO_8_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_8_SLEW {slow} \
+ CONFIG.PSU_MIO_9_DIRECTION {inout} \
+ CONFIG.PSU_MIO_9_DRIVE_STRENGTH {12} \
+ CONFIG.PSU_MIO_9_INPUT_TYPE {schmitt} \
+ CONFIG.PSU_MIO_9_POLARITY {Default} \
+ CONFIG.PSU_MIO_9_PULLUPDOWN {pullup} \
+ CONFIG.PSU_MIO_9_SLEW {slow} \
+ CONFIG.PSU_MIO_TREE_PERIPHERALS {GPIO0 MIO#GPIO0 MIO#I2C 0#I2C 0#GPIO0 MIO#GPIO0 MIO#SPI 1#SPI 1#SPI 1#SPI 1#SPI 1#SPI 1#GPIO0 MIO#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#GPIO0 MIO#GPIO0 MIO#GPIO1 MIO#GPIO1 MIO#GPIO1 MIO#GPIO1 MIO#UART 0#UART 0#UART 1#UART 1#PMU GPO 2#PMU GPO 3#I2C 1#I2C 1#GPIO1 MIO#SD 1#SD 1#SD 1#SD 1#GPIO1 MIO#GPIO1 MIO#SD 1#SD 1#SD 1#SD 1#SD 1#SD 1#SD 1#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#Gem 3#MDIO 3#MDIO 3} \
+ CONFIG.PSU_MIO_TREE_SIGNALS {gpio0[0]#gpio0[1]#scl_out#sda_out#gpio0[4]#gpio0[5]#sclk_out#n_ss_out[2]#n_ss_out[1]#n_ss_out[0]#miso#mosi#gpio0[12]#sdio0_data_out[0]#sdio0_data_out[1]#sdio0_data_out[2]#sdio0_data_out[3]#sdio0_data_out[4]#sdio0_data_out[5]#sdio0_data_out[6]#sdio0_data_out[7]#sdio0_cmd_out#sdio0_clk_out#sdio0_bus_pow#gpio0[24]#gpio0[25]#gpio1[26]#gpio1[27]#gpio1[28]#gpio1[29]#rxd#txd#txd#rxd#gpo[2]#gpo[3]#scl_out#sda_out#gpio1[38]#sdio1_data_out[4]#sdio1_data_out[5]#sdio1_data_out[6]#sdio1_data_out[7]#gpio1[43]#gpio1[44]#sdio1_cd_n#sdio1_data_out[0]#sdio1_data_out[1]#sdio1_data_out[2]#sdio1_data_out[3]#sdio1_cmd_out#sdio1_clk_out#ulpi_clk_in#ulpi_dir#ulpi_tx_data[2]#ulpi_nxt#ulpi_tx_data[0]#ulpi_tx_data[1]#ulpi_stp#ulpi_tx_data[3]#ulpi_tx_data[4]#ulpi_tx_data[5]#ulpi_tx_data[6]#ulpi_tx_data[7]#rgmii_tx_clk#rgmii_txd[0]#rgmii_txd[1]#rgmii_txd[2]#rgmii_txd[3]#rgmii_tx_ctl#rgmii_rx_clk#rgmii_rxd[0]#rgmii_rxd[1]#rgmii_rxd[2]#rgmii_rxd[3]#rgmii_rx_ctl#gem3_mdc#gem3_mdio_out} \
+ CONFIG.PSU_PERIPHERAL_BOARD_PRESET {} \
+ CONFIG.PSU_SD0_INTERNAL_BUS_WIDTH {8} \
+ CONFIG.PSU_SD1_INTERNAL_BUS_WIDTH {8} \
+ CONFIG.PSU_SMC_CYCLE_T0 {NA} \
+ CONFIG.PSU_SMC_CYCLE_T1 {NA} \
+ CONFIG.PSU_SMC_CYCLE_T2 {NA} \
+ CONFIG.PSU_SMC_CYCLE_T3 {NA} \
+ CONFIG.PSU_SMC_CYCLE_T4 {NA} \
+ CONFIG.PSU_SMC_CYCLE_T5 {NA} \
+ CONFIG.PSU_SMC_CYCLE_T6 {NA} \
+ CONFIG.PSU_USB3__DUAL_CLOCK_ENABLE {1} \
+ CONFIG.PSU_VALUE_SILVERSION {3} \
+ CONFIG.PSU__ACPU0__POWER__ON {1} \
+ CONFIG.PSU__ACPU1__POWER__ON {1} \
+ CONFIG.PSU__ACPU2__POWER__ON {1} \
+ CONFIG.PSU__ACPU3__POWER__ON {1} \
+ CONFIG.PSU__ACTUAL__IP {1} \
+ CONFIG.PSU__ACT_DDR_FREQ_MHZ {1199.988037} \
+ CONFIG.PSU__AFI0_COHERENCY {0} \
+ CONFIG.PSU__AFI1_COHERENCY {0} \
+ CONFIG.PSU__AUX_REF_CLK__FREQMHZ {33.333} \
+ CONFIG.PSU__CAN0_LOOP_CAN1__ENABLE {0} \
+ CONFIG.PSU__CAN0__GRP_CLK__ENABLE {0} \
+ CONFIG.PSU__CAN0__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__CAN1__GRP_CLK__ENABLE {0} \
+ CONFIG.PSU__CAN1__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__ACPU_CTRL__ACT_FREQMHZ {1199.988037} \
+ CONFIG.PSU__CRF_APB__ACPU_CTRL__DIVISOR0 {1} \
+ CONFIG.PSU__CRF_APB__ACPU_CTRL__FREQMHZ {1200} \
+ CONFIG.PSU__CRF_APB__ACPU_CTRL__SRCSEL {APLL} \
+ CONFIG.PSU__CRF_APB__ACPU__FRAC_ENABLED {0} \
+ CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__ACT_FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI0_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__AFI0_REF__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__ACT_FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI1_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__AFI1_REF__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__ACT_FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI2_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__AFI2_REF__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__ACT_FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI3_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__AFI3_REF__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__ACT_FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI4_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__AFI4_REF__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__ACT_FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__FREQMHZ {667} \
+ CONFIG.PSU__CRF_APB__AFI5_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__AFI5_REF__ENABLE {0} \
+ CONFIG.PSU__CRF_APB__APLL_CTRL__DIV2 {1} \
+ CONFIG.PSU__CRF_APB__APLL_CTRL__FBDIV {72} \
+ CONFIG.PSU__CRF_APB__APLL_CTRL__FRACDATA {0.000000} \
+ CONFIG.PSU__CRF_APB__APLL_CTRL__FRACFREQ {27.138} \
+ CONFIG.PSU__CRF_APB__APLL_CTRL__SRCSEL {PSS_REF_CLK} \
+ CONFIG.PSU__CRF_APB__APLL_FRAC_CFG__ENABLED {0} \
+ CONFIG.PSU__CRF_APB__APLL_TO_LPD_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRF_APB__APM_CTRL__ACT_FREQMHZ {1} \
+ CONFIG.PSU__CRF_APB__APM_CTRL__DIVISOR0 {1} \
+ CONFIG.PSU__CRF_APB__APM_CTRL__FREQMHZ {1} \
+ CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__ACT_FREQMHZ {249.997498} \
+ CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__DBG_FPD_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__ACT_FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__DIVISOR0 {5} \
+ CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__DBG_TRACE_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__ACT_FREQMHZ {249.997498} \
+ CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__DBG_TSTMP_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRF_APB__DDR_CTRL__ACT_FREQMHZ {599.994019} \
+ CONFIG.PSU__CRF_APB__DDR_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__DDR_CTRL__FREQMHZ {1200} \
+ CONFIG.PSU__CRF_APB__DDR_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__ACT_FREQMHZ {599.994019} \
+ CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__FREQMHZ {600} \
+ CONFIG.PSU__CRF_APB__DPDMA_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__DPLL_CTRL__DIV2 {1} \
+ CONFIG.PSU__CRF_APB__DPLL_CTRL__FBDIV {72} \
+ CONFIG.PSU__CRF_APB__DPLL_CTRL__FRACDATA {0.000000} \
+ CONFIG.PSU__CRF_APB__DPLL_CTRL__FRACFREQ {27.138} \
+ CONFIG.PSU__CRF_APB__DPLL_CTRL__SRCSEL {PSS_REF_CLK} \
+ CONFIG.PSU__CRF_APB__DPLL_FRAC_CFG__ENABLED {0} \
+ CONFIG.PSU__CRF_APB__DPLL_TO_LPD_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__ACT_FREQMHZ {25} \
+ CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR0 {63} \
+ CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__FREQMHZ {25} \
+ CONFIG.PSU__CRF_APB__DP_AUDIO_REF_CTRL__SRCSEL {VPLL} \
+ CONFIG.PSU__CRF_APB__DP_AUDIO__FRAC_ENABLED {0} \
+ CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__ACT_FREQMHZ {27} \
+ CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR1 {10} \
+ CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__FREQMHZ {27} \
+ CONFIG.PSU__CRF_APB__DP_STC_REF_CTRL__SRCSEL {VPLL} \
+ CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__ACT_FREQMHZ {320} \
+ CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR0 {5} \
+ CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__FREQMHZ {300} \
+ CONFIG.PSU__CRF_APB__DP_VIDEO_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__DP_VIDEO__FRAC_ENABLED {0} \
+ CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__ACT_FREQMHZ {599.994019} \
+ CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__FREQMHZ {600} \
+ CONFIG.PSU__CRF_APB__GDMA_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__GPU_REF_CTRL__ACT_FREQMHZ {0} \
+ CONFIG.PSU__CRF_APB__GPU_REF_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRF_APB__GPU_REF_CTRL__FREQMHZ {600} \
+ CONFIG.PSU__CRF_APB__GPU_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__ACT_FREQMHZ {-1} \
+ CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__DIVISOR0 {-1} \
+ CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__FREQMHZ {-1} \
+ CONFIG.PSU__CRF_APB__GTGREF0_REF_CTRL__SRCSEL {NA} \
+ CONFIG.PSU__CRF_APB__GTGREF0__ENABLE {NA} \
+ CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__ACT_FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__PCIE_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRF_APB__SATA_REF_CTRL__ACT_FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__SATA_REF_CTRL__DIVISOR0 {5} \
+ CONFIG.PSU__CRF_APB__SATA_REF_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRF_APB__SATA_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__DIVISOR0 {5} \
+ CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRF_APB__TOPSW_LSBUS_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__ACT_FREQMHZ {533.328003} \
+ CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__FREQMHZ {533.333} \
+ CONFIG.PSU__CRF_APB__TOPSW_MAIN_CTRL__SRCSEL {VPLL} \
+ CONFIG.PSU__CRF_APB__VPLL_CTRL__DIV2 {1} \
+ CONFIG.PSU__CRF_APB__VPLL_CTRL__FBDIV {64} \
+ CONFIG.PSU__CRF_APB__VPLL_CTRL__FRACDATA {0.000000} \
+ CONFIG.PSU__CRF_APB__VPLL_CTRL__FRACFREQ {27.138} \
+ CONFIG.PSU__CRF_APB__VPLL_CTRL__SRCSEL {PSS_REF_CLK} \
+ CONFIG.PSU__CRF_APB__VPLL_FRAC_CFG__ENABLED {0} \
+ CONFIG.PSU__CRF_APB__VPLL_TO_LPD_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__ACT_FREQMHZ {499.994995} \
+ CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__ADMA_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__ACT_FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__AFI6_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__AFI6__ENABLE {0} \
+ CONFIG.PSU__CRL_APB__AMS_REF_CTRL__ACT_FREQMHZ {49.999500} \
+ CONFIG.PSU__CRL_APB__AMS_REF_CTRL__DIVISOR0 {30} \
+ CONFIG.PSU__CRL_APB__AMS_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__AMS_REF_CTRL__FREQMHZ {50} \
+ CONFIG.PSU__CRL_APB__AMS_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__ACT_FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__CAN0_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__ACT_FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__CAN1_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__CPU_R5_CTRL__ACT_FREQMHZ {499.994995} \
+ CONFIG.PSU__CRL_APB__CPU_R5_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__CPU_R5_CTRL__FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__CPU_R5_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__ACT_FREQMHZ {180} \
+ CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__FREQMHZ {180} \
+ CONFIG.PSU__CRL_APB__CSU_PLL_CTRL__SRCSEL {SysOsc} \
+ CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__ACT_FREQMHZ {249.997498} \
+ CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRL_APB__DBG_LPD_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__ACT_FREQMHZ {1000} \
+ CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__FREQMHZ {1000} \
+ CONFIG.PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__SRCSEL {RPLL} \
+ CONFIG.PSU__CRL_APB__DLL_REF_CTRL__ACT_FREQMHZ {1499.984985} \
+ CONFIG.PSU__CRL_APB__DLL_REF_CTRL__FREQMHZ {1500} \
+ CONFIG.PSU__CRL_APB__DLL_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__ACT_FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR0 {12} \
+ CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM0_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__ACT_FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR0 {12} \
+ CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM1_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__ACT_FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR0 {12} \
+ CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM2_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__ACT_FREQMHZ {124.998749} \
+ CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR0 {12} \
+ CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__FREQMHZ {125} \
+ CONFIG.PSU__CRL_APB__GEM3_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__ACT_FREQMHZ {249.997498} \
+ CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRL_APB__GEM_TSU_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__I2C0_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__I2C1_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__IOPLL_CTRL__DIV2 {1} \
+ CONFIG.PSU__CRL_APB__IOPLL_CTRL__FBDIV {90} \
+ CONFIG.PSU__CRL_APB__IOPLL_CTRL__FRACDATA {0.000000} \
+ CONFIG.PSU__CRL_APB__IOPLL_CTRL__FRACFREQ {27.138} \
+ CONFIG.PSU__CRL_APB__IOPLL_CTRL__SRCSEL {PSS_REF_CLK} \
+ CONFIG.PSU__CRL_APB__IOPLL_FRAC_CFG__ENABLED {0} \
+ CONFIG.PSU__CRL_APB__IOPLL_TO_FPD_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__ACT_FREQMHZ {266.664001} \
+ CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__FREQMHZ {267} \
+ CONFIG.PSU__CRL_APB__IOU_SWITCH_CTRL__SRCSEL {RPLL} \
+ CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__LPD_LSBUS_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__ACT_FREQMHZ {499.994995} \
+ CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__LPD_SWITCH_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__NAND_REF_CTRL__ACT_FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__NAND_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__NAND_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__NAND_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__NAND_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__ACT_FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__DIVISOR0 {3} \
+ CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__FREQMHZ {500} \
+ CONFIG.PSU__CRL_APB__OCM_MAIN_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__PCAP_CTRL__ACT_FREQMHZ {187.498123} \
+ CONFIG.PSU__CRL_APB__PCAP_CTRL__DIVISOR0 {8} \
+ CONFIG.PSU__CRL_APB__PCAP_CTRL__FREQMHZ {200} \
+ CONFIG.PSU__CRL_APB__PCAP_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__PL0_REF_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRL_APB__PL0_REF_CTRL__DIVISOR0 {4} \
+ CONFIG.PSU__CRL_APB__PL0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__PL0_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__PL0_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRL_APB__PL1_REF_CTRL__ACT_FREQMHZ {39.999599} \
+ CONFIG.PSU__CRL_APB__PL1_REF_CTRL__DIVISOR0 {10} \
+ CONFIG.PSU__CRL_APB__PL1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__PL1_REF_CTRL__FREQMHZ {40} \
+ CONFIG.PSU__CRL_APB__PL1_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRL_APB__PL2_REF_CTRL__ACT_FREQMHZ {166.664993} \
+ CONFIG.PSU__CRL_APB__PL2_REF_CTRL__DIVISOR0 {9} \
+ CONFIG.PSU__CRL_APB__PL2_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__PL2_REF_CTRL__FREQMHZ {166.6667} \
+ CONFIG.PSU__CRL_APB__PL2_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__PL3_REF_CTRL__ACT_FREQMHZ {199.998001} \
+ CONFIG.PSU__CRL_APB__PL3_REF_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRL_APB__PL3_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__PL3_REF_CTRL__FREQMHZ {200} \
+ CONFIG.PSU__CRL_APB__PL3_REF_CTRL__SRCSEL {DPLL} \
+ CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__ACT_FREQMHZ {299.997009} \
+ CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR0 {5} \
+ CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__FREQMHZ {300} \
+ CONFIG.PSU__CRL_APB__QSPI_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__RPLL_CTRL__DIV2 {1} \
+ CONFIG.PSU__CRL_APB__RPLL_CTRL__FBDIV {48} \
+ CONFIG.PSU__CRL_APB__RPLL_CTRL__FRACDATA {0.000000} \
+ CONFIG.PSU__CRL_APB__RPLL_CTRL__FRACFREQ {27.138} \
+ CONFIG.PSU__CRL_APB__RPLL_CTRL__SRCSEL {PSS_REF_CLK} \
+ CONFIG.PSU__CRL_APB__RPLL_FRAC_CFG__ENABLED {0} \
+ CONFIG.PSU__CRL_APB__RPLL_TO_FPD_CTRL__DIVISOR0 {2} \
+ CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__ACT_FREQMHZ {199.998001} \
+ CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR0 {4} \
+ CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__FREQMHZ {200} \
+ CONFIG.PSU__CRL_APB__SDIO0_REF_CTRL__SRCSEL {RPLL} \
+ CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__ACT_FREQMHZ {199.998001} \
+ CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR0 {4} \
+ CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__FREQMHZ {200} \
+ CONFIG.PSU__CRL_APB__SDIO1_REF_CTRL__SRCSEL {RPLL} \
+ CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__ACT_FREQMHZ {199.998001} \
+ CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR0 {7} \
+ CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__FREQMHZ {200} \
+ CONFIG.PSU__CRL_APB__SPI0_REF_CTRL__SRCSEL {RPLL} \
+ CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__ACT_FREQMHZ {199.998001} \
+ CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR0 {4} \
+ CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__FREQMHZ {200} \
+ CONFIG.PSU__CRL_APB__SPI1_REF_CTRL__SRCSEL {RPLL} \
+ CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__ACT_FREQMHZ {33.333000} \
+ CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__DIVISOR0 {1} \
+ CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__TIMESTAMP_REF_CTRL__SRCSEL {PSS_REF_CLK} \
+ CONFIG.PSU__CRL_APB__UART0_REF_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRL_APB__UART0_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__UART0_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__UART0_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__UART0_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__UART1_REF_CTRL__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__CRL_APB__UART1_REF_CTRL__DIVISOR0 {15} \
+ CONFIG.PSU__CRL_APB__UART1_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__UART1_REF_CTRL__FREQMHZ {100} \
+ CONFIG.PSU__CRL_APB__UART1_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__ACT_FREQMHZ {249.997498} \
+ CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRL_APB__USB0_BUS_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__ACT_FREQMHZ {250} \
+ CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR0 {6} \
+ CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR1 {1} \
+ CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__FREQMHZ {250} \
+ CONFIG.PSU__CRL_APB__USB1_BUS_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__ACT_FREQMHZ {19.999800} \
+ CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR0 {25} \
+ CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR1 {3} \
+ CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__FREQMHZ {20} \
+ CONFIG.PSU__CRL_APB__USB3_DUAL_REF_CTRL__SRCSEL {IOPLL} \
+ CONFIG.PSU__CRL_APB__USB3__ENABLE {0} \
+ CONFIG.PSU__CSUPMU__PERIPHERAL__VALID {1} \
+ CONFIG.PSU__CSU_COHERENCY {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_0__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_0__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_10__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_10__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_11__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_11__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_12__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_12__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_1__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_1__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_2__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_2__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_3__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_3__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_4__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_4__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_5__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_5__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_6__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_6__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_7__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_7__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_8__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_8__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_9__ENABLE {0} \
+ CONFIG.PSU__CSU__CSU_TAMPER_9__ERASE_BBRAM {0} \
+ CONFIG.PSU__CSU__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__DDRC__ADDR_MIRROR {0} \
+ CONFIG.PSU__DDRC__AL {0} \
+ CONFIG.PSU__DDRC__BANK_ADDR_COUNT {2} \
+ CONFIG.PSU__DDRC__BG_ADDR_COUNT {1} \
+ CONFIG.PSU__DDRC__BRC_MAPPING {ROW_BANK_COL} \
+ CONFIG.PSU__DDRC__BUS_WIDTH {64 Bit} \
+ CONFIG.PSU__DDRC__CL {16} \
+ CONFIG.PSU__DDRC__CLOCK_STOP_EN {0} \
+ CONFIG.PSU__DDRC__COL_ADDR_COUNT {10} \
+ CONFIG.PSU__DDRC__COMPONENTS {Components} \
+ CONFIG.PSU__DDRC__CWL {12} \
+ CONFIG.PSU__DDRC__DDR3L_T_REF_RANGE {NA} \
+ CONFIG.PSU__DDRC__DDR3_T_REF_RANGE {NA} \
+ CONFIG.PSU__DDRC__DDR4_ADDR_MAPPING {0} \
+ CONFIG.PSU__DDRC__DDR4_CAL_MODE_ENABLE {0} \
+ CONFIG.PSU__DDRC__DDR4_CRC_CONTROL {0} \
+ CONFIG.PSU__DDRC__DDR4_MAXPWR_SAVING_EN {0} \
+ CONFIG.PSU__DDRC__DDR4_T_REF_MODE {1} \
+ CONFIG.PSU__DDRC__DDR4_T_REF_RANGE {High (95 Max)} \
+ CONFIG.PSU__DDRC__DEEP_PWR_DOWN_EN {0} \
+ CONFIG.PSU__DDRC__DEVICE_CAPACITY {8192 MBits} \
+ CONFIG.PSU__DDRC__DIMM_ADDR_MIRROR {0} \
+ CONFIG.PSU__DDRC__DM_DBI {DM_NO_DBI} \
+ CONFIG.PSU__DDRC__DQMAP_0_3 {0} \
+ CONFIG.PSU__DDRC__DQMAP_12_15 {0} \
+ CONFIG.PSU__DDRC__DQMAP_16_19 {0} \
+ CONFIG.PSU__DDRC__DQMAP_20_23 {0} \
+ CONFIG.PSU__DDRC__DQMAP_24_27 {0} \
+ CONFIG.PSU__DDRC__DQMAP_28_31 {0} \
+ CONFIG.PSU__DDRC__DQMAP_32_35 {0} \
+ CONFIG.PSU__DDRC__DQMAP_36_39 {0} \
+ CONFIG.PSU__DDRC__DQMAP_40_43 {0} \
+ CONFIG.PSU__DDRC__DQMAP_44_47 {0} \
+ CONFIG.PSU__DDRC__DQMAP_48_51 {0} \
+ CONFIG.PSU__DDRC__DQMAP_4_7 {0} \
+ CONFIG.PSU__DDRC__DQMAP_52_55 {0} \
+ CONFIG.PSU__DDRC__DQMAP_56_59 {0} \
+ CONFIG.PSU__DDRC__DQMAP_60_63 {0} \
+ CONFIG.PSU__DDRC__DQMAP_64_67 {0} \
+ CONFIG.PSU__DDRC__DQMAP_68_71 {0} \
+ CONFIG.PSU__DDRC__DQMAP_8_11 {0} \
+ CONFIG.PSU__DDRC__DRAM_WIDTH {16 Bits} \
+ CONFIG.PSU__DDRC__ECC {Disabled} \
+ CONFIG.PSU__DDRC__ECC_SCRUB {0} \
+ CONFIG.PSU__DDRC__ENABLE {1} \
+ CONFIG.PSU__DDRC__ENABLE_2T_TIMING {0} \
+ CONFIG.PSU__DDRC__ENABLE_DP_SWITCH {0} \
+ CONFIG.PSU__DDRC__ENABLE_LP4_HAS_ECC_COMP {0} \
+ CONFIG.PSU__DDRC__ENABLE_LP4_SLOWBOOT {0} \
+ CONFIG.PSU__DDRC__EN_2ND_CLK {0} \
+ CONFIG.PSU__DDRC__FGRM {1X} \
+ CONFIG.PSU__DDRC__FREQ_MHZ {1} \
+ CONFIG.PSU__DDRC__LPDDR3_DUALRANK_SDP {0} \
+ CONFIG.PSU__DDRC__LPDDR3_T_REF_RANGE {NA} \
+ CONFIG.PSU__DDRC__LPDDR4_T_REF_RANGE {NA} \
+ CONFIG.PSU__DDRC__LP_ASR {manual normal} \
+ CONFIG.PSU__DDRC__MEMORY_TYPE {DDR 4} \
+ CONFIG.PSU__DDRC__PARITY_ENABLE {0} \
+ CONFIG.PSU__DDRC__PER_BANK_REFRESH {0} \
+ CONFIG.PSU__DDRC__PHY_DBI_MODE {0} \
+ CONFIG.PSU__DDRC__PLL_BYPASS {0} \
+ CONFIG.PSU__DDRC__PWR_DOWN_EN {0} \
+ CONFIG.PSU__DDRC__RANK_ADDR_COUNT {0} \
+ CONFIG.PSU__DDRC__RD_DQS_CENTER {0} \
+ CONFIG.PSU__DDRC__ROW_ADDR_COUNT {16} \
+ CONFIG.PSU__DDRC__SB_TARGET {15-15-15} \
+ CONFIG.PSU__DDRC__SELF_REF_ABORT {0} \
+ CONFIG.PSU__DDRC__SPEED_BIN {DDR4_2400P} \
+ CONFIG.PSU__DDRC__STATIC_RD_MODE {0} \
+ CONFIG.PSU__DDRC__TRAIN_DATA_EYE {1} \
+ CONFIG.PSU__DDRC__TRAIN_READ_GATE {1} \
+ CONFIG.PSU__DDRC__TRAIN_WRITE_LEVEL {1} \
+ CONFIG.PSU__DDRC__T_FAW {30.0} \
+ CONFIG.PSU__DDRC__T_RAS_MIN {32} \
+ CONFIG.PSU__DDRC__T_RC {45.32} \
+ CONFIG.PSU__DDRC__T_RCD {16} \
+ CONFIG.PSU__DDRC__T_RP {16} \
+ CONFIG.PSU__DDRC__VENDOR_PART {OTHERS} \
+ CONFIG.PSU__DDRC__VIDEO_BUFFER_SIZE {0} \
+ CONFIG.PSU__DDRC__VREF {1} \
+ CONFIG.PSU__DDR_HIGH_ADDRESS_GUI_ENABLE {1} \
+ CONFIG.PSU__DDR_QOS_ENABLE {0} \
+ CONFIG.PSU__DDR_QOS_FIX_HP0_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP0_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP1_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP1_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP2_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP2_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP3_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_FIX_HP3_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_HP0_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_HP0_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_HP1_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_HP1_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_HP2_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_HP2_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_HP3_RDQOS {} \
+ CONFIG.PSU__DDR_QOS_HP3_WRQOS {} \
+ CONFIG.PSU__DDR_QOS_RD_HPR_THRSHLD {} \
+ CONFIG.PSU__DDR_QOS_RD_LPR_THRSHLD {} \
+ CONFIG.PSU__DDR_QOS_WR_THRSHLD {} \
+ CONFIG.PSU__DDR_SW_REFRESH_ENABLED {1} \
+ CONFIG.PSU__DDR__INTERFACE__FREQMHZ {600.000} \
+ CONFIG.PSU__DEVICE_TYPE {RFSOC} \
+ CONFIG.PSU__DISPLAYPORT__LANE0__ENABLE {0} \
+ CONFIG.PSU__DISPLAYPORT__LANE1__ENABLE {0} \
+ CONFIG.PSU__DISPLAYPORT__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__DLL__ISUSED {1} \
+ CONFIG.PSU__DPAUX__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__ENABLE__DDR__REFRESH__SIGNALS {0} \
+ CONFIG.PSU__ENET0__FIFO__ENABLE {0} \
+ CONFIG.PSU__ENET0__GRP_MDIO__ENABLE {0} \
+ CONFIG.PSU__ENET0__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__ENET0__PTP__ENABLE {0} \
+ CONFIG.PSU__ENET0__TSU__ENABLE {0} \
+ CONFIG.PSU__ENET1__FIFO__ENABLE {0} \
+ CONFIG.PSU__ENET1__GRP_MDIO__ENABLE {0} \
+ CONFIG.PSU__ENET1__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__ENET1__PTP__ENABLE {0} \
+ CONFIG.PSU__ENET1__TSU__ENABLE {0} \
+ CONFIG.PSU__ENET2__FIFO__ENABLE {0} \
+ CONFIG.PSU__ENET2__GRP_MDIO__ENABLE {0} \
+ CONFIG.PSU__ENET2__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__ENET2__PTP__ENABLE {0} \
+ CONFIG.PSU__ENET2__TSU__ENABLE {0} \
+ CONFIG.PSU__ENET3__FIFO__ENABLE {0} \
+ CONFIG.PSU__ENET3__GRP_MDIO__ENABLE {1} \
+ CONFIG.PSU__ENET3__GRP_MDIO__IO {MIO 76 .. 77} \
+ CONFIG.PSU__ENET3__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__ENET3__PERIPHERAL__IO {MIO 64 .. 75} \
+ CONFIG.PSU__ENET3__PTP__ENABLE {0} \
+ CONFIG.PSU__ENET3__TSU__ENABLE {0} \
+ CONFIG.PSU__EN_AXI_STATUS_PORTS {0} \
+ CONFIG.PSU__EN_EMIO_TRACE {0} \
+ CONFIG.PSU__EP__IP {0} \
+ CONFIG.PSU__EXPAND__CORESIGHT {0} \
+ CONFIG.PSU__EXPAND__FPD_SLAVES {0} \
+ CONFIG.PSU__EXPAND__GIC {0} \
+ CONFIG.PSU__EXPAND__LOWER_LPS_SLAVES {0} \
+ CONFIG.PSU__EXPAND__UPPER_LPS_SLAVES {0} \
+ CONFIG.PSU__FPDMASTERS_COHERENCY {0} \
+ CONFIG.PSU__FPD_SLCR__WDT1__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__FPD_SLCR__WDT1__FREQMHZ {99.999001} \
+ CONFIG.PSU__FPD_SLCR__WDT_CLK_SEL__SELECT {APB} \
+ CONFIG.PSU__FPGA_PL0_ENABLE {1} \
+ CONFIG.PSU__FPGA_PL1_ENABLE {1} \
+ CONFIG.PSU__FPGA_PL2_ENABLE {1} \
+ CONFIG.PSU__FPGA_PL3_ENABLE {1} \
+ CONFIG.PSU__FP__POWER__ON {1} \
+ CONFIG.PSU__FTM__CTI_IN_0 {0} \
+ CONFIG.PSU__FTM__CTI_IN_1 {0} \
+ CONFIG.PSU__FTM__CTI_IN_2 {0} \
+ CONFIG.PSU__FTM__CTI_IN_3 {0} \
+ CONFIG.PSU__FTM__CTI_OUT_0 {0} \
+ CONFIG.PSU__FTM__CTI_OUT_1 {0} \
+ CONFIG.PSU__FTM__CTI_OUT_2 {0} \
+ CONFIG.PSU__FTM__CTI_OUT_3 {0} \
+ CONFIG.PSU__FTM__GPI {0} \
+ CONFIG.PSU__FTM__GPO {0} \
+ CONFIG.PSU__GEM0_COHERENCY {0} \
+ CONFIG.PSU__GEM0_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__GEM1_COHERENCY {0} \
+ CONFIG.PSU__GEM1_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__GEM2_COHERENCY {0} \
+ CONFIG.PSU__GEM2_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__GEM3_COHERENCY {0} \
+ CONFIG.PSU__GEM3_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__GEM__TSU__ENABLE {0} \
+ CONFIG.PSU__GEN_IPI_0__MASTER {APU} \
+ CONFIG.PSU__GEN_IPI_10__MASTER {NONE} \
+ CONFIG.PSU__GEN_IPI_1__MASTER {RPU0} \
+ CONFIG.PSU__GEN_IPI_2__MASTER {RPU1} \
+ CONFIG.PSU__GEN_IPI_3__MASTER {PMU} \
+ CONFIG.PSU__GEN_IPI_4__MASTER {PMU} \
+ CONFIG.PSU__GEN_IPI_5__MASTER {PMU} \
+ CONFIG.PSU__GEN_IPI_6__MASTER {PMU} \
+ CONFIG.PSU__GEN_IPI_7__MASTER {NONE} \
+ CONFIG.PSU__GEN_IPI_8__MASTER {NONE} \
+ CONFIG.PSU__GEN_IPI_9__MASTER {NONE} \
+ CONFIG.PSU__GPIO0_MIO__IO {MIO 0 .. 25} \
+ CONFIG.PSU__GPIO0_MIO__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__GPIO1_MIO__IO {MIO 26 .. 51} \
+ CONFIG.PSU__GPIO1_MIO__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__GPIO2_MIO__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__GPIO_EMIO_WIDTH {32} \
+ CONFIG.PSU__GPIO_EMIO__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__GPIO_EMIO__PERIPHERAL__IO {32} \
+ CONFIG.PSU__GPIO_EMIO__WIDTH {[91:0]} \
+ CONFIG.PSU__GPU_PP0__POWER__ON {0} \
+ CONFIG.PSU__GPU_PP1__POWER__ON {0} \
+ CONFIG.PSU__GT_REF_CLK__FREQMHZ {33.333} \
+ CONFIG.PSU__GT__PRE_EMPH_LVL_4 {} \
+ CONFIG.PSU__GT__VLT_SWNG_LVL_4 {} \
+ CONFIG.PSU__HIGH_ADDRESS__ENABLE {1} \
+ CONFIG.PSU__HPM0_FPD__NUM_READ_THREADS {4} \
+ CONFIG.PSU__HPM0_FPD__NUM_WRITE_THREADS {4} \
+ CONFIG.PSU__HPM0_LPD__NUM_READ_THREADS {4} \
+ CONFIG.PSU__HPM0_LPD__NUM_WRITE_THREADS {4} \
+ CONFIG.PSU__HPM1_FPD__NUM_READ_THREADS {4} \
+ CONFIG.PSU__HPM1_FPD__NUM_WRITE_THREADS {4} \
+ CONFIG.PSU__I2C0_LOOP_I2C1__ENABLE {0} \
+ CONFIG.PSU__I2C0__GRP_INT__ENABLE {0} \
+ CONFIG.PSU__I2C0__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__I2C0__PERIPHERAL__IO {MIO 2 .. 3} \
+ CONFIG.PSU__I2C1__GRP_INT__ENABLE {0} \
+ CONFIG.PSU__I2C1__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__I2C1__PERIPHERAL__IO {MIO 36 .. 37} \
+ CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC0_SEL {APB} \
+ CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC1_SEL {APB} \
+ CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC2_SEL {APB} \
+ CONFIG.PSU__IOU_SLCR__IOU_TTC_APB_CLK__TTC3_SEL {APB} \
+ CONFIG.PSU__IOU_SLCR__TTC0__ACT_FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC0__FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC1__ACT_FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC1__FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC2__ACT_FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC2__FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC3__ACT_FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__TTC3__FREQMHZ {100} \
+ CONFIG.PSU__IOU_SLCR__WDT0__ACT_FREQMHZ {99.999001} \
+ CONFIG.PSU__IOU_SLCR__WDT0__FREQMHZ {99.999001} \
+ CONFIG.PSU__IOU_SLCR__WDT_CLK_SEL__SELECT {APB} \
+ CONFIG.PSU__IRQ_P2F_ADMA_CHAN__INT {0} \
+ CONFIG.PSU__IRQ_P2F_AIB_AXI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_AMS__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APM_FPD__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_COMM__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_CPUMNT__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_CTI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_EXTERR__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_IPI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_L2ERR__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_PMU__INT {0} \
+ CONFIG.PSU__IRQ_P2F_APU_REGS__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ATB_LPD__INT {0} \
+ CONFIG.PSU__IRQ_P2F_CAN0__INT {0} \
+ CONFIG.PSU__IRQ_P2F_CAN1__INT {0} \
+ CONFIG.PSU__IRQ_P2F_CLKMON__INT {0} \
+ CONFIG.PSU__IRQ_P2F_CSUPMU_WDT__INT {0} \
+ CONFIG.PSU__IRQ_P2F_CSU_DMA__INT {0} \
+ CONFIG.PSU__IRQ_P2F_CSU__INT {0} \
+ CONFIG.PSU__IRQ_P2F_DDR_SS__INT {0} \
+ CONFIG.PSU__IRQ_P2F_DPDMA__INT {0} \
+ CONFIG.PSU__IRQ_P2F_DPORT__INT {0} \
+ CONFIG.PSU__IRQ_P2F_EFUSE__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT0_WAKEUP__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT0__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT1_WAKEUP__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT1__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT2_WAKEUP__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT2__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT3_WAKEUP__INT {0} \
+ CONFIG.PSU__IRQ_P2F_ENT3__INT {0} \
+ CONFIG.PSU__IRQ_P2F_FPD_APB__INT {0} \
+ CONFIG.PSU__IRQ_P2F_FPD_ATB_ERR__INT {0} \
+ CONFIG.PSU__IRQ_P2F_FP_WDT__INT {0} \
+ CONFIG.PSU__IRQ_P2F_GDMA_CHAN__INT {0} \
+ CONFIG.PSU__IRQ_P2F_GPIO__INT {0} \
+ CONFIG.PSU__IRQ_P2F_GPU__INT {0} \
+ CONFIG.PSU__IRQ_P2F_I2C0__INT {0} \
+ CONFIG.PSU__IRQ_P2F_I2C1__INT {0} \
+ CONFIG.PSU__IRQ_P2F_LPD_APB__INT {0} \
+ CONFIG.PSU__IRQ_P2F_LPD_APM__INT {0} \
+ CONFIG.PSU__IRQ_P2F_LP_WDT__INT {0} \
+ CONFIG.PSU__IRQ_P2F_NAND__INT {0} \
+ CONFIG.PSU__IRQ_P2F_OCM_ERR__INT {0} \
+ CONFIG.PSU__IRQ_P2F_PCIE_DMA__INT {0} \
+ CONFIG.PSU__IRQ_P2F_PCIE_LEGACY__INT {0} \
+ CONFIG.PSU__IRQ_P2F_PCIE_MSC__INT {0} \
+ CONFIG.PSU__IRQ_P2F_PCIE_MSI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_PL_IPI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_QSPI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_R5_CORE0_ECC_ERR__INT {0} \
+ CONFIG.PSU__IRQ_P2F_R5_CORE1_ECC_ERR__INT {0} \
+ CONFIG.PSU__IRQ_P2F_RPU_IPI__INT {0} \
+ CONFIG.PSU__IRQ_P2F_RPU_PERMON__INT {0} \
+ CONFIG.PSU__IRQ_P2F_RTC_ALARM__INT {0} \
+ CONFIG.PSU__IRQ_P2F_RTC_SECONDS__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SATA__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SDIO0_WAKE__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SDIO0__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SDIO1_WAKE__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SDIO1__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SPI0__INT {0} \
+ CONFIG.PSU__IRQ_P2F_SPI1__INT {0} \
+ CONFIG.PSU__IRQ_P2F_TTC0__INT0 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC0__INT1 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC0__INT2 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC1__INT0 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC1__INT1 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC1__INT2 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC2__INT0 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC2__INT1 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC2__INT2 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC3__INT0 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC3__INT1 {0} \
+ CONFIG.PSU__IRQ_P2F_TTC3__INT2 {0} \
+ CONFIG.PSU__IRQ_P2F_UART0__INT {0} \
+ CONFIG.PSU__IRQ_P2F_UART1__INT {0} \
+ CONFIG.PSU__IRQ_P2F_USB3_ENDPOINT__INT0 {0} \
+ CONFIG.PSU__IRQ_P2F_USB3_ENDPOINT__INT1 {0} \
+ CONFIG.PSU__IRQ_P2F_USB3_OTG__INT0 {0} \
+ CONFIG.PSU__IRQ_P2F_USB3_OTG__INT1 {0} \
+ CONFIG.PSU__IRQ_P2F_USB3_PMU_WAKEUP__INT {0} \
+ CONFIG.PSU__IRQ_P2F_XMPU_FPD__INT {0} \
+ CONFIG.PSU__IRQ_P2F_XMPU_LPD__INT {0} \
+ CONFIG.PSU__IRQ_P2F__INTF_FPD_SMMU__INT {0} \
+ CONFIG.PSU__IRQ_P2F__INTF_PPD_CCI__INT {0} \
+ CONFIG.PSU__L2_BANK0__POWER__ON {1} \
+ CONFIG.PSU__LPDMA0_COHERENCY {0} \
+ CONFIG.PSU__LPDMA1_COHERENCY {0} \
+ CONFIG.PSU__LPDMA2_COHERENCY {0} \
+ CONFIG.PSU__LPDMA3_COHERENCY {0} \
+ CONFIG.PSU__LPDMA4_COHERENCY {0} \
+ CONFIG.PSU__LPDMA5_COHERENCY {0} \
+ CONFIG.PSU__LPDMA6_COHERENCY {0} \
+ CONFIG.PSU__LPDMA7_COHERENCY {0} \
+ CONFIG.PSU__LPD_SLCR__CSUPMU_WDT_CLK_SEL__SELECT {APB} \
+ CONFIG.PSU__LPD_SLCR__CSUPMU__ACT_FREQMHZ {100.000000} \
+ CONFIG.PSU__LPD_SLCR__CSUPMU__FREQMHZ {100.000000} \
+ CONFIG.PSU__MAXIGP0__DATA_WIDTH {32} \
+ CONFIG.PSU__MAXIGP1__DATA_WIDTH {128} \
+ CONFIG.PSU__MAXIGP2__DATA_WIDTH {32} \
+ CONFIG.PSU__M_AXI_GP0_SUPPORTS_NARROW_BURST {1} \
+ CONFIG.PSU__M_AXI_GP1_SUPPORTS_NARROW_BURST {1} \
+ CONFIG.PSU__M_AXI_GP2_SUPPORTS_NARROW_BURST {1} \
+ CONFIG.PSU__NAND_COHERENCY {0} \
+ CONFIG.PSU__NAND_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__NAND__CHIP_ENABLE__ENABLE {0} \
+ CONFIG.PSU__NAND__DATA_STROBE__ENABLE {0} \
+ CONFIG.PSU__NAND__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__NAND__READY0_BUSY__ENABLE {0} \
+ CONFIG.PSU__NAND__READY1_BUSY__ENABLE {0} \
+ CONFIG.PSU__NAND__READY_BUSY__ENABLE {0} \
+ CONFIG.PSU__NUM_FABRIC_RESETS {4} \
+ CONFIG.PSU__OCM_BANK0__POWER__ON {1} \
+ CONFIG.PSU__OCM_BANK1__POWER__ON {1} \
+ CONFIG.PSU__OCM_BANK2__POWER__ON {1} \
+ CONFIG.PSU__OCM_BANK3__POWER__ON {1} \
+ CONFIG.PSU__OVERRIDE__BASIC_CLOCK {0} \
+ CONFIG.PSU__PCIE__ACS_VIOLAION {0} \
+ CONFIG.PSU__PCIE__ACS_VIOLATION {0} \
+ CONFIG.PSU__PCIE__AER_CAPABILITY {0} \
+ CONFIG.PSU__PCIE__ATOMICOP_EGRESS_BLOCKED {0} \
+ CONFIG.PSU__PCIE__BAR0_64BIT {0} \
+ CONFIG.PSU__PCIE__BAR0_ENABLE {0} \
+ CONFIG.PSU__PCIE__BAR0_PREFETCHABLE {0} \
+ CONFIG.PSU__PCIE__BAR0_VAL {} \
+ CONFIG.PSU__PCIE__BAR1_64BIT {0} \
+ CONFIG.PSU__PCIE__BAR1_ENABLE {0} \
+ CONFIG.PSU__PCIE__BAR1_PREFETCHABLE {0} \
+ CONFIG.PSU__PCIE__BAR1_VAL {} \
+ CONFIG.PSU__PCIE__BAR2_64BIT {0} \
+ CONFIG.PSU__PCIE__BAR2_ENABLE {0} \
+ CONFIG.PSU__PCIE__BAR2_PREFETCHABLE {0} \
+ CONFIG.PSU__PCIE__BAR2_VAL {} \
+ CONFIG.PSU__PCIE__BAR3_64BIT {0} \
+ CONFIG.PSU__PCIE__BAR3_ENABLE {0} \
+ CONFIG.PSU__PCIE__BAR3_PREFETCHABLE {0} \
+ CONFIG.PSU__PCIE__BAR3_VAL {} \
+ CONFIG.PSU__PCIE__BAR4_64BIT {0} \
+ CONFIG.PSU__PCIE__BAR4_ENABLE {0} \
+ CONFIG.PSU__PCIE__BAR4_PREFETCHABLE {0} \
+ CONFIG.PSU__PCIE__BAR4_VAL {} \
+ CONFIG.PSU__PCIE__BAR5_64BIT {0} \
+ CONFIG.PSU__PCIE__BAR5_ENABLE {0} \
+ CONFIG.PSU__PCIE__BAR5_PREFETCHABLE {0} \
+ CONFIG.PSU__PCIE__BAR5_VAL {} \
+ CONFIG.PSU__PCIE__CLASS_CODE_BASE {} \
+ CONFIG.PSU__PCIE__CLASS_CODE_INTERFACE {} \
+ CONFIG.PSU__PCIE__CLASS_CODE_SUB {} \
+ CONFIG.PSU__PCIE__CLASS_CODE_VALUE {} \
+ CONFIG.PSU__PCIE__COMPLETER_ABORT {0} \
+ CONFIG.PSU__PCIE__COMPLTION_TIMEOUT {0} \
+ CONFIG.PSU__PCIE__CORRECTABLE_INT_ERR {0} \
+ CONFIG.PSU__PCIE__CRS_SW_VISIBILITY {0} \
+ CONFIG.PSU__PCIE__DEVICE_ID {} \
+ CONFIG.PSU__PCIE__ECRC_CHECK {0} \
+ CONFIG.PSU__PCIE__ECRC_ERR {0} \
+ CONFIG.PSU__PCIE__ECRC_GEN {0} \
+ CONFIG.PSU__PCIE__EROM_ENABLE {0} \
+ CONFIG.PSU__PCIE__EROM_VAL {} \
+ CONFIG.PSU__PCIE__FLOW_CONTROL_ERR {0} \
+ CONFIG.PSU__PCIE__FLOW_CONTROL_PROTOCOL_ERR {0} \
+ CONFIG.PSU__PCIE__HEADER_LOG_OVERFLOW {0} \
+ CONFIG.PSU__PCIE__INTX_GENERATION {0} \
+ CONFIG.PSU__PCIE__LANE0__ENABLE {0} \
+ CONFIG.PSU__PCIE__LANE1__ENABLE {0} \
+ CONFIG.PSU__PCIE__LANE2__ENABLE {0} \
+ CONFIG.PSU__PCIE__LANE3__ENABLE {0} \
+ CONFIG.PSU__PCIE__MC_BLOCKED_TLP {0} \
+ CONFIG.PSU__PCIE__MSIX_BAR_INDICATOR {} \
+ CONFIG.PSU__PCIE__MSIX_CAPABILITY {0} \
+ CONFIG.PSU__PCIE__MSIX_PBA_BAR_INDICATOR {} \
+ CONFIG.PSU__PCIE__MSIX_PBA_OFFSET {0} \
+ CONFIG.PSU__PCIE__MSIX_TABLE_OFFSET {0} \
+ CONFIG.PSU__PCIE__MSIX_TABLE_SIZE {0} \
+ CONFIG.PSU__PCIE__MSI_64BIT_ADDR_CAPABLE {0} \
+ CONFIG.PSU__PCIE__MSI_CAPABILITY {0} \
+ CONFIG.PSU__PCIE__MULTIHEADER {0} \
+ CONFIG.PSU__PCIE__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__PCIE__PERIPHERAL__ENDPOINT_ENABLE {1} \
+ CONFIG.PSU__PCIE__PERIPHERAL__ROOTPORT_ENABLE {0} \
+ CONFIG.PSU__PCIE__PERM_ROOT_ERR_UPDATE {0} \
+ CONFIG.PSU__PCIE__RECEIVER_ERR {0} \
+ CONFIG.PSU__PCIE__RECEIVER_OVERFLOW {0} \
+ CONFIG.PSU__PCIE__RESET__POLARITY {Active Low} \
+ CONFIG.PSU__PCIE__REVISION_ID {} \
+ CONFIG.PSU__PCIE__SUBSYSTEM_ID {} \
+ CONFIG.PSU__PCIE__SUBSYSTEM_VENDOR_ID {} \
+ CONFIG.PSU__PCIE__SURPRISE_DOWN {0} \
+ CONFIG.PSU__PCIE__TLP_PREFIX_BLOCKED {0} \
+ CONFIG.PSU__PCIE__UNCORRECTABL_INT_ERR {0} \
+ CONFIG.PSU__PCIE__VENDOR_ID {} \
+ CONFIG.PSU__PJTAG__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__PL_CLK0_BUF {TRUE} \
+ CONFIG.PSU__PL_CLK1_BUF {TRUE} \
+ CONFIG.PSU__PL_CLK2_BUF {TRUE} \
+ CONFIG.PSU__PL_CLK3_BUF {TRUE} \
+ CONFIG.PSU__PL__POWER__ON {1} \
+ CONFIG.PSU__PMU_COHERENCY {0} \
+ CONFIG.PSU__PMU__AIBACK__ENABLE {0} \
+ CONFIG.PSU__PMU__EMIO_GPI__ENABLE {0} \
+ CONFIG.PSU__PMU__EMIO_GPO__ENABLE {0} \
+ CONFIG.PSU__PMU__GPI0__ENABLE {0} \
+ CONFIG.PSU__PMU__GPI1__ENABLE {0} \
+ CONFIG.PSU__PMU__GPI2__ENABLE {0} \
+ CONFIG.PSU__PMU__GPI3__ENABLE {0} \
+ CONFIG.PSU__PMU__GPI4__ENABLE {0} \
+ CONFIG.PSU__PMU__GPI5__ENABLE {0} \
+ CONFIG.PSU__PMU__GPO0__ENABLE {0} \
+ CONFIG.PSU__PMU__GPO1__ENABLE {0} \
+ CONFIG.PSU__PMU__GPO2__ENABLE {1} \
+ CONFIG.PSU__PMU__GPO2__IO {MIO 34} \
+ CONFIG.PSU__PMU__GPO2__POLARITY {high} \
+ CONFIG.PSU__PMU__GPO3__ENABLE {1} \
+ CONFIG.PSU__PMU__GPO3__IO {MIO 35} \
+ CONFIG.PSU__PMU__GPO3__POLARITY {high} \
+ CONFIG.PSU__PMU__GPO4__ENABLE {0} \
+ CONFIG.PSU__PMU__GPO5__ENABLE {0} \
+ CONFIG.PSU__PMU__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__PMU__PLERROR__ENABLE {0} \
+ CONFIG.PSU__PRESET_APPLIED {0} \
+ CONFIG.PSU__PROTECTION__DDR_SEGMENTS {NONE} \
+ CONFIG.PSU__PROTECTION__DEBUG {0} \
+ CONFIG.PSU__PROTECTION__ENABLE {0} \
+ CONFIG.PSU__PROTECTION__FPD_SEGMENTS {SA:0xFD1A0000 ;SIZE:1280;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD000000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD010000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD020000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD030000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD040000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD050000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD610000 ;SIZE:512;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD5D0000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware} \
+ CONFIG.PSU__PROTECTION__LOCK_UNUSED_SEGMENTS {0} \
+ CONFIG.PSU__PROTECTION__LPD_SEGMENTS {SA:0xFF980000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF5E0000 ;SIZE:2560;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFFCC0000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF180000 ;SIZE:768;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF410000 ;SIZE:640;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFFA70000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF9A0000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware} \
+ CONFIG.PSU__PROTECTION__MASTERS {USB1:NonSecure;0|USB0:NonSecure;1|S_AXI_LPD:NA;0|S_AXI_HPC1_FPD:NA;1|S_AXI_HPC0_FPD:NA;1|S_AXI_HP3_FPD:NA;0|S_AXI_HP2_FPD:NA;0|S_AXI_HP1_FPD:NA;1|S_AXI_HP0_FPD:NA;1|S_AXI_ACP:NA;0|S_AXI_ACE:NA;0|SD1:NonSecure;1|SD0:NonSecure;1|SATA1:NonSecure;0|SATA0:NonSecure;0|RPU1:Secure;1|RPU0:Secure;1|QSPI:NonSecure;0|PMU:NA;1|PCIe:NonSecure;0|NAND:NonSecure;0|LDMA:NonSecure;1|GPU:NonSecure;1|GEM3:NonSecure;1|GEM2:NonSecure;0|GEM1:NonSecure;0|GEM0:NonSecure;0|FDMA:NonSecure;1|DP:NonSecure;0|DAP:NA;1|Coresight:NA;1|CSU:NA;1|APU:NA;1} \
+ CONFIG.PSU__PROTECTION__MASTERS_TZ {GEM0:NonSecure|SD1:NonSecure|GEM2:NonSecure|GEM1:NonSecure|GEM3:NonSecure|PCIe:NonSecure|DP:NonSecure|NAND:NonSecure|GPU:NonSecure|USB1:NonSecure|USB0:NonSecure|LDMA:NonSecure|FDMA:NonSecure|QSPI:NonSecure|SD0:NonSecure} \
+ CONFIG.PSU__PROTECTION__OCM_SEGMENTS {NONE} \
+ CONFIG.PSU__PROTECTION__PRESUBSYSTEMS {NONE} \
+ CONFIG.PSU__PROTECTION__SLAVES {LPD;USB3_1_XHCI;FE300000;FE3FFFFF;0|LPD;USB3_1;FF9E0000;FF9EFFFF;0|LPD;USB3_0_XHCI;FE200000;FE2FFFFF;1|LPD;USB3_0;FF9D0000;FF9DFFFF;1|LPD;UART1;FF010000;FF01FFFF;1|LPD;UART0;FF000000;FF00FFFF;1|LPD;TTC3;FF140000;FF14FFFF;0|LPD;TTC2;FF130000;FF13FFFF;0|LPD;TTC1;FF120000;FF12FFFF;0|LPD;TTC0;FF110000;FF11FFFF;0|FPD;SWDT1;FD4D0000;FD4DFFFF;1|LPD;SWDT0;FF150000;FF15FFFF;0|LPD;SPI1;FF050000;FF05FFFF;1|LPD;SPI0;FF040000;FF04FFFF;0|FPD;SMMU_REG;FD5F0000;FD5FFFFF;1|FPD;SMMU;FD800000;FDFFFFFF;1|FPD;SIOU;FD3D0000;FD3DFFFF;1|FPD;SERDES;FD400000;FD47FFFF;1|LPD;SD1;FF170000;FF17FFFF;1|LPD;SD0;FF160000;FF16FFFF;1|FPD;SATA;FD0C0000;FD0CFFFF;0|LPD;RTC;FFA60000;FFA6FFFF;1|LPD;RSA_CORE;FFCE0000;FFCEFFFF;1|LPD;RPU;FF9A0000;FF9AFFFF;1|LPD;R5_TCM_RAM_GLOBAL;FFE00000;FFE3FFFF;1|LPD;R5_1_Instruction_Cache;FFEC0000;FFECFFFF;1|LPD;R5_1_Data_Cache;FFED0000;FFEDFFFF;1|LPD;R5_1_BTCM_GLOBAL;FFEB0000;FFEBFFFF;1|LPD;R5_1_ATCM_GLOBAL;FFE90000;FFE9FFFF;1|LPD;R5_0_Instruction_Cache;FFE40000;FFE4FFFF;1|LPD;R5_0_Data_Cache;FFE50000;FFE5FFFF;1|LPD;R5_0_BTCM_GLOBAL;FFE20000;FFE2FFFF;1|LPD;R5_0_ATCM_GLOBAL;FFE00000;FFE0FFFF;1|LPD;QSPI_Linear_Address;C0000000;DFFFFFFF;1|LPD;QSPI;FF0F0000;FF0FFFFF;0|LPD;PMU_RAM;FFDC0000;FFDDFFFF;1|LPD;PMU_GLOBAL;FFD80000;FFDBFFFF;1|FPD;PCIE_MAIN;FD0E0000;FD0EFFFF;0|FPD;PCIE_LOW;E0000000;EFFFFFFF;0|FPD;PCIE_HIGH2;8000000000;BFFFFFFFFF;0|FPD;PCIE_HIGH1;600000000;7FFFFFFFF;0|FPD;PCIE_DMA;FD0F0000;FD0FFFFF;0|FPD;PCIE_ATTRIB;FD480000;FD48FFFF;0|LPD;OCM_XMPU_CFG;FFA70000;FFA7FFFF;1|LPD;OCM_SLCR;FF960000;FF96FFFF;1|OCM;OCM;FFFC0000;FFFFFFFF;1|LPD;NAND;FF100000;FF10FFFF;0|LPD;MBISTJTAG;FFCF0000;FFCFFFFF;1|LPD;LPD_XPPU_SINK;FF9C0000;FF9CFFFF;1|LPD;LPD_XPPU;FF980000;FF98FFFF;1|LPD;LPD_SLCR_SECURE;FF4B0000;FF4DFFFF;1|LPD;LPD_SLCR;FF410000;FF4AFFFF;1|LPD;LPD_GPV;FE100000;FE1FFFFF;1|LPD;LPD_DMA_7;FFAF0000;FFAFFFFF;1|LPD;LPD_DMA_6;FFAE0000;FFAEFFFF;1|LPD;LPD_DMA_5;FFAD0000;FFADFFFF;1|LPD;LPD_DMA_4;FFAC0000;FFACFFFF;1|LPD;LPD_DMA_3;FFAB0000;FFABFFFF;1|LPD;LPD_DMA_2;FFAA0000;FFAAFFFF;1|LPD;LPD_DMA_1;FFA90000;FFA9FFFF;1|LPD;LPD_DMA_0;FFA80000;FFA8FFFF;1|LPD;IPI_CTRL;FF380000;FF3FFFFF;1|LPD;IOU_SLCR;FF180000;FF23FFFF;1|LPD;IOU_SECURE_SLCR;FF240000;FF24FFFF;1|LPD;IOU_SCNTRS;FF260000;FF26FFFF;1|LPD;IOU_SCNTR;FF250000;FF25FFFF;1|LPD;IOU_GPV;FE000000;FE0FFFFF;1|LPD;I2C1;FF030000;FF03FFFF;1|LPD;I2C0;FF020000;FF02FFFF;1|FPD;GPU;FD4B0000;FD4BFFFF;0|LPD;GPIO;FF0A0000;FF0AFFFF;1|LPD;GEM3;FF0E0000;FF0EFFFF;1|LPD;GEM2;FF0D0000;FF0DFFFF;0|LPD;GEM1;FF0C0000;FF0CFFFF;0|LPD;GEM0;FF0B0000;FF0BFFFF;0|FPD;FPD_XMPU_SINK;FD4F0000;FD4FFFFF;1|FPD;FPD_XMPU_CFG;FD5D0000;FD5DFFFF;1|FPD;FPD_SLCR_SECURE;FD690000;FD6CFFFF;1|FPD;FPD_SLCR;FD610000;FD68FFFF;1|FPD;FPD_GPV;FD700000;FD7FFFFF;1|FPD;FPD_DMA_CH7;FD570000;FD57FFFF;1|FPD;FPD_DMA_CH6;FD560000;FD56FFFF;1|FPD;FPD_DMA_CH5;FD550000;FD55FFFF;1|FPD;FPD_DMA_CH4;FD540000;FD54FFFF;1|FPD;FPD_DMA_CH3;FD530000;FD53FFFF;1|FPD;FPD_DMA_CH2;FD520000;FD52FFFF;1|FPD;FPD_DMA_CH1;FD510000;FD51FFFF;1|FPD;FPD_DMA_CH0;FD500000;FD50FFFF;1|LPD;EFUSE;FFCC0000;FFCCFFFF;1|FPD;Display Port;FD4A0000;FD4AFFFF;0|FPD;DPDMA;FD4C0000;FD4CFFFF;0|FPD;DDR_XMPU5_CFG;FD050000;FD05FFFF;1|FPD;DDR_XMPU4_CFG;FD040000;FD04FFFF;1|FPD;DDR_XMPU3_CFG;FD030000;FD03FFFF;1|FPD;DDR_XMPU2_CFG;FD020000;FD02FFFF;1|FPD;DDR_XMPU1_CFG;FD010000;FD01FFFF;1|FPD;DDR_XMPU0_CFG;FD000000;FD00FFFF;1|FPD;DDR_QOS_CTRL;FD090000;FD09FFFF;1|FPD;DDR_PHY;FD080000;FD08FFFF;1|DDR;DDR_LOW;0;7FFFFFFF;1|DDR;DDR_HIGH;800000000;87FFFFFFF;1|FPD;DDDR_CTRL;FD070000;FD070FFF;1|LPD;Coresight;FE800000;FEFFFFFF;1|LPD;CSU_DMA;FFC80000;FFC9FFFF;1|LPD;CSU;FFCA0000;FFCAFFFF;0|LPD;CRL_APB;FF5E0000;FF85FFFF;1|FPD;CRF_APB;FD1A0000;FD2DFFFF;1|FPD;CCI_REG;FD5E0000;FD5EFFFF;1|FPD;CCI_GPV;FD6E0000;FD6EFFFF;1|LPD;CAN1;FF070000;FF07FFFF;0|LPD;CAN0;FF060000;FF06FFFF;0|FPD;APU;FD5C0000;FD5CFFFF;1|LPD;APM_INTC_IOU;FFA20000;FFA2FFFF;1|LPD;APM_FPD_LPD;FFA30000;FFA3FFFF;1|FPD;APM_5;FD490000;FD49FFFF;1|FPD;APM_0;FD0B0000;FD0BFFFF;1|LPD;APM2;FFA10000;FFA1FFFF;1|LPD;APM1;FFA00000;FFA0FFFF;1|LPD;AMS;FFA50000;FFA5FFFF;1|FPD;AFI_5;FD3B0000;FD3BFFFF;1|FPD;AFI_4;FD3A0000;FD3AFFFF;1|FPD;AFI_3;FD390000;FD39FFFF;1|FPD;AFI_2;FD380000;FD38FFFF;1|FPD;AFI_1;FD370000;FD37FFFF;1|FPD;AFI_0;FD360000;FD36FFFF;1|LPD;AFIFM6;FF9B0000;FF9BFFFF;1|FPD;ACPU_GIC;F9010000;F907FFFF;1} \
+ CONFIG.PSU__PROTECTION__SUBSYSTEMS {PMU Firmware:PMU} \
+ CONFIG.PSU__PSS_ALT_REF_CLK__ENABLE {0} \
+ CONFIG.PSU__PSS_ALT_REF_CLK__FREQMHZ {33.333} \
+ CONFIG.PSU__PSS_REF_CLK__FREQMHZ {33.333} \
+ CONFIG.PSU__QSPI_COHERENCY {0} \
+ CONFIG.PSU__QSPI_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__QSPI__GRP_FBCLK__ENABLE {0} \
+ CONFIG.PSU__QSPI__PERIPHERAL__DATA_MODE {<Select>} \
+ CONFIG.PSU__QSPI__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__QSPI__PERIPHERAL__IO {<Select>} \
+ CONFIG.PSU__QSPI__PERIPHERAL__MODE {<Select>} \
+ CONFIG.PSU__REPORT__DBGLOG {0} \
+ CONFIG.PSU__RPU_COHERENCY {0} \
+ CONFIG.PSU__RPU__POWER__ON {1} \
+ CONFIG.PSU__SATA__LANE0__ENABLE {0} \
+ CONFIG.PSU__SATA__LANE1__ENABLE {0} \
+ CONFIG.PSU__SATA__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__SAXIGP0__DATA_WIDTH {128} \
+ CONFIG.PSU__SAXIGP1__DATA_WIDTH {128} \
+ CONFIG.PSU__SAXIGP2__DATA_WIDTH {128} \
+ CONFIG.PSU__SAXIGP3__DATA_WIDTH {128} \
+ CONFIG.PSU__SAXIGP4__DATA_WIDTH {128} \
+ CONFIG.PSU__SAXIGP5__DATA_WIDTH {128} \
+ CONFIG.PSU__SAXIGP6__DATA_WIDTH {128} \
+ CONFIG.PSU__SD0_COHERENCY {0} \
+ CONFIG.PSU__SD0_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__SD0__DATA_TRANSFER_MODE {8Bit} \
+ CONFIG.PSU__SD0__GRP_CD__ENABLE {0} \
+ CONFIG.PSU__SD0__GRP_POW__ENABLE {1} \
+ CONFIG.PSU__SD0__GRP_POW__IO {MIO 23} \
+ CONFIG.PSU__SD0__GRP_WP__ENABLE {0} \
+ CONFIG.PSU__SD0__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__SD0__PERIPHERAL__IO {MIO 13 .. 22} \
+ CONFIG.PSU__SD0__RESET__ENABLE {1} \
+ CONFIG.PSU__SD0__SLOT_TYPE {eMMC} \
+ CONFIG.PSU__SD1_COHERENCY {0} \
+ CONFIG.PSU__SD1_ROUTE_THROUGH_FPD {0} \
+ CONFIG.PSU__SD1__DATA_TRANSFER_MODE {8Bit} \
+ CONFIG.PSU__SD1__GRP_CD__ENABLE {1} \
+ CONFIG.PSU__SD1__GRP_CD__IO {MIO 45} \
+ CONFIG.PSU__SD1__GRP_POW__ENABLE {0} \
+ CONFIG.PSU__SD1__GRP_WP__ENABLE {0} \
+ CONFIG.PSU__SD1__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__SD1__PERIPHERAL__IO {MIO 39 .. 51} \
+ CONFIG.PSU__SD1__RESET__ENABLE {0} \
+ CONFIG.PSU__SD1__SLOT_TYPE {SD 3.0} \
+ CONFIG.PSU__SPI0_LOOP_SPI1__ENABLE {0} \
+ CONFIG.PSU__SPI0__GRP_SS0__ENABLE {0} \
+ CONFIG.PSU__SPI0__GRP_SS0__IO {<Select>} \
+ CONFIG.PSU__SPI0__GRP_SS1__ENABLE {0} \
+ CONFIG.PSU__SPI0__GRP_SS2__ENABLE {0} \
+ CONFIG.PSU__SPI0__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__SPI0__PERIPHERAL__IO {<Select>} \
+ CONFIG.PSU__SPI1__GRP_SS0__ENABLE {1} \
+ CONFIG.PSU__SPI1__GRP_SS0__IO {MIO 9} \
+ CONFIG.PSU__SPI1__GRP_SS1__ENABLE {1} \
+ CONFIG.PSU__SPI1__GRP_SS1__IO {MIO 8} \
+ CONFIG.PSU__SPI1__GRP_SS2__ENABLE {1} \
+ CONFIG.PSU__SPI1__GRP_SS2__IO {MIO 7} \
+ CONFIG.PSU__SPI1__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__SPI1__PERIPHERAL__IO {MIO 6 .. 11} \
+ CONFIG.PSU__SWDT0__CLOCK__ENABLE {0} \
+ CONFIG.PSU__SWDT0__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__SWDT0__PERIPHERAL__IO {NA} \
+ CONFIG.PSU__SWDT0__RESET__ENABLE {0} \
+ CONFIG.PSU__SWDT1__CLOCK__ENABLE {0} \
+ CONFIG.PSU__SWDT1__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__SWDT1__PERIPHERAL__IO {NA} \
+ CONFIG.PSU__SWDT1__RESET__ENABLE {0} \
+ CONFIG.PSU__TCM0A__POWER__ON {1} \
+ CONFIG.PSU__TCM0B__POWER__ON {1} \
+ CONFIG.PSU__TCM1A__POWER__ON {1} \
+ CONFIG.PSU__TCM1B__POWER__ON {1} \
+ CONFIG.PSU__TESTSCAN__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__TRACE_PIPELINE_WIDTH {8} \
+ CONFIG.PSU__TRACE__INTERNAL_WIDTH {32} \
+ CONFIG.PSU__TRACE__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__TRISTATE__INVERTED {1} \
+ CONFIG.PSU__TSU__BUFG_PORT_PAIR {0} \
+ CONFIG.PSU__TTC0__CLOCK__ENABLE {0} \
+ CONFIG.PSU__TTC0__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__TTC0__PERIPHERAL__IO {NA} \
+ CONFIG.PSU__TTC0__WAVEOUT__ENABLE {0} \
+ CONFIG.PSU__TTC1__CLOCK__ENABLE {0} \
+ CONFIG.PSU__TTC1__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__TTC1__PERIPHERAL__IO {NA} \
+ CONFIG.PSU__TTC1__WAVEOUT__ENABLE {0} \
+ CONFIG.PSU__TTC2__CLOCK__ENABLE {0} \
+ CONFIG.PSU__TTC2__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__TTC2__PERIPHERAL__IO {NA} \
+ CONFIG.PSU__TTC2__WAVEOUT__ENABLE {0} \
+ CONFIG.PSU__TTC3__CLOCK__ENABLE {0} \
+ CONFIG.PSU__TTC3__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__TTC3__PERIPHERAL__IO {NA} \
+ CONFIG.PSU__TTC3__WAVEOUT__ENABLE {0} \
+ CONFIG.PSU__UART0_LOOP_UART1__ENABLE {0} \
+ CONFIG.PSU__UART0__BAUD_RATE {115200} \
+ CONFIG.PSU__UART0__MODEM__ENABLE {0} \
+ CONFIG.PSU__UART0__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__UART0__PERIPHERAL__IO {MIO 30 .. 31} \
+ CONFIG.PSU__UART1__BAUD_RATE {115200} \
+ CONFIG.PSU__UART1__MODEM__ENABLE {0} \
+ CONFIG.PSU__UART1__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__UART1__PERIPHERAL__IO {MIO 32 .. 33} \
+ CONFIG.PSU__USB0_COHERENCY {0} \
+ CONFIG.PSU__USB0__PERIPHERAL__ENABLE {1} \
+ CONFIG.PSU__USB0__PERIPHERAL__IO {MIO 52 .. 63} \
+ CONFIG.PSU__USB0__RESET__ENABLE {0} \
+ CONFIG.PSU__USB1_COHERENCY {0} \
+ CONFIG.PSU__USB1__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__USB1__RESET__ENABLE {0} \
+ CONFIG.PSU__USB2_0__EMIO__ENABLE {0} \
+ CONFIG.PSU__USB2_1__EMIO__ENABLE {0} \
+ CONFIG.PSU__USB3_0__EMIO__ENABLE {0} \
+ CONFIG.PSU__USB3_0__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__USB3_1__EMIO__ENABLE {0} \
+ CONFIG.PSU__USB3_1__PERIPHERAL__ENABLE {0} \
+ CONFIG.PSU__USB__RESET__MODE {Boot Pin} \
+ CONFIG.PSU__USB__RESET__POLARITY {Active Low} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP0 {0} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP1 {0} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP2 {0} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP3 {0} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP4 {0} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP5 {0} \
+ CONFIG.PSU__USE_DIFF_RW_CLK_GP6 {0} \
+ CONFIG.PSU__USE__ADMA {0} \
+ CONFIG.PSU__USE__APU_LEGACY_INTERRUPT {0} \
+ CONFIG.PSU__USE__AUDIO {0} \
+ CONFIG.PSU__USE__CLK {0} \
+ CONFIG.PSU__USE__CLK0 {0} \
+ CONFIG.PSU__USE__CLK1 {0} \
+ CONFIG.PSU__USE__CLK2 {0} \
+ CONFIG.PSU__USE__CLK3 {0} \
+ CONFIG.PSU__USE__CROSS_TRIGGER {0} \
+ CONFIG.PSU__USE__DDR_INTF_REQUESTED {0} \
+ CONFIG.PSU__USE__DEBUG__TEST {0} \
+ CONFIG.PSU__USE__EVENT_RPU {0} \
+ CONFIG.PSU__USE__FABRIC__RST {1} \
+ CONFIG.PSU__USE__FTM {0} \
+ CONFIG.PSU__USE__GDMA {0} \
+ CONFIG.PSU__USE__IRQ {0} \
+ CONFIG.PSU__USE__IRQ0 {1} \
+ CONFIG.PSU__USE__IRQ1 {1} \
+ CONFIG.PSU__USE__M_AXI_GP0 {1} \
+ CONFIG.PSU__USE__M_AXI_GP1 {0} \
+ CONFIG.PSU__USE__M_AXI_GP2 {1} \
+ CONFIG.PSU__USE__PROC_EVENT_BUS {0} \
+ CONFIG.PSU__USE__RPU_LEGACY_INTERRUPT {1} \
+ CONFIG.PSU__USE__RST0 {0} \
+ CONFIG.PSU__USE__RST1 {0} \
+ CONFIG.PSU__USE__RST2 {0} \
+ CONFIG.PSU__USE__RST3 {0} \
+ CONFIG.PSU__USE__RTC {0} \
+ CONFIG.PSU__USE__STM {0} \
+ CONFIG.PSU__USE__S_AXI_ACE {0} \
+ CONFIG.PSU__USE__S_AXI_ACP {0} \
+ CONFIG.PSU__USE__S_AXI_GP0 {1} \
+ CONFIG.PSU__USE__S_AXI_GP1 {1} \
+ CONFIG.PSU__USE__S_AXI_GP2 {1} \
+ CONFIG.PSU__USE__S_AXI_GP3 {1} \
+ CONFIG.PSU__USE__S_AXI_GP4 {0} \
+ CONFIG.PSU__USE__S_AXI_GP5 {0} \
+ CONFIG.PSU__USE__S_AXI_GP6 {0} \
+ CONFIG.PSU__USE__USB3_0_HUB {0} \
+ CONFIG.PSU__USE__USB3_1_HUB {0} \
+ CONFIG.PSU__USE__VIDEO {0} \
+ CONFIG.PSU__VIDEO_REF_CLK__ENABLE {0} \
+ CONFIG.PSU__VIDEO_REF_CLK__FREQMHZ {33.333} \
+ CONFIG.QSPI_BOARD_INTERFACE {custom} \
+ CONFIG.SATA_BOARD_INTERFACE {custom} \
+ CONFIG.SD0_BOARD_INTERFACE {custom} \
+ CONFIG.SD1_BOARD_INTERFACE {custom} \
+ CONFIG.SPI0_BOARD_INTERFACE {custom} \
+ CONFIG.SPI1_BOARD_INTERFACE {custom} \
+ CONFIG.SUBPRESET1 {Custom} \
+ CONFIG.SUBPRESET2 {Custom} \
+ CONFIG.SWDT0_BOARD_INTERFACE {custom} \
+ CONFIG.SWDT1_BOARD_INTERFACE {custom} \
+ CONFIG.TRACE_BOARD_INTERFACE {custom} \
+ CONFIG.TTC0_BOARD_INTERFACE {custom} \
+ CONFIG.TTC1_BOARD_INTERFACE {custom} \
+ CONFIG.TTC2_BOARD_INTERFACE {custom} \
+ CONFIG.TTC3_BOARD_INTERFACE {custom} \
+ CONFIG.UART0_BOARD_INTERFACE {custom} \
+ CONFIG.UART1_BOARD_INTERFACE {custom} \
+ CONFIG.USB0_BOARD_INTERFACE {custom} \
+ CONFIG.USB1_BOARD_INTERFACE {custom} \
+ ] $inst_zynq_ps
+
+ # Create instance: xlconcat_0, and set properties
+ set xlconcat_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_0 ]
+
+ # Create instance: xlconstant_1, and set properties
+ set xlconstant_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_1 ]
+ set_property -dict [ list \
+ CONFIG.CONST_VAL {1} \
+ ] $xlconstant_1
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net Conn1 [get_bd_intf_pins m_axi_core] [get_bd_intf_pins axi_interconnect_common/m_axi_core]
+ connect_bd_intf_net -intf_net Conn2 [get_bd_intf_pins s_axi_hpc0] [get_bd_intf_pins inst_zynq_ps/S_AXI_HPC0_FPD]
+ connect_bd_intf_net -intf_net Conn3 [get_bd_intf_pins m_axis_eth_dma] [get_bd_intf_pins eth_dma_internal/m_axis_eth_dma]
+ connect_bd_intf_net -intf_net Conn4 [get_bd_intf_pins s_axis_eth_dma] [get_bd_intf_pins eth_dma_internal/s_axis_eth_dma]
+ connect_bd_intf_net -intf_net Conn5 [get_bd_intf_pins m_axi_eth_internal] [get_bd_intf_pins axi_interconnect_common/m_axi_eth_internal]
+ connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins eth_dma_internal/m_axi_to_ps] [get_bd_intf_pins hpc1_axi_interconnect/S00_AXI]
+ connect_bd_intf_net -intf_net S_AXI_HPC1_FPD_0_1 [get_bd_intf_pins s_axi_hpc1] [get_bd_intf_pins hpc1_axi_interconnect/S01_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins hpc1_axi_interconnect/M00_AXI] [get_bd_intf_pins inst_zynq_ps/S_AXI_HPC1_FPD]
+ connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_pins m_axi_rf] [get_bd_intf_pins axi_interconnect_common/m_axi_rf]
+ connect_bd_intf_net -intf_net axi_interconnect_common_M01_AXI [get_bd_intf_pins axi_interconnect_common/m_axi_jtag] [get_bd_intf_pins cpld_jtag_engine/S_AXI]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_eth_dma_ctrl [get_bd_intf_pins axi_interconnect_common/m_axi_eth_dma_ctrl] [get_bd_intf_pins eth_dma_internal/s_axi_eth_dma_ctrl]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_mpm_ep [get_bd_intf_pins m_axi_mpm_ep] [get_bd_intf_pins axi_interconnect_common/m_axi_mpm_ep]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_rpu [get_bd_intf_pins m_axi_rpu] [get_bd_intf_pins axi_interconnect_common/m_axi_rpu]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_uhd [get_bd_intf_pins m_axi_app] [get_bd_intf_pins axi_interconnect_common/m_axi_app]
+ connect_bd_intf_net -intf_net inst_zynq_ps_GPIO_0 [get_bd_intf_pins gpio_0] [get_bd_intf_pins inst_zynq_ps/GPIO_0]
+ connect_bd_intf_net -intf_net inst_zynq_ps_M_AXI_HPM0_FPD [get_bd_intf_pins axi_interconnect_common/s_axi_common] [get_bd_intf_pins inst_zynq_ps/M_AXI_HPM0_FPD]
+ connect_bd_intf_net -intf_net inst_zynq_ps_M_AXI_HPM0_LPD [get_bd_intf_pins axi_interconnect_common/s_axi_lpd] [get_bd_intf_pins inst_zynq_ps/M_AXI_HPM0_LPD]
+ connect_bd_intf_net -intf_net s_axi_hp0_1 [get_bd_intf_pins s_axi_hp0] [get_bd_intf_pins inst_zynq_ps/S_AXI_HP0_FPD]
+ connect_bd_intf_net -intf_net s_axi_hp1_1 [get_bd_intf_pins s_axi_hp1] [get_bd_intf_pins inst_zynq_ps/S_AXI_HP1_FPD]
+
+ # Create port connections
+ connect_bd_net -net Net [get_bd_pins jtag0_tck] [get_bd_pins cpld_jtag_engine/bit_clk]
+ connect_bd_net -net Net1 [get_bd_pins jtag0_tdi] [get_bd_pins cpld_jtag_engine/bit_out]
+ connect_bd_net -net Net2 [get_bd_pins jtag0_tms] [get_bd_pins cpld_jtag_engine/bit_stb]
+ connect_bd_net -net bus_rstn_1 [get_bd_pins bus_rstn] [get_bd_pins eth_dma_internal/bus_rstn] [get_bd_pins hpc1_axi_interconnect/ARESETN] [get_bd_pins hpc1_axi_interconnect/M00_ARESETN] [get_bd_pins hpc1_axi_interconnect/S00_ARESETN] [get_bd_pins hpc1_axi_interconnect/S01_ARESETN]
+ connect_bd_net -net clk40_1 [get_bd_pins clk40] [get_bd_pins axi_interconnect_common/clk40] [get_bd_pins cpld_jtag_engine/S_AXI_ACLK] [get_bd_pins eth_dma_internal/clk40] [get_bd_pins inst_zynq_ps/maxihpm0_fpd_aclk] [get_bd_pins inst_zynq_ps/maxihpm0_lpd_aclk]
+ connect_bd_net -net clk40_rstn_1 [get_bd_pins clk40_rstn] [get_bd_pins axi_interconnect_common/clk40_rstn] [get_bd_pins cpld_jtag_engine/S_AXI_ARESETN] [get_bd_pins eth_dma_internal/clk40_rstn]
+ connect_bd_net -net eth_dma_internal_irq [get_bd_pins eth_dma_internal/irq] [get_bd_pins xlconcat_0/In0]
+ connect_bd_net -net inst_zynq_ps_pl_clk0 [get_bd_pins pl_clk100] [get_bd_pins inst_zynq_ps/pl_clk0]
+ connect_bd_net -net inst_zynq_ps_pl_clk1 [get_bd_pins pl_clk40] [get_bd_pins inst_zynq_ps/pl_clk1]
+ connect_bd_net -net inst_zynq_ps_pl_clk2 [get_bd_pins pl_clk166] [get_bd_pins inst_zynq_ps/pl_clk2]
+ connect_bd_net -net inst_zynq_ps_pl_clk3 [get_bd_pins pl_clk200] [get_bd_pins inst_zynq_ps/pl_clk3]
+ connect_bd_net -net inst_zynq_ps_pl_resetn0 [get_bd_pins pl_resetn0] [get_bd_pins inst_zynq_ps/pl_resetn0]
+ connect_bd_net -net inst_zynq_ps_pl_resetn1 [get_bd_pins pl_resetn1] [get_bd_pins inst_zynq_ps/pl_resetn1]
+ connect_bd_net -net inst_zynq_ps_pl_resetn2 [get_bd_pins pl_resetn2] [get_bd_pins inst_zynq_ps/pl_resetn2]
+ connect_bd_net -net inst_zynq_ps_pl_resetn3 [get_bd_pins pl_resetn3] [get_bd_pins inst_zynq_ps/pl_resetn3]
+ connect_bd_net -net jtag0_tdo_1 [get_bd_pins jtag0_tdo] [get_bd_pins cpld_jtag_engine/bit_in]
+ connect_bd_net -net m_axi_sg_aclk_0_1 [get_bd_pins bus_clk] [get_bd_pins eth_dma_internal/bus_clk] [get_bd_pins hpc1_axi_interconnect/ACLK] [get_bd_pins hpc1_axi_interconnect/M00_ACLK] [get_bd_pins hpc1_axi_interconnect/S00_ACLK] [get_bd_pins hpc1_axi_interconnect/S01_ACLK] [get_bd_pins inst_zynq_ps/saxihpc1_fpd_aclk]
+ connect_bd_net -net nirq0_lpd_rpu_0_1 [get_bd_pins irq0_lpd_rpu_n] [get_bd_pins inst_zynq_ps/nirq0_lpd_rpu]
+ connect_bd_net -net nirq1_lpd_rpu_0_1 [get_bd_pins irq1_lpd_rpu_n] [get_bd_pins inst_zynq_ps/nirq1_lpd_rpu]
+ connect_bd_net -net pl_ps_irq0_1 [get_bd_pins pl_ps_irq0] [get_bd_pins inst_zynq_ps/pl_ps_irq0]
+ connect_bd_net -net pl_ps_irq1_1_1 [get_bd_pins pl_ps_irq1_1] [get_bd_pins xlconcat_0/In1]
+ connect_bd_net -net s_axi_hp0_aclk_1 [get_bd_pins s_axi_hp0_aclk] [get_bd_pins inst_zynq_ps/saxihp0_fpd_aclk]
+ connect_bd_net -net s_axi_hp1_aclk_1 [get_bd_pins s_axi_hp1_aclk] [get_bd_pins inst_zynq_ps/saxihp1_fpd_aclk]
+ connect_bd_net -net saxihpc0_fpd_aclk_0_1 [get_bd_pins s_axi_hpc0_aclk] [get_bd_pins inst_zynq_ps/saxihpc0_fpd_aclk]
+ connect_bd_net -net xlconcat_0_dout [get_bd_pins inst_zynq_ps/pl_ps_irq1] [get_bd_pins xlconcat_0/dout]
+ connect_bd_net -net xlconstant_0_dout [get_bd_pins inst_zynq_ps/nfiq0_lpd_rpu] [get_bd_pins inst_zynq_ps/nfiq1_lpd_rpu] [get_bd_pins xlconstant_1/dout]
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+}
+
+
+# Procedure to create entire design; Provide argument to make
+# procedure reusable. If parentCell is "", will use root.
+proc create_root_design { parentCell } {
+
+ variable script_folder
+ variable design_name
+
+ if { $parentCell eq "" } {
+ set parentCell [get_bd_cells /]
+ }
+
+ # Get object for parentCell
+ set parentObj [get_bd_cells $parentCell]
+ if { $parentObj == "" } {
+ catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
+ return
+ }
+
+ # Make sure parentObj is hier blk
+ set parentType [get_property TYPE $parentObj]
+ if { $parentType ne "hier" } {
+ catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
+ return
+ }
+
+ # Save current instance; Restore later
+ set oldCurInst [current_bd_instance .]
+
+ # Set parent object as current
+ current_bd_instance $parentObj
+
+
+ # Create interface ports
+ set adc0_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc0_clk ]
+
+ set adc2_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 adc2_clk ]
+
+ set adc_tile224_ch0_dout_i [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch0_dout_i ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile224_ch0_dout_i
+
+ set adc_tile224_ch0_dout_q [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch0_dout_q ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile224_ch0_dout_q
+
+ set adc_tile224_ch0_vin [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile224_ch0_vin ]
+
+ set adc_tile224_ch1_dout_i [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch1_dout_i ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile224_ch1_dout_i
+
+ set adc_tile224_ch1_dout_q [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile224_ch1_dout_q ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile224_ch1_dout_q
+
+ set adc_tile224_ch1_vin [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile224_ch1_vin ]
+
+ set adc_tile226_ch0_dout_i [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch0_dout_i ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile226_ch0_dout_i
+
+ set adc_tile226_ch0_dout_q [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch0_dout_q ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile226_ch0_dout_q
+
+ set adc_tile226_ch0_vin [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile226_ch0_vin ]
+
+ set adc_tile226_ch1_dout_i [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch1_dout_i ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile226_ch1_dout_i
+
+ set adc_tile226_ch1_dout_q [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 adc_tile226_ch1_dout_q ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $adc_tile226_ch1_dout_q
+
+ set adc_tile226_ch1_vin [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 adc_tile226_ch1_vin ]
+
+ set dac0_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac0_clk ]
+
+ set dac1_clk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 dac1_clk ]
+
+ set dac_tile228_ch0_din [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile228_ch0_din ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {32} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $dac_tile228_ch0_din
+
+ set dac_tile228_ch0_vout [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile228_ch0_vout ]
+
+ set dac_tile228_ch1_din [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile228_ch1_din ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {32} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $dac_tile228_ch1_din
+
+ set dac_tile228_ch1_vout [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile228_ch1_vout ]
+
+ set dac_tile229_ch0_din [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile229_ch0_din ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {32} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $dac_tile229_ch0_din
+
+ set dac_tile229_ch0_vout [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile229_ch0_vout ]
+
+ set dac_tile229_ch1_din [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 dac_tile229_ch1_din ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {184320000} \
+ CONFIG.HAS_TKEEP {0} \
+ CONFIG.HAS_TLAST {0} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {32} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $dac_tile229_ch1_din
+
+ set dac_tile229_ch1_vout [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 dac_tile229_ch1_vout ]
+
+ set gpio_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 gpio_0 ]
+
+ set m_axi_app [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_app ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_app
+
+ set m_axi_core [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_core ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_core
+
+ set m_axi_eth_internal [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_eth_internal ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_eth_internal
+
+ set m_axi_mpm_ep [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_mpm_ep ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.NUM_READ_OUTSTANDING {2} \
+ CONFIG.NUM_WRITE_OUTSTANDING {2} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_mpm_ep
+
+ set m_axi_rpu [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:aximm_rtl:1.0 m_axi_rpu ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {40} \
+ CONFIG.DATA_WIDTH {32} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BURST {0} \
+ CONFIG.HAS_CACHE {0} \
+ CONFIG.HAS_LOCK {0} \
+ CONFIG.HAS_QOS {0} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.PROTOCOL {AXI4LITE} \
+ ] $m_axi_rpu
+
+ set m_axis_eth_dma [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:axis_rtl:1.0 m_axis_eth_dma ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {200000000} \
+ ] $m_axis_eth_dma
+
+ set s_axi_hp0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {1} \
+ CONFIG.AWUSER_WIDTH {1} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {6} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {16} \
+ CONFIG.NUM_READ_THREADS {1} \
+ CONFIG.NUM_WRITE_OUTSTANDING {16} \
+ CONFIG.NUM_WRITE_THREADS {1} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {1} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hp0
+
+ set s_axi_hp1 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hp1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {1} \
+ CONFIG.AWUSER_WIDTH {1} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {40000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {6} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {16} \
+ CONFIG.NUM_READ_THREADS {1} \
+ CONFIG.NUM_WRITE_OUTSTANDING {16} \
+ CONFIG.NUM_WRITE_THREADS {1} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {1} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hp1
+
+ set s_axi_hpc0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hpc0 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {1} \
+ CONFIG.AWUSER_WIDTH {1} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {6} \
+ CONFIG.MAX_BURST_LENGTH {256} \
+ CONFIG.NUM_READ_OUTSTANDING {16} \
+ CONFIG.NUM_READ_THREADS {1} \
+ CONFIG.NUM_WRITE_OUTSTANDING {16} \
+ CONFIG.NUM_WRITE_THREADS {1} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {1} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hpc0
+
+ set s_axi_hpc1 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:aximm_rtl:1.0 s_axi_hpc1 ]
+ set_property -dict [ list \
+ CONFIG.ADDR_WIDTH {49} \
+ CONFIG.ARUSER_WIDTH {1} \
+ CONFIG.AWUSER_WIDTH {1} \
+ CONFIG.BUSER_WIDTH {0} \
+ CONFIG.DATA_WIDTH {128} \
+ CONFIG.FREQ_HZ {200000000} \
+ CONFIG.HAS_BRESP {1} \
+ CONFIG.HAS_BURST {1} \
+ CONFIG.HAS_CACHE {1} \
+ CONFIG.HAS_LOCK {1} \
+ CONFIG.HAS_PROT {1} \
+ CONFIG.HAS_QOS {1} \
+ CONFIG.HAS_REGION {0} \
+ CONFIG.HAS_RRESP {1} \
+ CONFIG.HAS_WSTRB {1} \
+ CONFIG.ID_WIDTH {5} \
+ CONFIG.MAX_BURST_LENGTH {16} \
+ CONFIG.NUM_READ_OUTSTANDING {16} \
+ CONFIG.NUM_READ_THREADS {1} \
+ CONFIG.NUM_WRITE_OUTSTANDING {16} \
+ CONFIG.NUM_WRITE_THREADS {1} \
+ CONFIG.PROTOCOL {AXI4} \
+ CONFIG.READ_WRITE_MODE {READ_WRITE} \
+ CONFIG.RUSER_BITS_PER_BYTE {0} \
+ CONFIG.RUSER_WIDTH {0} \
+ CONFIG.SUPPORTS_NARROW_BURST {0} \
+ CONFIG.WUSER_BITS_PER_BYTE {0} \
+ CONFIG.WUSER_WIDTH {0} \
+ ] $s_axi_hpc1
+
+ set s_axis_eth_dma [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:axis_rtl:1.0 s_axis_eth_dma ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {200000000} \
+ CONFIG.HAS_TKEEP {1} \
+ CONFIG.HAS_TLAST {1} \
+ CONFIG.HAS_TREADY {1} \
+ CONFIG.HAS_TSTRB {0} \
+ CONFIG.LAYERED_METADATA {undef} \
+ CONFIG.TDATA_NUM_BYTES {8} \
+ CONFIG.TDEST_WIDTH {0} \
+ CONFIG.TID_WIDTH {0} \
+ CONFIG.TUSER_WIDTH {0} \
+ ] $s_axis_eth_dma
+
+ set sysref_rf_in [ create_bd_intf_port -mode Slave -vlnv xilinx.com:display_usp_rf_data_converter:diff_pins_rtl:1.0 sysref_rf_in ]
+
+
+ # Create ports
+ set adc_data_out_resetn_dclk [ create_bd_port -dir O adc_data_out_resetn_dclk ]
+ set adc_enable_data_rclk [ create_bd_port -dir O adc_enable_data_rclk ]
+ set adc_reset_pulse_dclk [ create_bd_port -dir I -type rst adc_reset_pulse_dclk ]
+ set_property -dict [ list \
+ CONFIG.POLARITY {ACTIVE_HIGH} \
+ ] $adc_reset_pulse_dclk
+ set adc_rfdc_axi_resetn_rclk [ create_bd_port -dir O adc_rfdc_axi_resetn_rclk ]
+ set bus_clk [ create_bd_port -dir I -type clk bus_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {m_axis_eth_dma:s_axis_eth_dma:s_axi_hpc1} \
+ CONFIG.ASSOCIATED_RESET {bus_rstn} \
+ CONFIG.FREQ_HZ {200000000} \
+ ] $bus_clk
+ set bus_rstn [ create_bd_port -dir I -type rst bus_rstn ]
+ set clk40 [ create_bd_port -dir I -type clk clk40 ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {m_axi_app:m_axi_mpm_ep:m_axi_core:m_axi_rpu:m_axi_eth_internal} \
+ CONFIG.ASSOCIATED_RESET {clk40_rstn} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $clk40
+ set clk40_rstn [ create_bd_port -dir I -type rst clk40_rstn ]
+ set dac_data_in_resetn_dclk [ create_bd_port -dir O dac_data_in_resetn_dclk ]
+ set dac_data_in_resetn_dclk2x [ create_bd_port -dir O dac_data_in_resetn_dclk2x ]
+ set dac_data_in_resetn_rclk [ create_bd_port -dir O dac_data_in_resetn_rclk ]
+ set dac_data_in_resetn_rclk2x [ create_bd_port -dir O dac_data_in_resetn_rclk2x ]
+ set dac_reset_pulse_dclk [ create_bd_port -dir I -type rst dac_reset_pulse_dclk ]
+ set_property -dict [ list \
+ CONFIG.POLARITY {ACTIVE_HIGH} \
+ ] $dac_reset_pulse_dclk
+ set data_clk [ create_bd_port -dir O -type clk data_clk ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {122880000} \
+ ] $data_clk
+ set data_clk_2x [ create_bd_port -dir O -type clk data_clk_2x ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {245760000} \
+ ] $data_clk_2x
+ set data_clock_locked [ create_bd_port -dir O data_clock_locked ]
+ set enable_gated_clocks_clk40 [ create_bd_port -dir I enable_gated_clocks_clk40 ]
+ set enable_sysref_rclk [ create_bd_port -dir I enable_sysref_rclk ]
+ set fir_resetn_rclk2x [ create_bd_port -dir O fir_resetn_rclk2x ]
+ set gated_base_clks_valid_clk40 [ create_bd_port -dir O gated_base_clks_valid_clk40 ]
+ set invert_adc_iq_rclk2 [ create_bd_port -dir O -from 7 -to 0 invert_adc_iq_rclk2 ]
+ set invert_dac_iq_rclk2 [ create_bd_port -dir O -from 7 -to 0 invert_dac_iq_rclk2 ]
+ set irq0_lpd_rpu_n [ create_bd_port -dir I irq0_lpd_rpu_n ]
+ set irq1_lpd_rpu_n [ create_bd_port -dir I irq1_lpd_rpu_n ]
+ set jtag0_tck [ create_bd_port -dir IO jtag0_tck ]
+ set jtag0_tdi [ create_bd_port -dir IO jtag0_tdi ]
+ set jtag0_tdo [ create_bd_port -dir I jtag0_tdo ]
+ set jtag0_tms [ create_bd_port -dir IO jtag0_tms ]
+ set nco_reset_done_dclk [ create_bd_port -dir O nco_reset_done_dclk ]
+ set pl_clk40 [ create_bd_port -dir O -type clk pl_clk40 ]
+ set pl_clk100 [ create_bd_port -dir O -type clk pl_clk100 ]
+ set pl_clk166 [ create_bd_port -dir O -type clk pl_clk166 ]
+ set pl_clk200 [ create_bd_port -dir O -type clk pl_clk200 ]
+ set pl_ps_irq0 [ create_bd_port -dir I -from 7 -to 0 -type intr pl_ps_irq0 ]
+ set_property -dict [ list \
+ CONFIG.PortWidth {8} \
+ CONFIG.SENSITIVITY {EDGE_RISING} \
+ ] $pl_ps_irq0
+ set pl_ps_irq1 [ create_bd_port -dir I -from 5 -to 0 -type intr pl_ps_irq1 ]
+ set_property -dict [ list \
+ CONFIG.PortWidth {6} \
+ CONFIG.SENSITIVITY {LEVEL_HIGH:LEVEL_HIGH} \
+ ] $pl_ps_irq1
+ set pl_resetn0 [ create_bd_port -dir O -type rst pl_resetn0 ]
+ set pl_resetn1 [ create_bd_port -dir O -type rst pl_resetn1 ]
+ set pl_resetn2 [ create_bd_port -dir O -type rst pl_resetn2 ]
+ set pl_resetn3 [ create_bd_port -dir O -type rst pl_resetn3 ]
+ set pll_ref_clk_in [ create_bd_port -dir I -type clk pll_ref_clk_in ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {61440000} \
+ ] $pll_ref_clk_in
+ set pll_ref_clk_out [ create_bd_port -dir O -type clk pll_ref_clk_out ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {61440000} \
+ ] $pll_ref_clk_out
+ set rf_axi_status_clk40 [ create_bd_port -dir I -from 31 -to 0 rf_axi_status_clk40 ]
+ set rf_dsp_info_clk40 [ create_bd_port -dir I -from 31 -to 0 rf_dsp_info_clk40 ]
+ set rfdc_clk [ create_bd_port -dir O -from 0 -to 0 -type clk rfdc_clk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {adc_tile224_ch0_dout_i:adc_tile224_ch1_dout_i:adc_tile224_ch1_dout_q:adc_tile224_ch0_dout_q:dac_tile228_ch0_din:dac_tile228_ch1_din:dac_tile229_ch0_din:dac_tile229_ch1_din:adc_tile226_ch0_dout_i:adc_tile226_ch0_dout_q:adc_tile226_ch1_dout_i:adc_tile226_ch1_dout_q} \
+ CONFIG.ASSOCIATED_RESET {adc_tile224_axis_resetn_rclk:adc_tile226_axis_resetn_rclk:dac_tile228_axis_resetn_rclk:dac_tile229_axis_resetn_rclk} \
+ CONFIG.FREQ_HZ {184320000} \
+ ] $rfdc_clk
+ set rfdc_clk_2x [ create_bd_port -dir O -from 0 -to 0 -type clk rfdc_clk_2x ]
+ set_property -dict [ list \
+ CONFIG.FREQ_HZ {368640000} \
+ ] $rfdc_clk_2x
+ set rfdc_irq [ create_bd_port -dir O -type intr rfdc_irq ]
+ set s_axi_hp0_aclk [ create_bd_port -dir I -type clk s_axi_hp0_aclk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {s_axi_hp0} \
+ CONFIG.ASSOCIATED_RESET {s_axi_hp0_aresetn} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $s_axi_hp0_aclk
+ set s_axi_hp1_aclk [ create_bd_port -dir I -type clk s_axi_hp1_aclk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {s_axi_hp1} \
+ CONFIG.FREQ_HZ {40000000} \
+ ] $s_axi_hp1_aclk
+ set s_axi_hpc0_aclk [ create_bd_port -dir I -type clk s_axi_hpc0_aclk ]
+ set_property -dict [ list \
+ CONFIG.ASSOCIATED_BUSIF {s_axi_hpc0} \
+ ] $s_axi_hpc0_aclk
+ set start_nco_reset_dclk [ create_bd_port -dir I start_nco_reset_dclk ]
+ set sysref_out_pclk [ create_bd_port -dir O sysref_out_pclk ]
+ set sysref_out_rclk [ create_bd_port -dir O sysref_out_rclk ]
+ set sysref_pl_in [ create_bd_port -dir I sysref_pl_in ]
+
+ # Create instance: ps
+ create_hier_cell_ps [current_bd_instance .] ps
+
+ # Create instance: rfdc
+ create_hier_cell_rfdc [current_bd_instance .] rfdc
+
+ # Create interface connections
+ connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_ports s_axi_hp0] [get_bd_intf_pins ps/s_axi_hp0]
+ connect_bd_intf_net -intf_net S_AXIS_S2MM_1_1 [get_bd_intf_ports s_axis_eth_dma] [get_bd_intf_pins ps/s_axis_eth_dma]
+ connect_bd_intf_net -intf_net S_AXI_HP1_1 [get_bd_intf_ports s_axi_hp1] [get_bd_intf_pins ps/s_axi_hp1]
+ connect_bd_intf_net -intf_net S_AXI_HPC0_FPD_0_1 [get_bd_intf_ports s_axi_hpc0] [get_bd_intf_pins ps/s_axi_hpc0]
+ connect_bd_intf_net -intf_net adc0_clk_0_1 [get_bd_intf_ports adc0_clk] [get_bd_intf_pins rfdc/adc0_clk]
+ connect_bd_intf_net -intf_net adc2_clk_0_1 [get_bd_intf_ports adc2_clk] [get_bd_intf_pins rfdc/adc2_clk]
+ connect_bd_intf_net -intf_net axi_interconnect_common_m_axi_uhd [get_bd_intf_ports m_axi_app] [get_bd_intf_pins ps/m_axi_app]
+ connect_bd_intf_net -intf_net dac0_clk_0_1 [get_bd_intf_ports dac0_clk] [get_bd_intf_pins rfdc/dac0_clk]
+ connect_bd_intf_net -intf_net dac1_clk_0_1 [get_bd_intf_ports dac1_clk] [get_bd_intf_pins rfdc/dac1_clk]
+ connect_bd_intf_net -intf_net inst_zynq_ps_GPIO_0 [get_bd_intf_ports gpio_0] [get_bd_intf_pins ps/gpio_0]
+ connect_bd_intf_net -intf_net ps_M07_AXI_0 [get_bd_intf_ports m_axi_eth_internal] [get_bd_intf_pins ps/m_axi_eth_internal]
+ connect_bd_intf_net -intf_net ps_M_AXIS_MM2S_1 [get_bd_intf_ports m_axis_eth_dma] [get_bd_intf_pins ps/m_axis_eth_dma]
+ connect_bd_intf_net -intf_net ps_M_AXI_0 [get_bd_intf_ports m_axi_rpu] [get_bd_intf_pins ps/m_axi_rpu]
+ connect_bd_intf_net -intf_net ps_m_axi_core_0 [get_bd_intf_ports m_axi_core] [get_bd_intf_pins ps/m_axi_core]
+ connect_bd_intf_net -intf_net ps_m_axi_mpm_ep [get_bd_intf_ports m_axi_mpm_ep] [get_bd_intf_pins ps/m_axi_mpm_ep]
+ connect_bd_intf_net -intf_net ps_m_axi_rf [get_bd_intf_pins ps/m_axi_rf] [get_bd_intf_pins rfdc/s_axi_config]
+ connect_bd_intf_net -intf_net rf_data_converter_m00_axis [get_bd_intf_ports adc_tile224_ch0_dout_i] [get_bd_intf_pins rfdc/adc_tile224_ch0_dout_i]
+ connect_bd_intf_net -intf_net rf_data_converter_m01_axis [get_bd_intf_ports adc_tile224_ch0_dout_q] [get_bd_intf_pins rfdc/adc_tile224_ch0_dout_q]
+ connect_bd_intf_net -intf_net rf_data_converter_m02_axis [get_bd_intf_ports adc_tile224_ch1_dout_i] [get_bd_intf_pins rfdc/adc_tile224_ch1_dout_i]
+ connect_bd_intf_net -intf_net rf_data_converter_m03_axis [get_bd_intf_ports adc_tile224_ch1_dout_q] [get_bd_intf_pins rfdc/adc_tile224_ch1_dout_q]
+ connect_bd_intf_net -intf_net rf_data_converter_m20_axis [get_bd_intf_ports adc_tile226_ch0_dout_i] [get_bd_intf_pins rfdc/adc_tile226_ch0_dout_i]
+ connect_bd_intf_net -intf_net rf_data_converter_m21_axis [get_bd_intf_ports adc_tile226_ch0_dout_q] [get_bd_intf_pins rfdc/adc_tile226_ch0_dout_q]
+ connect_bd_intf_net -intf_net rf_data_converter_m22_axis [get_bd_intf_ports adc_tile226_ch1_dout_i] [get_bd_intf_pins rfdc/adc_tile226_ch1_dout_i]
+ connect_bd_intf_net -intf_net rf_data_converter_m23_axis [get_bd_intf_ports adc_tile226_ch1_dout_q] [get_bd_intf_pins rfdc/adc_tile226_ch1_dout_q]
+ connect_bd_intf_net -intf_net rf_data_converter_vout00 [get_bd_intf_ports dac_tile228_ch0_vout] [get_bd_intf_pins rfdc/dac_tile228_ch0_vout]
+ connect_bd_intf_net -intf_net rf_data_converter_vout01 [get_bd_intf_ports dac_tile228_ch1_vout] [get_bd_intf_pins rfdc/dac_tile228_ch1_vout]
+ connect_bd_intf_net -intf_net rf_data_converter_vout10 [get_bd_intf_ports dac_tile229_ch0_vout] [get_bd_intf_pins rfdc/dac_tile229_ch0_vout]
+ connect_bd_intf_net -intf_net rf_data_converter_vout11 [get_bd_intf_ports dac_tile229_ch1_vout] [get_bd_intf_pins rfdc/dac_tile229_ch1_vout]
+ connect_bd_intf_net -intf_net s00_axis_0_1 [get_bd_intf_ports dac_tile228_ch0_din] [get_bd_intf_pins rfdc/dac_tile228_ch0_din]
+ connect_bd_intf_net -intf_net s01_axis_0_1 [get_bd_intf_ports dac_tile228_ch1_din] [get_bd_intf_pins rfdc/dac_tile228_ch1_din]
+ connect_bd_intf_net -intf_net s10_axis_0_1 [get_bd_intf_ports dac_tile229_ch0_din] [get_bd_intf_pins rfdc/dac_tile229_ch0_din]
+ connect_bd_intf_net -intf_net s11_axis_0_1 [get_bd_intf_ports dac_tile229_ch1_din] [get_bd_intf_pins rfdc/dac_tile229_ch1_din]
+ connect_bd_intf_net -intf_net s_axi_hpc1 [get_bd_intf_ports s_axi_hpc1] [get_bd_intf_pins ps/s_axi_hpc1]
+ connect_bd_intf_net -intf_net sysref_in_0_1 [get_bd_intf_ports sysref_rf_in] [get_bd_intf_pins rfdc/sysref_rf_in]
+ connect_bd_intf_net -intf_net vin0_01_0_1 [get_bd_intf_ports adc_tile224_ch0_vin] [get_bd_intf_pins rfdc/adc_tile224_ch0_vin]
+ connect_bd_intf_net -intf_net vin0_23_0_1 [get_bd_intf_ports adc_tile224_ch1_vin] [get_bd_intf_pins rfdc/adc_tile224_ch1_vin]
+ connect_bd_intf_net -intf_net vin2_01_0_1 [get_bd_intf_ports adc_tile226_ch0_vin] [get_bd_intf_pins rfdc/adc_tile226_ch0_vin]
+ connect_bd_intf_net -intf_net vin2_23_0_1 [get_bd_intf_ports adc_tile226_ch1_vin] [get_bd_intf_pins rfdc/adc_tile226_ch1_vin]
+
+ # Create port connections
+ connect_bd_net -net Net [get_bd_ports jtag0_tck] [get_bd_pins ps/jtag0_tck]
+ connect_bd_net -net Net1 [get_bd_ports jtag0_tdi] [get_bd_pins ps/jtag0_tdi]
+ connect_bd_net -net Net2 [get_bd_ports jtag0_tms] [get_bd_pins ps/jtag0_tms]
+ connect_bd_net -net S02_ARESETN_0_1 [get_bd_ports bus_rstn] [get_bd_pins ps/bus_rstn]
+ connect_bd_net -net adc_reset_pulse_dclk_1 [get_bd_ports adc_reset_pulse_dclk] [get_bd_pins rfdc/adc_reset_pulse_dclk]
+ connect_bd_net -net capture_sysref_0_sysref_out_rclk [get_bd_ports sysref_out_rclk] [get_bd_pins rfdc/sysref_out_rclk]
+ connect_bd_net -net capture_sysref_sysref_out_pclk [get_bd_ports sysref_out_pclk] [get_bd_pins rfdc/sysref_out_pclk]
+ connect_bd_net -net clk40_1 [get_bd_ports clk40] [get_bd_pins ps/clk40] [get_bd_pins rfdc/s_axi_config_clk]
+ connect_bd_net -net clk40_rstn_1 [get_bd_ports clk40_rstn] [get_bd_pins ps/clk40_rstn] [get_bd_pins rfdc/s_axi_config_aresetn]
+ connect_bd_net -net clk_in1_0_1 [get_bd_ports pll_ref_clk_in] [get_bd_pins rfdc/pll_ref_clk_in]
+ connect_bd_net -net dac_reset_pulse_dclk_1 [get_bd_ports dac_reset_pulse_dclk] [get_bd_pins rfdc/dac_reset_pulse_dclk]
+ connect_bd_net -net data_clock_mmcm_data_clk [get_bd_ports data_clk] [get_bd_pins rfdc/data_clk]
+ connect_bd_net -net data_clock_mmcm_data_clk_2x [get_bd_ports data_clk_2x] [get_bd_pins rfdc/data_clk_2x]
+ connect_bd_net -net data_clock_mmcm_locked [get_bd_ports data_clock_locked] [get_bd_pins rfdc/data_clock_locked]
+ connect_bd_net -net data_clock_mmcm_tdc_ref_clk [get_bd_ports pll_ref_clk_out] [get_bd_pins rfdc/pll_ref_clk_out]
+ connect_bd_net -net enable_gated_clocks_1 [get_bd_ports enable_gated_clocks_clk40] [get_bd_pins rfdc/enable_gated_clocks_clk40]
+ connect_bd_net -net enable_rclk_0_1 [get_bd_ports enable_sysref_rclk] [get_bd_pins rfdc/enable_sysref_rclk]
+ connect_bd_net -net gpio2_io_i_0_2 [get_bd_ports rf_dsp_info_clk40] [get_bd_pins rfdc/rf_dsp_info_sclk]
+ connect_bd_net -net gpio_io_i_0_1 [get_bd_ports rf_axi_status_clk40] [get_bd_pins rfdc/rf_axi_status_sclk]
+ connect_bd_net -net inst_zynq_ps_pl_clk0 [get_bd_ports pl_clk100] [get_bd_pins ps/pl_clk100]
+ connect_bd_net -net inst_zynq_ps_pl_clk1 [get_bd_ports pl_clk40] [get_bd_pins ps/pl_clk40]
+ connect_bd_net -net inst_zynq_ps_pl_clk2 [get_bd_ports pl_clk166] [get_bd_pins ps/pl_clk166]
+ connect_bd_net -net inst_zynq_ps_pl_clk3 [get_bd_ports pl_clk200] [get_bd_pins ps/pl_clk200]
+ connect_bd_net -net inst_zynq_ps_pl_resetn0 [get_bd_ports pl_resetn0] [get_bd_pins ps/pl_resetn0]
+ connect_bd_net -net inst_zynq_ps_pl_resetn1 [get_bd_ports pl_resetn1] [get_bd_pins ps/pl_resetn1]
+ connect_bd_net -net inst_zynq_ps_pl_resetn2 [get_bd_ports pl_resetn2] [get_bd_pins ps/pl_resetn2]
+ connect_bd_net -net inst_zynq_ps_pl_resetn3 [get_bd_ports pl_resetn3] [get_bd_pins ps/pl_resetn3]
+ connect_bd_net -net jtag0_tdo_1 [get_bd_ports jtag0_tdo] [get_bd_pins ps/jtag0_tdo]
+ connect_bd_net -net m_axi_sg_aclk_0_1 [get_bd_ports bus_clk] [get_bd_pins ps/bus_clk]
+ connect_bd_net -net nirq0_lpd_rpu_0_1 [get_bd_ports irq0_lpd_rpu_n] [get_bd_pins ps/irq0_lpd_rpu_n]
+ connect_bd_net -net nirq1_lpd_rpu_0_1 [get_bd_ports irq1_lpd_rpu_n] [get_bd_pins ps/irq1_lpd_rpu_n]
+ connect_bd_net -net pl_ps_irq0_1 [get_bd_ports pl_ps_irq0] [get_bd_pins ps/pl_ps_irq0]
+ connect_bd_net -net pl_ps_irq1_0_1 [get_bd_ports pl_ps_irq1] [get_bd_pins ps/pl_ps_irq1_1]
+ connect_bd_net -net rStartNcoReset_0_1 [get_bd_ports start_nco_reset_dclk] [get_bd_pins rfdc/start_nco_reset_dclk]
+ connect_bd_net -net rf_data_converter_irq [get_bd_ports rfdc_irq] [get_bd_pins rfdc/rfdc_irq]
+ connect_bd_net -net rf_nco_reset_0_rNcoResetDone [get_bd_ports nco_reset_done_dclk] [get_bd_pins rfdc/nco_reset_done_dclk]
+ connect_bd_net -net rf_reset_controller_0_rAdcEnableData [get_bd_ports adc_enable_data_rclk] [get_bd_pins rfdc/adc_enable_data_rclk]
+ connect_bd_net -net rfdc_adc_rfdc_axi_resetn_rclk [get_bd_ports adc_rfdc_axi_resetn_rclk] [get_bd_pins rfdc/adc_rfdc_axi_resetn_rclk]
+ connect_bd_net -net rfdc_d2DacFirReset_n_0 [get_bd_ports dac_data_in_resetn_dclk2x] [get_bd_pins rfdc/dac_data_in_resetn_dclk2x]
+ connect_bd_net -net rfdc_dAdcDataOutReset_n_0 [get_bd_ports adc_data_out_resetn_dclk] [get_bd_pins rfdc/adc_data_out_resetn_dclk]
+ connect_bd_net -net rfdc_dDacDataInReset_n_0 [get_bd_ports dac_data_in_resetn_dclk] [get_bd_pins rfdc/dac_data_in_resetn_dclk]
+ connect_bd_net -net rfdc_dac_data_in_resetn_rclk [get_bd_ports dac_data_in_resetn_rclk] [get_bd_pins rfdc/dac_data_in_resetn_rclk]
+ connect_bd_net -net rfdc_gated_base_clk_valid [get_bd_ports gated_base_clks_valid_clk40] [get_bd_pins rfdc/gated_base_clks_valid_clk40]
+ connect_bd_net -net rfdc_invert_adc_iq_rclk2 [get_bd_ports invert_adc_iq_rclk2] [get_bd_pins rfdc/invert_adc_iq_rclk2]
+ connect_bd_net -net rfdc_invert_dac_iq_rclk2 [get_bd_ports invert_dac_iq_rclk2] [get_bd_pins rfdc/invert_dac_iq_rclk2]
+ connect_bd_net -net rfdc_r2AdcFirReset_n_0 [get_bd_ports fir_resetn_rclk2x] [get_bd_pins rfdc/fir_resetn_rclk2x]
+ connect_bd_net -net rfdc_r2DacFirReset_n_0 [get_bd_ports dac_data_in_resetn_rclk2x] [get_bd_pins rfdc/dac_data_in_resetn_rclk2x]
+ connect_bd_net -net rfdc_rfdc_clk [get_bd_ports rfdc_clk] [get_bd_pins rfdc/rfdc_clk]
+ connect_bd_net -net rfdc_rfdc_clk_2x [get_bd_ports rfdc_clk_2x] [get_bd_pins rfdc/rfdc_clk_2x]
+ connect_bd_net -net s_axi_hp0_aclk_1 [get_bd_ports s_axi_hp0_aclk] [get_bd_pins ps/s_axi_hp0_aclk]
+ connect_bd_net -net s_axi_hp1_aclk_1 [get_bd_ports s_axi_hp1_aclk] [get_bd_pins ps/s_axi_hp1_aclk]
+ connect_bd_net -net saxihpc0_fpd_aclk_0_1 [get_bd_ports s_axi_hpc0_aclk] [get_bd_pins ps/s_axi_hpc0_aclk]
+ connect_bd_net -net sysref_pl_in_1 [get_bd_ports sysref_pl_in] [get_bd_pins rfdc/sysref_pl_in]
+
+ # Create address segments
+ create_bd_addr_seg -range 0x00004000 -offset 0x0010000A4000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs ps/eth_dma_internal/axi_eth_dma_internal/S_AXI_LITE/Reg] SEG_axi_eth_dma_internal_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000155000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/ThresholdRegister/axi_gpio_0/S_AXI/Reg] SEG_axi_gpio_0_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000154000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/calibration_muxes/axi_gpio_data/S_AXI/Reg] SEG_axi_gpio_data_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000000000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs ps/cpld_jtag_engine/S_AXI/reg0] SEG_cpld_jtag_engine_reg0
+ create_bd_addr_seg -range 0x00010000 -offset 0x001000140000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/data_clock_mmcm/s_axi_lite/Reg] SEG_data_clock_mmcm_Reg
+ create_bd_addr_seg -range 0x000200000000 -offset 0x001200000000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs m_axi_app/Reg] SEG_m_axi_app_Reg
+ create_bd_addr_seg -range 0x00004000 -offset 0x0010000A0000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs m_axi_core/Reg] SEG_m_axi_core_0_Reg
+ create_bd_addr_seg -range 0x00004000 -offset 0x0010000A8000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs m_axi_eth_internal/Reg] SEG_m_axi_eth_internal_Reg
+ create_bd_addr_seg -range 0x00020000 -offset 0x001000080000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs m_axi_mpm_ep/Reg] SEG_m_axi_mpm_ep_Reg
+ create_bd_addr_seg -range 0x00010000 -offset 0x80000000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs m_axi_rpu/Reg] SEG_m_axi_rpu_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000156000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/reg_clock_gate_control/S_AXI/Reg] SEG_reg_clock_gate_control_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000150000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/reg_invert_iq/S_AXI/Reg] SEG_reg_invert_iq_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000151000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/reg_reset_mmcm/S_AXI/Reg] SEG_reg_reset_mmcm_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000153000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/reg_rf_axi_status/S_AXI/Reg] SEG_reg_rf_axi_status_Reg
+ create_bd_addr_seg -range 0x00001000 -offset 0x001000152000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/reg_rf_reset_control/S_AXI/Reg] SEG_reg_rf_reset_control_Reg
+ create_bd_addr_seg -range 0x00040000 -offset 0x001000100000 [get_bd_addr_spaces ps/inst_zynq_ps/Data] [get_bd_addr_segs rfdc/rf_data_converter/s_axi/Reg] SEG_rf_data_converter_Reg
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_SG] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_HIGH] SEG_inst_zynq_ps_HPC1_DDR_HIGH
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_MM2S] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_HIGH] SEG_inst_zynq_ps_HPC1_DDR_HIGH
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_S2MM] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_HIGH] SEG_inst_zynq_ps_HPC1_DDR_HIGH
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_SG] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_LOW] SEG_inst_zynq_ps_HPC1_DDR_LOW
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_MM2S] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_LOW] SEG_inst_zynq_ps_HPC1_DDR_LOW
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_S2MM] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_LOW] SEG_inst_zynq_ps_HPC1_DDR_LOW
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces s_axi_hp0] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP2/HP0_DDR_HIGH] SEG_inst_zynq_ps_HP0_DDR_HIGH
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hp0] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP2/HP0_DDR_LOW] SEG_inst_zynq_ps_HP0_DDR_LOW
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces s_axi_hp0] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP2/HP0_LPS_OCM] SEG_inst_zynq_ps_HP0_LPS_OCM
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces s_axi_hp1] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP3/HP1_DDR_HIGH] SEG_inst_zynq_ps_HP1_DDR_HIGH
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hp1] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP3/HP1_DDR_LOW] SEG_inst_zynq_ps_HP1_DDR_LOW
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces s_axi_hp1] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP3/HP1_LPS_OCM] SEG_inst_zynq_ps_HP1_LPS_OCM
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces s_axi_hpc0] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP0/HPC0_DDR_HIGH] SEG_inst_zynq_ps_HPC0_DDR_HIGH
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hpc0] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP0/HPC0_DDR_LOW] SEG_inst_zynq_ps_HPC0_DDR_LOW
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces s_axi_hpc0] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP0/HPC0_LPS_OCM] SEG_inst_zynq_ps_HPC0_LPS_OCM
+ create_bd_addr_seg -range 0x000800000000 -offset 0x000800000000 [get_bd_addr_spaces s_axi_hpc1] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_HIGH] SEG_inst_zynq_ps_HPC1_DDR_HIGH
+ create_bd_addr_seg -range 0x80000000 -offset 0x00000000 [get_bd_addr_spaces s_axi_hpc1] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_DDR_LOW] SEG_inst_zynq_ps_HPC1_DDR_LOW
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces s_axi_hpc1] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_LPS_OCM] SEG_inst_zynq_ps_HPC1_LPS_OCM
+
+ # Exclude Address Segments
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_MM2S] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_LPS_OCM] SEG_inst_zynq_ps_HPC1_LPS_OCM
+ exclude_bd_addr_seg [get_bd_addr_segs ps/eth_dma_internal/axi_eth_dma_internal/Data_MM2S/SEG_inst_zynq_ps_HPC1_LPS_OCM]
+
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_S2MM] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_LPS_OCM] SEG_inst_zynq_ps_HPC1_LPS_OCM
+ exclude_bd_addr_seg [get_bd_addr_segs ps/eth_dma_internal/axi_eth_dma_internal/Data_S2MM/SEG_inst_zynq_ps_HPC1_LPS_OCM]
+
+ create_bd_addr_seg -range 0x01000000 -offset 0xFF000000 [get_bd_addr_spaces ps/eth_dma_internal/axi_eth_dma_internal/Data_SG] [get_bd_addr_segs ps/inst_zynq_ps/SAXIGP1/HPC1_LPS_OCM] SEG_inst_zynq_ps_HPC1_LPS_OCM
+ exclude_bd_addr_seg [get_bd_addr_segs ps/eth_dma_internal/axi_eth_dma_internal/Data_SG/SEG_inst_zynq_ps_HPC1_LPS_OCM]
+
+
+
+ # Restore current instance
+ current_bd_instance $oldCurInst
+
+ validate_bd_design
+ save_bd_design
+}
+# End of create_root_design()
+
+
+##################################################################
+# MAIN FLOW
+##################################################################
+
+create_root_design ""
+
+
diff --git a/fpga/usrp3/top/x400/ip/xge_pcs_pma/Makefile.inc b/fpga/usrp3/top/x400/ip/xge_pcs_pma/Makefile.inc
new file mode 100644
index 000000000..4df9bcc5f
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/xge_pcs_pma/Makefile.inc
@@ -0,0 +1,47 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+include $(TOOLS_DIR)/make/viv_ip_builder.mak
+
+XGE_PCS_PMA_SRCS = \
+$(IP_DIR)/xge_pcs_pma/ten_gige_phy.v \
+$(IP_DIR)/xge_pcs_pma/eth_10g.sv \
+$(IP_XGE_PCS_PMA_EXAMPLE_SRCS)
+
+IP_XGE_PCS_PMA_HDL_SIM_SRCS = $(IP_DIR)/xge_pcs_pma/model_10gbe.sv \
+$(wildcard $(addprefix $(IP_BUILD_DIR)/xge_pcs_pma/, \
+xge_pcs_pma.v \
+hdl/xxv_ethernet_v3_0_vl_rfs.sv \
+ip_0/hdl/*.v \
+ip_0/sim/*.v \
+xxv_ethernet_v3_0_1/*.v \
+))
+
+IP_XGE_PCS_PMA_EXAMPLE_SRCS = \
+$(IP_BUILD_DIR)/xge_pcs_pma_ex/imports/xge_pcs_pma_common_wrapper.v \
+$(IP_BUILD_DIR)/xge_pcs_pma_ex/imports/xge_pcs_pma_gt_gtye4_common_wrapper.v \
+$(IP_BUILD_DIR)/xge_pcs_pma_ex/imports/gtwizard_ultrascale_v1_7_gtye4_common.v \
+
+# Describe the paths for the patch file, the file to be patched, and the
+# patched copy of the file.
+IP_XGE_FILE_PATCH = $(IP_DIR)/xge_pcs_pma/xge_pcs_pma_wrapper.v.patch
+IP_XGE_FILE_TO_PATCH = $(IP_BUILD_DIR)/xge_pcs_pma/xxv_ethernet_v3_0_1/xge_pcs_pma_wrapper.v
+IP_XGE_PATCHED_FILE = $(IP_BUILD_DIR)/xge_pcs_pma_wrapper.v.patched
+
+IP_XGE_PCS_PMA_SRCS = $(IP_BUILD_DIR)/xge_pcs_pma/xge_pcs_pma.xci $(IP_XGE_PATCHED_FILE)
+
+IP_XGE_PCS_PMA_OUTS = \
+$(IP_BUILD_DIR)/xge_pcs_pma/xge_pcs_pma.xci.out \
+$(IP_BUILD_DIR)/xge_pcs_pma/xge_pcs_pma.v \
+
+$(IP_XGE_PCS_PMA_EXAMPLE_SRCS) : $(IP_XGE_PCS_PMA_OUTS)
+
+$(IP_XGE_PCS_PMA_SRCS) $(IP_XGE_PCS_PMA_OUTS) : $(IP_DIR)/xge_pcs_pma/xge_pcs_pma.xci $(IP_XGE_FILE_PATCH)
+ $(call BUILD_VIVADO_IP,xge_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),1)
+ cp $(IP_XGE_FILE_TO_PATCH) $(IP_XGE_FILE_TO_PATCH).orig
+ cp $(IP_XGE_FILE_TO_PATCH) $(IP_XGE_PATCHED_FILE)
+ patch $(IP_XGE_PATCHED_FILE) $(IP_XGE_FILE_PATCH)
+ $(call REBUILD_VIVADO_IP_WITH_PATCH,xge_pcs_pma,$(ARCH),$(PART_ID),$(IP_DIR),$(IP_BUILD_DIR),0,$(call RESOLVE_PATH,$(IP_XGE_PATCHED_FILE)),$(call RESOLVE_PATH,$(IP_XGE_FILE_TO_PATCH)))
diff --git a/fpga/usrp3/top/x400/ip/xge_pcs_pma/eth_10g.sv b/fpga/usrp3/top/x400/ip/xge_pcs_pma/eth_10g.sv
new file mode 100644
index 000000000..41e60e789
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/xge_pcs_pma/eth_10g.sv
@@ -0,0 +1,173 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: eth_10g
+//
+// Description: Wrapper for the 10G mac and phy
+
+
+module eth_10g (
+
+ // Resets
+ input logic areset,
+ // Clock for misc stuff
+ input logic clk100,
+ // Shared Quad signals
+ output logic[0:0] qpll0_reset,
+ input logic[0:0] qpll0_lock,
+ input logic[0:0] qpll0_clk,
+ input logic[0:0] qpll0_refclk,
+ output logic[0:0] qpll1_reset,
+ input logic[0:0] qpll1_lock,
+ input logic[0:0] qpll1_clk,
+ input logic[0:0] qpll1_refclk,
+ // RX Clk for output
+ output logic rx_rec_clk_out,
+ // MGT high-speed IO
+ output logic tx_p,
+ output logic tx_n,
+ input logic rx_p,
+ input logic rx_n,
+
+ // Data port
+ output logic mgt_clk,
+ output logic mgt_rst,
+ // Interface clocks for mgt_tx and mgt_rx are NOT used (logic uses using mgt_clk)
+ AxiStreamIf.slave mgt_tx,
+ AxiStreamIf.master mgt_rx,
+ // Axi port
+ AxiLiteIf.slave mgt_axil,
+ // Misc
+ output logic [31:0] phy_status,
+ input logic [31:0] mac_ctrl,
+ output logic [31:0] mac_status,
+ output logic phy_reset,
+ output logic link_up
+);
+
+ import PkgAxiLite::*;
+
+ assign phy_status[31:8] = 24'h0;
+ assign mac_status[31:9] = 23'h0;
+ assign link_up = phy_status[0];
+
+ // respond with error if anyone reads this memory region
+ always_comb begin
+ mgt_axil.awready = 1'b1;
+ mgt_axil.wready = 1'b1;
+ mgt_axil.bresp= SLVERR;
+ mgt_axil.bvalid = 1'b1;
+ mgt_axil.arready = 1'b1;
+ mgt_axil.rdata = 'b0;
+ mgt_axil.rresp = SLVERR;
+ mgt_axil.rvalid = 1'b1;
+ end
+
+ logic xgmii_clk;
+ logic [63:0] xgmii_txd;
+ logic [7:0] xgmii_txc;
+ logic [63:0] xgmii_rxd;
+ logic [7:0] xgmii_rxc;
+ logic xge_phy_resetdone;
+
+ assign phy_reset = !xge_phy_resetdone;
+ assign mgt_clk = xgmii_clk;
+
+ // This is a heavily replicated signal, add some pipeline
+ // to it to make it easier to spread out
+ logic mgt_rst_0;
+
+ always_ff @(posedge mgt_clk,posedge areset) begin : reset_timing_dff
+ if (areset) begin
+ mgt_rst_0 = 1'b1;
+ mgt_rst = 1'b1;
+ end else begin
+ mgt_rst_0 = !link_up;
+ mgt_rst = mgt_rst_0;
+ end
+ end
+
+ // areset pin notes - reset is used asynchronously
+ ten_gige_phy ten_gige_phy_i (
+ .areset (areset),
+ .dclk (clk100),
+ .xgmii_clk (xgmii_clk),
+ .txp (tx_p),
+ .txn (tx_n),
+ .rxp (rx_p),
+ .rxn (rx_n),
+ .xgmii_txd (xgmii_txd),
+ .xgmii_txc (xgmii_txc),
+ .xgmii_rxd (xgmii_rxd),
+ .xgmii_rxc (xgmii_rxc),
+ .qpll0_refclk (qpll0_refclk),
+ .qpll0_clk (qpll0_clk),
+ .qpll0_lock (qpll0_lock),
+ .qpll0_reset (qpll0_reset),
+ .qpll1_refclk (qpll1_refclk),
+ .qpll1_clk (qpll1_clk),
+ .qpll1_lock (qpll1_lock),
+ .qpll1_reset (qpll1_reset),
+ .rxrecclkout (rx_rec_clk_out),
+ .core_status (phy_status[7:0]),
+ .reset_done (xge_phy_resetdone)
+ );
+
+ xge_mac_wrapper #(
+ .PORTNUM(0),
+ .WISHBONE(0),
+ .ADD_PREAMBLE(0),
+ .CROSS_TO_SYSCLK(0),
+ .CUT_THROUGH(15)
+ ) xge_mac_wrapper_i (
+ // XGMII
+ .xgmii_clk(xgmii_clk),
+ .xgmii_txd(xgmii_txd),
+ .xgmii_txc(xgmii_txc),
+ .xgmii_rxd(xgmii_rxd),
+ .xgmii_rxc(xgmii_rxc),
+ // Client FIFO Interfaces
+ .sys_clk(1'b0),
+ .sys_rst(1'b0),
+ .rx_tdata(mgt_rx.tdata),
+ .rx_tuser(mgt_rx.tuser),
+ .rx_tlast(mgt_rx.tlast),
+ .rx_tvalid(mgt_rx.tvalid),
+ .rx_tready(mgt_rx.tready),
+ .tx_tdata(mgt_tx.tdata),
+ .tx_tuser(mgt_tx.tuser), // Bit[3] (error) is ignored for now.
+ .tx_tlast(mgt_tx.tlast),
+ .tx_tvalid(mgt_tx.tvalid),
+ .tx_tready(mgt_tx.tready),
+ // Control and Status
+ .phy_ready(xge_phy_resetdone),
+ .ctrl_tx_enable(mac_ctrl[0]),
+ .status_crc_error(mac_status[0]),
+ .status_fragment_error(mac_status[1]),
+ .status_txdfifo_ovflow(mac_status[2]),
+ .status_txdfifo_udflow(mac_status[3]),
+ .status_rxdfifo_ovflow(mac_status[4]),
+ .status_rxdfifo_udflow(mac_status[5]),
+ .status_pause_frame_rx(mac_status[6]),
+ .status_local_fault(mac_status[7]),
+ .status_remote_fault(mac_status[8]),
+ // MDIO
+ .mdc(),
+ .mdio_in(),
+ .mdio_out(1'b0),
+ // Wishbone
+ .wb_ack_o(),
+ .wb_dat_o(),
+ .wb_adr_i(8'b0),
+ .wb_clk_i(1'b0),
+ .wb_cyc_i(1'b0),
+ .wb_dat_i(32'b0),
+ .wb_rst_i(1'b0),
+ .wb_stb_i(1'b0),
+ .wb_we_i (1'b0),
+ .wb_int_o()
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/xge_pcs_pma/model_10gbe.sv b/fpga/usrp3/top/x400/ip/xge_pcs_pma/model_10gbe.sv
new file mode 100644
index 000000000..bda0c2c64
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/xge_pcs_pma/model_10gbe.sv
@@ -0,0 +1,174 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: model_10gbe
+//
+// Description:
+//
+// A wrapper of the 10gbe core to axistream interface. this model can be used
+// drive packets into the x400 translated to serial ethernet. This is far
+// slower than just driving things in at the output of the mac with force's
+//
+
+module model_10gbe #(
+ parameter [7:0] PORTNUM = 8'd0
+)(
+ input logic areset,
+ // 156.25 Mhz refclk
+ input logic ref_clk,
+
+ // QSFP high-speed IO
+ output logic tx_p,
+ output logic tx_n,
+ input logic rx_p,
+ input logic rx_n,
+
+ // CLK and RESET - 156.25
+ output logic mgt_clk,
+ output logic mgt_rst,
+ output logic link_up,
+
+
+ // Data port
+ AxiStreamIf.slave mgt_tx,
+ AxiStreamIf.master mgt_rx
+
+);
+
+ // Include macros and time declarations for use with PkgTestExec
+ `define TEST_EXEC_OBJ test
+ `include "test_exec.svh"
+ import PkgAxiLiteBfm::*;
+ import PkgTestExec::*;
+
+ logic clk40,clk40_rst;
+ logic clk100,clk100_rst;
+ logic phy_reset;
+
+ //interface
+ AxiLiteIf #(32,32)
+ mgt_axil (clk40, clk40_rst);
+ //bfm
+ AxiLiteBfm #(32, 32) axi = new(.master(mgt_axil));
+ TestExec mac_test = new();
+
+ sim_clock_gen #(.PERIOD(25.0), .AUTOSTART(1))
+ clk40_gen (.clk(clk40), .rst(clk40_rst));
+ sim_clock_gen #(.PERIOD(100.0), .AUTOSTART(1))
+ clk100_gen (.clk(clk100), .rst(clk100_rst));
+
+ // Register Docs for init_model
+ // MAC CTRL REG Bit positions
+ // ctrl_tx_enable = mac_ctrl[0]
+ // MAC STATUS REG Bit positions
+ // status_crc_error = mac_status[0] 1
+ // status_fragment_error = mac_status[1] 2
+ // status_txdfifo_ovflow = mac_status[2] 4
+ // status_txdfifo_udflow = mac_status[3] 8
+ // status_rxdfifo_ovflow = mac_status[4] 10
+ // status_rxdfifo_udflow = mac_status[5] 20
+ // status_pause_frame_rx = mac_status[6] 40
+ // status_local_fault = mac_status[7] 80
+ // status_remote_fault = mac_status[8] 100
+
+ logic [31:0] phy_status;
+ logic [31:0] mac_status;
+ logic [31:0] mac_ctrl;
+
+ initial begin : init_model
+ mac_ctrl = 0;
+
+ clk40_gen.reset();
+ axi.run();
+ wait(!clk40_rst);
+ repeat (10) @(posedge clk40);
+
+ mac_test.start_test("model_10gbe::Wait for phy reset done", 150us);
+ wait(phy_reset===1'b0);
+ mac_test.end_test();
+
+ mac_test.start_test("model_10gbe::Wait for MAC link_up", 150us);
+ mac_ctrl[0] = 1; // turn on TX
+ wait(link_up===1'b1);
+ mac_test.end_test();
+ end
+
+ logic [0:0] qpll0_reset;
+ logic [0:0] qpll0_lock;
+ logic [0:0] qpll0_clk;
+ logic [0:0] qpll0_refclk;
+ logic [0:0] qpll1_reset;
+ logic [0:0] qpll1_lock;
+ logic [0:0] qpll1_clk;
+ logic [0:0] qpll1_refclk;
+
+ xge_pcs_pma_common_wrapper xge_pcs_pma_common_wrapperx (
+ .refclk (ref_clk),
+ .qpll0reset (qpll0_reset),
+ .qpll0lock (qpll0_lock),
+ .qpll0outclk (qpll0_clk),
+ .qpll0outrefclk (qpll0_refclk),
+ .qpll1reset (qpll1_reset),
+ .qpll1lock (qpll1_lock),
+ .qpll1outclk (qpll1_clk),
+ .qpll1outrefclk (qpll1_refclk)
+ );
+
+ AxiStreamIf #(.DATA_WIDTH(64),.USER_WIDTH(4))
+ eth10g_rx(mgt_clk,mgt_rst);
+
+ always_comb begin
+ mgt_rx.tdata = eth10g_rx.tdata;
+ mgt_rx.tuser = eth10g_rx.tuser;
+ mgt_rx.tkeep = eth10g_rx.trailing2keep(eth10g_rx.tuser);
+ mgt_rx.tvalid = eth10g_rx.tvalid;
+ mgt_rx.tlast = eth10g_rx.tlast;
+ // The MAC ignores hold off. Data must be consumed every clock it is valid.
+ if (!mgt_rst) begin
+ if (!mgt_rx.tready && mgt_rx.tvalid) begin
+ $error("Model 100Gbe : can't hold off the MAC");
+ end
+ end
+ end
+
+ eth_10g eth_10g_i (
+ .areset(areset),
+ //-- Free running 100 MHz clock used for InitClk and AxiLite to mac
+ .clk100(clk100),
+ // Quad Info
+ .qpll0_refclk (qpll0_refclk),
+ .qpll0_clk (qpll0_clk),
+ .qpll0_lock (qpll0_lock),
+ .qpll0_reset (qpll0_reset),
+ .qpll1_refclk (qpll1_refclk),
+ .qpll1_clk (qpll1_clk),
+ .qpll1_lock (qpll1_lock),
+ .qpll1_reset (qpll1_reset),
+ // MGT TX/RX differential signals
+ .tx_p(tx_p),
+ .tx_n(tx_n),
+ .rx_p(rx_p),
+ .rx_n(rx_n),
+ // MAC system_clock
+ .mgt_clk(mgt_clk),
+ .mgt_rst(mgt_rst),
+ //------------------------ AXI Stream TX Interface ------------------------
+ .mgt_tx(mgt_tx),
+ //---------------------- AXI Stream RX Interface ------------------------
+ // There is no RxTReady signal support by the Ethernet100G IP. Received data has to
+ // be read immediately or it is lost.
+ // tUser indicates an error on rcvd packet
+ .mgt_rx(eth10g_rx),
+ // Axi-Lite bus for tie off
+ .mgt_axil(mgt_axil),
+ // LEDs of QSFP28 port
+ .phy_status(phy_status),
+ .mac_ctrl(mac_ctrl),
+ .mac_status(mac_status),
+ .phy_reset(phy_reset),
+ .link_up(link_up)
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/xge_pcs_pma/ten_gige_phy.v b/fpga/usrp3/top/x400/ip/xge_pcs_pma/ten_gige_phy.v
new file mode 100644
index 000000000..b419c45da
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/xge_pcs_pma/ten_gige_phy.v
@@ -0,0 +1,274 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: ten_gige_phy
+//
+// Description:
+//
+// Wrapper for the Xilinx xxv_ethernet IP (10G/25G Ethernet Subsystem).
+//
+
+
+module ten_gige_phy (
+ input wire areset,
+ input wire dclk,
+ output wire xgmii_clk,
+
+ // Transceiver IO
+ output wire txp,
+ output wire txn,
+ input wire rxp,
+ input wire rxn,
+
+ // XGMII Interface
+ input wire [63:0] xgmii_txd,
+ input wire [ 7:0] xgmii_txc,
+ output wire [63:0] xgmii_rxd,
+ output wire [ 7:0] xgmii_rxc,
+
+ // GTYE4_COMMON
+ input wire qpll0_refclk,
+ input wire qpll0_clk,
+ input wire qpll0_lock,
+ output wire qpll0_reset,
+ input wire qpll1_refclk,
+ input wire qpll1_clk,
+ input wire qpll1_lock,
+ output wire qpll1_reset,
+
+ output wire rxrecclkout,
+ output wire [7:0] core_status,
+ output reg reset_done
+);
+
+ localparam XGMII_FREQ = 125_000_000; // xgmii_clk frequency in Hz
+ localparam RX_RST_WAIT = XGMII_FREQ/2; // Cycles to wait before resetting
+ localparam RX_RST_DURATION = 100; // Duration of reset in cycles
+ localparam RX_RST_COUNT_W = $clog2(RX_RST_WAIT);
+
+ wire rx_serdes_reset;
+ wire tx_reset;
+ wire rx_reset;
+
+ wire a_gt_reset_tx_done, gt_reset_tx_done;
+ wire a_gt_reset_rx_done, gt_reset_rx_done;
+
+ wire stat_rx_status_tmp;
+ reg stat_rx_status;
+
+ reg [RX_RST_COUNT_W-1:0] rst_count;
+ reg gt_rx_reset_in;
+
+ //---------------------------------------------------------------------------
+ // Xilinx 10G/25G IP High Speed Ethernet Subsystem Instance
+ //---------------------------------------------------------------------------
+
+ // All connections below follow the Xilinx IP example design, except that
+ // rx_core_clk is driven by tx_mii_clk instead of rx_clk_out. This puts the
+ // RX and TX interfaces on the same clock domain.
+
+ // gtwiz_reset_qpll1reset_out is not connected to qpll1reset in the example
+ // design. Instead, qpll1reset is connected to 0.
+ assign qpll1_reset = 1'b0;
+
+ xge_pcs_pma xge_pcs_pma_i (
+ .gt_rxp_in_0 (rxp),
+ .gt_rxn_in_0 (rxn),
+ .gt_txp_out_0 (txp),
+ .gt_txn_out_0 (txn),
+ .rx_core_clk_0 (xgmii_clk),
+ .rx_serdes_reset_0 (rx_serdes_reset),
+ .txoutclksel_in_0 (3'b101),
+ .rxoutclksel_in_0 (3'b101),
+ .gt_dmonitorout_0 (),
+ .gt_eyescandataerror_0 (),
+ .gt_eyescanreset_0 (1'b0),
+ .gt_eyescantrigger_0 (1'b0),
+ .gt_pcsrsvdin_0 (16'b0),
+ .gt_rxbufreset_0 (1'b0),
+ .gt_rxbufstatus_0 (),
+ .gt_rxcdrhold_0 (1'b0),
+ .gt_rxcommadeten_0 (1'b0),
+ .gt_rxdfeagchold_0 (1'b0),
+ .gt_rxdfelpmreset_0 (1'b0),
+ .gt_rxlatclk_0 (1'b0),
+ .gt_rxlpmen_0 (1'b0),
+ .gt_rxpcsreset_0 (1'b0),
+ .gt_rxpmareset_0 (1'b0),
+ .gt_rxpolarity_0 (1'b0),
+ .gt_rxprbscntreset_0 (1'b0),
+ .gt_rxprbserr_0 (),
+ .gt_rxprbssel_0 (4'b0),
+ .gt_rxrate_0 (3'b0),
+ .gt_rxslide_in_0 (1'b0),
+ .gt_rxstartofseq_0 (),
+ .gt_txbufstatus_0 (),
+ .gt_txdiffctrl_0 (5'h18),
+ .gt_txinhibit_0 (1'b0),
+ .gt_txlatclk_0 (1'b0),
+ .gt_txmaincursor_0 (7'h50),
+ .gt_txpcsreset_0 (1'b0),
+ .gt_txpmareset_0 (1'b0),
+ .gt_txpolarity_0 (1'b0),
+ .gt_txpostcursor_0 (5'b0),
+ .gt_txprbsforceerr_0 (1'b0),
+ .gt_txprbssel_0 (4'b0),
+ .gt_txprecursor_0 (5'b0),
+ .rxrecclkout_0 (rxrecclkout),
+ .gt_drpclk_0 (dclk),
+ .gt_drpdo_0 (),
+ .gt_drprdy_0 (),
+ .gt_drpen_0 (1'b0),
+ .gt_drpwe_0 (1'b0),
+ .gt_drpaddr_0 (10'b0),
+ .gt_drpdi_0 (16'b0),
+ .sys_reset (areset),
+ .dclk (dclk),
+ .tx_mii_clk_0 (xgmii_clk),
+ .rx_clk_out_0 (),
+ .gtpowergood_out_0 (),
+ .qpll0clk_in (qpll0_clk),
+ .qpll0refclk_in (qpll0_refclk),
+ .qpll1clk_in (qpll1_clk),
+ .qpll1refclk_in (qpll1_refclk),
+ .gtwiz_reset_qpll0lock_in (qpll0_lock),
+ .gtwiz_reset_qpll1lock_in (qpll1_lock),
+ .gtwiz_reset_qpll0reset_out (qpll0_reset),
+ .gtwiz_reset_qpll1reset_out (),
+ .gt_reset_tx_done_out_0 (a_gt_reset_tx_done),
+ .gt_reset_rx_done_out_0 (a_gt_reset_rx_done),
+ .gt_reset_all_in_0 (areset),
+ .gt_tx_reset_in_0 (1'b0),
+ .gt_rx_reset_in_0 (gt_rx_reset_in),
+ .rx_reset_0 (rx_reset),
+ .rx_mii_d_0 (xgmii_rxd),
+ .rx_mii_c_0 (xgmii_rxc),
+ .ctl_rx_test_pattern_0 (1'b0),
+ .ctl_rx_test_pattern_enable_0 (1'b0),
+ .ctl_rx_data_pattern_select_0 (1'b0),
+ .ctl_rx_prbs31_test_pattern_enable_0 (1'b0),
+ .stat_rx_framing_err_0 (),
+ .stat_rx_framing_err_valid_0 (),
+ .stat_rx_local_fault_0 (),
+ .stat_rx_block_lock_0 (),
+ .stat_rx_valid_ctrl_code_0 (),
+ .stat_rx_status_0 (stat_rx_status_tmp), // rx_core_clk_0 domain
+ .stat_rx_hi_ber_0 (),
+ .stat_rx_bad_code_0 (),
+ .stat_rx_bad_code_valid_0 (),
+ .stat_rx_error_0 (),
+ .stat_rx_error_valid_0 (),
+ .stat_rx_fifo_error_0 (),
+ .tx_reset_0 (tx_reset),
+ .tx_mii_d_0 (xgmii_txd),
+ .tx_mii_c_0 (xgmii_txc),
+ .stat_tx_local_fault_0 (),
+ .ctl_tx_test_pattern_0 (1'b0),
+ .ctl_tx_test_pattern_enable_0 (1'b0),
+ .ctl_tx_test_pattern_select_0 (1'b0),
+ .ctl_tx_data_pattern_select_0 (1'b0),
+ .ctl_tx_test_pattern_seed_a_0 (58'b0),
+ .ctl_tx_test_pattern_seed_b_0 (58'b0),
+ .ctl_tx_prbs31_test_pattern_enable_0 (1'b0),
+ .gt_loopback_in_0 (3'b0)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Status
+ //---------------------------------------------------------------------------
+
+ assign core_status[7:1] = 0; // Unused
+ assign core_status[0] = stat_rx_status; // Link status
+
+ // Safely combine the RX and TX reset done signals into a single glitch-free
+ // signal.
+
+ synchronizer sync_reset_tx_done (
+ .clk (xgmii_clk),
+ .rst (1'b0),
+ .in (a_gt_reset_tx_done),
+ .out (gt_reset_tx_done)
+ );
+
+ synchronizer sync_reset_rx_done (
+ .clk (xgmii_clk),
+ .rst (1'b0),
+ .in (a_gt_reset_rx_done),
+ .out (gt_reset_rx_done)
+ );
+
+ always @(posedge xgmii_clk) begin : ResetDoneProc
+ reset_done <= gt_reset_tx_done & gt_reset_rx_done;
+ end
+
+
+ //---------------------------------------------------------------------------
+ // Reset Logic
+ //---------------------------------------------------------------------------
+
+ // The reset synchronization below is taken from the example design, except
+ // that rx_clk_out was replaced by tx_mii_clk (xgmii_clk).
+
+ synchronizer sync_rx_serdes_reset (
+ .clk (xgmii_clk),
+ .rst (1'b0),
+ .in (~a_gt_reset_rx_done),
+ .out (rx_serdes_reset)
+ );
+
+ synchronizer sync_tx_reset (
+ .clk (xgmii_clk),
+ .rst (1'b0),
+ .in (~a_gt_reset_tx_done),
+ .out (tx_reset)
+ );
+
+ synchronizer sync_rx_reset (
+ .clk (xgmii_clk),
+ .rst (1'b0),
+ .in (~a_gt_reset_rx_done),
+ .out (rx_reset)
+ );
+
+ // This state machine resets the RX GT part of the core periodically when
+ // the link is down. This is necessary due to a bug in the Xilinx IP.
+ always @(posedge xgmii_clk, posedge areset) begin
+ if (areset) begin
+ gt_rx_reset_in <= 0;
+ rst_count <= 0;
+ stat_rx_status <= 0;
+ end else begin
+ stat_rx_status <= stat_rx_status_tmp;
+
+ // Periodically reset until link is up
+ if (!stat_rx_status) begin
+ rst_count <= rst_count + 1;
+
+ if (!gt_rx_reset_in) begin
+ // We're not in reset. Wait until RX_RST_WAIT cycles have elapsed,
+ // then reset.
+ if (rst_count == RX_RST_WAIT-1) begin
+ rst_count <= 0;
+ gt_rx_reset_in <= 1;
+ end
+ end else begin
+ // We're in reset. Wait until RX_RST_DURATION cycles have elapsed
+ // before deasserting reset.
+ if (rst_count == RX_RST_DURATION-1) begin
+ rst_count <= 0;
+ gt_rx_reset_in <= 0;
+ end
+ end
+
+ // Currently linked, so all is well
+ end else begin
+ rst_count <= 0;
+ gt_rx_reset_in <= 0;
+ end
+ end
+ end
+
+endmodule
diff --git a/fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma.xci b/fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma.xci
new file mode 100644
index 000000000..aeda24d44
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma.xci
@@ -0,0 +1,1184 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
+ <spirit:vendor>xilinx.com</spirit:vendor>
+ <spirit:library>xci</spirit:library>
+ <spirit:name>unknown</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>xge_pcs_pma</spirit:instanceName>
+ <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="xxv_ethernet" spirit:version="3.0"/>
+ <spirit:configurableElementValues>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_RESET_PORT_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_RESET_PORT_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_RESET_PORT_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AN_RESET_PORT_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.HAS_TKEEP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.HAS_TLAST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.HAS_TREADY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.HAS_TSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.LAYERED_METADATA">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TDEST_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RESET_ALL_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RESET_ALL_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RESET_ALL_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RESET_ALL_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RX_RESET_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RX_RESET_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RX_RESET_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_RX_RESET_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_TX_RESET_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_TX_RESET_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_TX_RESET_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CTL_GT_TX_RESET_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.DRP_CLK_PORT.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.DRP_CLK_PORT.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.DRP_CLK_PORT.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.DRP_CLK_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.DRP_CLK_PORT.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_RX_DATAPATH_0_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_RX_DATAPATH_1_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_RX_DATAPATH_2_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_RX_DATAPATH_3_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_TX_DATAPATH_0_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_TX_DATAPATH_1_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_TX_DATAPATH_2_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GTWIZ_RESET_TX_DATAPATH_3_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT0.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT0.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT1.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT2.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT3.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRPCLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRP_DONE_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRP_DONE_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRP_DONE_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_DRP_DONE_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REFCLK_OUT.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REFCLK_OUT.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REFCLK_OUT.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REFCLK_OUT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REFCLK_OUT.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REF_CLK.CAN_DEBUG">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_REF_CLK.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_ALL_IN_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_ALL_IN_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_ALL_IN_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_ALL_IN_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_RX_DONE_OUT_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_RX_DONE_OUT_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_RX_DONE_OUT_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_RX_DONE_OUT_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_TX_DONE_OUT_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_TX_DONE_OUT_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_TX_DONE_OUT_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RESET_TX_DONE_OUT_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_RESET_IN_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_RESET_IN_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_RESET_IN_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_RESET_IN_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_0.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_0.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_0.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_0.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_0.RX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_1.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_1.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_1.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_1.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_1.RX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_2.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_2.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_2.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_2.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_2.RX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_3.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_3.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_3.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_3.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_RX_SERDES_INTERFACE_3.RX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_SERIAL_PORT.CAN_DEBUG">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_RESET_IN_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_RESET_IN_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_RESET_IN_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_RESET_IN_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_0.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_0.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_0.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_0.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_0.TX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_1.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_1.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_1.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_1.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_1.TX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_2.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_2.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_2.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_2.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_2.TX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_3.CHNL_NUMBER">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_3.GT_DIRECTION">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_3.MASTERCLK_SRC">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_3.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.GT_TX_SERDES_INTERFACE_3.TX_SETTINGS">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT0.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT0.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT1.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT2.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT3.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.MII_MAC_TX_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0CLK_IN_PORT.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0CLK_IN_PORT.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0CLK_IN_PORT.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0CLK_IN_PORT.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0CLK_IN_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0CLK_IN_PORT.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0REFCLK_IN_PORT.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0REFCLK_IN_PORT.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0REFCLK_IN_PORT.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0REFCLK_IN_PORT.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0REFCLK_IN_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0REFCLK_IN_PORT.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL0_RESET_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1CLK_IN_PORT.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1CLK_IN_PORT.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1CLK_IN_PORT.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1CLK_IN_PORT.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1CLK_IN_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1CLK_IN_PORT.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1REFCLK_IN_PORT.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1REFCLK_IN_PORT.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1REFCLK_IN_PORT.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1REFCLK_IN_PORT.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1REFCLK_IN_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1REFCLK_IN_PORT.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.QPLL1_RESET_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_0.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_0.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_1.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_2.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_3.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RXRECCLKOUT_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_CORE_CLK_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_RESET_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_RESET_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_RESET_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_MAC_MII_RESET_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_RESET_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_RESET_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_RESET_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_RESET_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_0.FREQ_HZ">156.25</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_0.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_1.FREQ_HZ">156.25</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_1.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_2.FREQ_HZ">156.25</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_2.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_3.FREQ_HZ">156.25</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_CLK_3.PARENT_ID">undef</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_RESET_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_RESET_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_RESET_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.RX_SERDES_RESET_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.SYS_RESET_PORT.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_0.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_1.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_2.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.ADDR_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.ARUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.AWUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.BUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.DATA_WIDTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_BRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_CACHE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_LOCK">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_PROT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_QOS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_REGION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_RRESP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_WSTRB">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.ID_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.NUM_READ_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.RUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_3.WUSER_WIDTH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_CLOCK3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_RESETN0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_RESETN1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_RESETN2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXI_SIGNAL_RESETN3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_0.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_1.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_2.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_3.FREQ_HZ">100000000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_CORE_CLK_3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT0.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT0.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT1.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT2.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT3.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK0_OUT_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT0.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT0.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT1.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT3.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_OUT_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_PORT2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_PORT2.ASSOCIATED_RESET"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK90_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_RESET_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_RESET_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_RESET_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MAC_MII_RESET_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT0.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT0.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT0.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT1.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT1.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT1.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT2.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT2.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT2.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT3.ASSOCIATED_BUSIF"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT3.CLK_DOMAIN"/>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_MII_CLK_PORT3.PHASE">0.000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_RESET_PORT0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_RESET_PORT1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_RESET_PORT2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.TX_RESET_PORT3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_RX_RESET_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_RX_RESET_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_RX_RESET_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_RX_RESET_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_TX_RESET_0.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_TX_RESET_1.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_TX_RESET_2.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.USER_TX_RESET_3.INSERT_VIP">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADD_GT_CNTRL_STS_PORTS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ANLT_CLK_IN_MHZ">100</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TKEEP_WIDTH">7</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BASE_R_KR">BASE-R</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CLOCKING">Asynchronous</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CORE">Ethernet PCS/PMA 64-bit</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_PATH_INTERFACE">MII</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_DATAPATH_PARITY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_PIPELINE_REG">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_PREEMPTION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_PREEMPTION_FIFO">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RX_FLOW_CONTROL_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_TIME_STAMPING">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_TX_FLOW_CONTROL_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_VLANE_ADJUST_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY_CHK">zynquplus</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAST_SIM_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_GT_DIFFCTRL_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_GT_DRP_CLK">100.00</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_GT_GROUP_SELECT">Quad X0Y0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_GT_LOCATION">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_GT_REF_CLK_FREQ">156.25</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_GT_TYPE">GTY</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_AUTO_NEG_LT_LOGIC">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_AXI4_INTERFACE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_FEC_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_HYBRID_CMAC_RSFEC_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_RSFEC_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_SHARED_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_STATISTICS_COUNTERS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INCLUDE_USER_FIFO">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INS_LOSS_NYQ">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LANE1_GT_LOC">X0Y16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LANE2_GT_LOC">NA</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LANE3_GT_LOC">NA</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LANE4_GT_LOC">NA</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LINE_RATE">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MII_CTRL_WIDTH">8</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MII_DATA_WIDTH">64</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NUM_OF_CORES">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PTP_CLOCKING_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PTP_OPERATION_MODE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RUNTIME_SWITCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RX_EQ_MODE">AUTO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_STATISTICS_REGS_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SWITCH_1_10_25G">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_LATENCY_ADJUST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_TOTAL_BYTES_WIDTH">4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XGMII_INTERFACE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.IS_BOARD_PROJECT">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADD_GT_CNTRL_STS_PORTS">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ANLT_CLK_IN_MHZ">100</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BASE_R_KR">BASE-R</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCKING">Asynchronous</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CORE">Ethernet PCS/PMA 64-bit</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">xge_pcs_pma</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_PATH_INTERFACE">MII</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFFCLK_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_DATAPATH_PARITY">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_PIPELINE_REG">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_PREEMPTION">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_PREEMPTION_FIFO">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_RX_FLOW_CONTROL_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TIME_STAMPING">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_TX_FLOW_CONTROL_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_VLANE_ADJUST_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ETHERNET_BOARD_INTERFACE">Custom</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FAST_SIM_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GT_DRP_CLK">100.00</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GT_GROUP_SELECT">Quad_X0Y4</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GT_LOCATION">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GT_REF_CLK_FREQ">156.25</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GT_TYPE">GTY</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_AUTO_NEG_LT_LOGIC">None</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_AXI4_INTERFACE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_FEC_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_HYBRID_CMAC_RSFEC_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_RSFEC_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_SHARED_LOGIC">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_STATISTICS_COUNTERS">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INCLUDE_USER_FIFO">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INS_LOSS_NYQ">30</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LANE1_GT_LOC">X0Y16</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LANE2_GT_LOC">NA</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LANE3_GT_LOC">NA</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LANE4_GT_LOC">NA</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LINE_RATE">10</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OF_CORES">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PTP_CLOCKING_MODE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PTP_OPERATION_MODE">2</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUNTIME_SWITCH">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RX_EQ_MODE">AUTO</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATISTICS_REGS_TYPE">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SWITCH_1_10_25G">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SYS_CLK">4000</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TX_LATENCY_ADJUST">0</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.XGMII_INTERFACE">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynquplusRFSOC</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD_CONNECTIONS"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xczu28dr</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffvg1517</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">E</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2019.1.1</spirit:configurableElementValue>
+ <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
+ </spirit:configurableElementValues>
+ <spirit:vendorExtensions>
+ <xilinx:componentInstanceExtensions>
+ <xilinx:configElementInfos>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_0.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_1.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_2.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_3.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_0.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_1.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_2.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_EXP_3.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_0.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_1.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_2.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.HAS_TREADY" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_RX_PREEMPT_3.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_0.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_1.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_2.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_3.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_0.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_1.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_2.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_EXP_3.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_0.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_1.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_2.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.HAS_TREADY" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.HAS_TSTRB" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TDATA_NUM_BYTES" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TDEST_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.AXIS_TX_PREEMPT_3.TID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.BUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_BURST" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_CACHE" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_LOCK" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_PROT" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_QOS" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_REGION" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.ID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.PROTOCOL" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.RUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_0.WUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.BUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_BURST" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_CACHE" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_LOCK" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_PROT" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_QOS" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_REGION" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.ID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.PROTOCOL" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.RUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_1.WUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.BUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_BURST" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_CACHE" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_LOCK" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_PROT" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_QOS" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_REGION" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.ID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.PROTOCOL" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.RUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_2.WUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.ADDR_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.ARUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.AWUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.BUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.DATA_WIDTH" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_BRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_BURST" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_CACHE" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_LOCK" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_PROT" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_QOS" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_REGION" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_RRESP" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.HAS_WSTRB" xilinx:valueSource="auto"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.ID_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.PROTOCOL" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.RUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXI_3.WUSER_WIDTH" xilinx:valueSource="constant"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ADD_GT_CNTRL_STS_PORTS" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BASE_R_KR" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.CORE" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.DATA_PATH_INTERFACE" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ENABLE_PIPELINE_REG" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.GT_GROUP_SELECT" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.GT_REF_CLK_FREQ" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.INCLUDE_SHARED_LOGIC" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.INCLUDE_USER_FIFO" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.LANE1_GT_LOC" xilinx:valueSource="user"/>
+ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.LINE_RATE" xilinx:valueSource="user"/>
+ </xilinx:configElementInfos>
+ </xilinx:componentInstanceExtensions>
+ </spirit:vendorExtensions>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+</spirit:design>
diff --git a/fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma_wrapper.v.patch b/fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma_wrapper.v.patch
new file mode 100644
index 000000000..ba059bc44
--- /dev/null
+++ b/fpga/usrp3/top/x400/ip/xge_pcs_pma/xge_pcs_pma_wrapper.v.patch
@@ -0,0 +1,30 @@
+692a693,712
+>
+> //---------------------------------------------------------------------------
+> // Workaround for link status bug. See SR 10471238 for details.
+> //---------------------------------------------------------------------------
+>
+> reg [13:0] rx_clk_noctrlcode_count;
+>
+> always @(posedge rx_core_clk_0) begin
+> if (rx_reset_done_0 == 1'b1) begin
+> rx_clk_noctrlcode_count <= 14'h270F;
+> end else begin
+> if (stat_rx_valid_ctrl_code_0 == 1'b1) begin
+> rx_clk_noctrlcode_count <= 14'h270F;
+> end else begin
+> rx_clk_noctrlcode_count <= rx_clk_noctrlcode_count - 1;
+> end
+> end
+> end
+>
+> //---------------------------------------------------------------------------
+700c720,725
+< if(stat_rx_block_lock_0 == 1'b0)
+---
+> //------------------------------------------------------------------------
+> // Workaround for link status bug. See SR 10471238 for details.
+> // Original code: if(stat_rx_block_lock_0 == 1'b0)
+> // New code:
+> if(stat_rx_block_lock_0 == 1'b0 || rx_clk_noctrlcode_count ==14'h0)
+> //------------------------------------------------------------------------
diff --git a/fpga/usrp3/top/x400/ipass_present_controller.v b/fpga/usrp3/top/x400/ipass_present_controller.v
new file mode 100644
index 000000000..020aecf36
--- /dev/null
+++ b/fpga/usrp3/top/x400/ipass_present_controller.v
@@ -0,0 +1,123 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: ipass_present_controller
+//
+// Description:
+//
+// Translate the iPass present signals on FPGA to control port requests in
+// order to transfer them to the MB CPLD, which needs it for PCIe reset
+// generation.
+//
+
+`default_nettype none
+
+
+module ipass_present_controller (
+ // Common ControlPort signals
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+
+ // ControlPort request
+ output reg m_ctrlport_req_wr,
+ output wire m_ctrlport_req_rd,
+ output wire [19:0] m_ctrlport_req_addr,
+ output wire [31:0] m_ctrlport_req_data,
+ output wire [ 3:0] m_ctrlport_req_byte_en,
+
+ // ControlPort response
+ input wire m_ctrlport_resp_ack,
+ input wire [ 1:0] m_ctrlport_resp_status,
+ input wire [31:0] m_ctrlport_resp_data,
+
+ // Configuration
+ input wire enable,
+
+ // Asynchronous ipass present signals
+ input wire [ 1:0] ipass_present_n
+);
+
+`include "regmap/pl_cpld_regmap_utils.vh"
+`include "cpld/regmap/mb_cpld_pl_regmap_utils.vh"
+`include "cpld/regmap/pl_cpld_base_regmap_utils.vh"
+`include "../../lib/rfnoc/core/ctrlport.vh"
+
+//-----------------------------------------------------------------------------
+// Transfer iPass signals to local clock domain
+//-----------------------------------------------------------------------------
+
+wire [1:0] ipass_present;
+wire [1:0] ipass_present_lcl;
+
+assign ipass_present = ~ipass_present_n;
+
+synchronizer #(
+ .WIDTH (2),
+ .STAGES (2),
+ .INITIAL_VAL (0),
+ .FALSE_PATH_TO_IN (1)
+) synchronizer_i (
+ .clk (ctrlport_clk),
+ .rst (ctrlport_rst),
+ .in (ipass_present),
+ .out (ipass_present_lcl)
+);
+
+//-----------------------------------------------------------------------------
+// Logic to wait for response after trigging request
+//-----------------------------------------------------------------------------
+
+reg transfer_in_progress = 1'b0;
+reg error_occurred = 1'b0;
+reg enable_delayed = 1'b0;
+reg [1:0] ipass_present_cached = 2'b0;
+
+// Rising-edge detection on enable signal
+wire activated;
+assign activated = enable & ~enable_delayed;
+
+always @(posedge ctrlport_clk) begin
+ if (ctrlport_rst) begin
+ m_ctrlport_req_wr <= 1'b0;
+ transfer_in_progress <= 1'b0;
+ enable_delayed <= 1'b0;
+ error_occurred <= 1'b0;
+ ipass_present_cached <= 2'b0;
+ end else begin
+ // Default assignment
+ m_ctrlport_req_wr <= 1'b0;
+ enable_delayed <= enable;
+
+ // Issue new request on change if no request is pending
+ if (((ipass_present_lcl != ipass_present_cached) || error_occurred || activated)
+ && ~transfer_in_progress && enable) begin
+ transfer_in_progress <= 1'b1;
+ m_ctrlport_req_wr <= 1'b1;
+ ipass_present_cached <= ipass_present_lcl;
+ end
+
+ // Reset pending request
+ if (m_ctrlport_resp_ack) begin
+ transfer_in_progress <= 1'b0;
+ error_occurred <= m_ctrlport_resp_status != CTRL_STS_OKAY;
+ end
+ end
+end
+
+//-----------------------------------------------------------------------------
+// Static ControlPort assignments
+//-----------------------------------------------------------------------------
+
+assign m_ctrlport_req_rd = 1'b0;
+assign m_ctrlport_req_byte_en = 4'b1111;
+assign m_ctrlport_req_addr = MB_CPLD + PL_REGISTERS + CABLE_PRESENT_REG;
+assign m_ctrlport_req_data = 32'b0 |
+ (ipass_present_cached[0] << IPASS0_CABLE_PRESENT) |
+ (ipass_present_cached[1] << IPASS1_CABLE_PRESENT);
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/qsfp_led_controller.v b/fpga/usrp3/top/x400/qsfp_led_controller.v
new file mode 100644
index 000000000..7251823a8
--- /dev/null
+++ b/fpga/usrp3/top/x400/qsfp_led_controller.v
@@ -0,0 +1,107 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: qsfp_led_controller
+//
+// Description:
+//
+// Translate the CLIP active and link LED signals on FPGA to control port
+// requests in order to transfer them to the CPLD, which drives the LEDs
+//
+// Parameters:
+//
+// LED_REGISTER_ADDRESS : Address of LED register within CPLD.
+//
+
+`default_nettype none
+
+
+module qsfp_led_controller #(
+ parameter LED_REGISTER_ADDRESS = 0
+) (
+ // Common ControlPort signals
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+
+ // ControlPort request
+ output reg m_ctrlport_req_wr,
+ output wire m_ctrlport_req_rd,
+ output wire [19:0] m_ctrlport_req_addr,
+ output wire [31:0] m_ctrlport_req_data,
+ output wire [ 3:0] m_ctrlport_req_byte_en,
+
+ // ControlPort response
+ input wire m_ctrlport_resp_ack,
+ input wire [ 1:0] m_ctrlport_resp_status,
+ input wire [31:0] m_ctrlport_resp_data,
+
+ // QSFP port LED signals
+ input wire [3:0] qsfp0_led_active,
+ input wire [3:0] qsfp0_led_link,
+ input wire [3:0] qsfp1_led_active,
+ input wire [3:0] qsfp1_led_link
+);
+
+ //----------------------------------------------------------
+ // Transfer LED signals to local clock domain
+ //----------------------------------------------------------
+
+ wire [15:0] led_combined;
+
+ synchronizer #(
+ .WIDTH (16),
+ .STAGES (2),
+ .INITIAL_VAL (0),
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_i (
+ .clk (ctrlport_clk),
+ .rst (ctrlport_rst),
+ .in ({qsfp1_led_active, qsfp1_led_link, qsfp0_led_active, qsfp0_led_link}),
+ .out (led_combined)
+ );
+
+ //----------------------------------------------------------
+ // Logic to wait for response after trigging request
+ //----------------------------------------------------------
+
+ reg transfer_in_progress;
+ reg [15:0] led_combined_delayed;
+
+ always @(posedge ctrlport_clk) begin
+ if (ctrlport_rst) begin
+ m_ctrlport_req_wr <= 1'b0;
+ transfer_in_progress <= 1'b0;
+ led_combined_delayed <= 16'b0;
+ end else begin
+ // Default assignment
+ m_ctrlport_req_wr <= 1'b0;
+
+ // Issue new request on change if no request is pending
+ if (led_combined != led_combined_delayed && ~transfer_in_progress) begin
+ transfer_in_progress <= 1'b1;
+ m_ctrlport_req_wr <= 1'b1;
+ led_combined_delayed <= led_combined;
+ end
+
+ // Reset pending request
+ if (m_ctrlport_resp_ack) begin
+ transfer_in_progress <= 1'b0;
+ end
+ end
+ end
+
+ //----------------------------------------------------------
+ // Static ControlPort assignments
+ //----------------------------------------------------------
+
+ assign m_ctrlport_req_rd = 0;
+ assign m_ctrlport_req_byte_en = 4'b0011;
+ assign m_ctrlport_req_addr = LED_REGISTER_ADDRESS;
+ assign m_ctrlport_req_data = {16'b0, led_combined_delayed};
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/regmap/PkgRFDC_REGS_REGMAP.vhd b/fpga/usrp3/top/x400/regmap/PkgRFDC_REGS_REGMAP.vhd
new file mode 100644
index 000000000..c5732d01f
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/PkgRFDC_REGS_REGMAP.vhd
@@ -0,0 +1,663 @@
+---------------------------------------------------------------------
+--
+-- Copyright 2021 Ettus Research, A National Instruments Brand
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: PkgRFDC_REGS_REGMAP.vhd
+--
+-- Purpose:
+-- The constants in this file are autogenerated by XmlParse.
+--
+----------------------------------------------------------------------
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+package PkgRFDC_REGS_REGMAP is
+
+--===============================================================================
+-- A numerically ordered list of registers and their HDL source files
+--===============================================================================
+
+ -- MMCM : 0x0 (common_regs.v)
+ -- INVERT_IQ_REG : 0x10000 (common_regs.v)
+ -- MMCM_RESET_REG : 0x11000 (common_regs.v)
+ -- RF_RESET_CONTROL_REG : 0x12000 (common_regs.v)
+ -- RF_RESET_STATUS_REG : 0x12008 (common_regs.v)
+ -- RF_AXI_STATUS_REG : 0x13000 (common_regs.v)
+ -- FABRIC_DSP_REG : 0x13008 (common_regs.v)
+ -- CALIBRATION_DATA : 0x14000 (common_regs.v)
+ -- CALIBRATION_ENABLE : 0x14008 (common_regs.v)
+ -- THRESHOLD_STATUS : 0x15000 (common_regs.v)
+ -- RF_PLL_CONTROL_REG : 0x16000 (common_regs.v)
+ -- RF_PLL_STATUS_REG : 0x16008 (common_regs.v)
+
+--===============================================================================
+-- RegTypes
+--===============================================================================
+
+--===============================================================================
+-- Register Group RFDC_REGS
+--===============================================================================
+
+ -- Enumerated type FABRIC_DSP_BW_ENUM
+ constant kFABRIC_DSP_BW_ENUMSize : integer := 4;
+ constant kFABRIC_DSP_BW_NONE : integer := 0; -- FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_NONE
+ constant kFABRIC_DSP_BW_100M : integer := 100; -- FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_100M
+ constant kFABRIC_DSP_BW_200M : integer := 200; -- FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_200M
+ constant kFABRIC_DSP_BW_400M : integer := 400; -- FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_400M
+
+ -- MMCM Window (from common_regs.v)
+ constant kMMCM : integer := 16#0#; -- Window Offset
+ constant kMMCMSize: integer := 16#10000#; -- size in bytes
+ --function kMMCMRec return XReg2_t; -- Window Record function commented out due to programmable attributes
+
+ -- INVERT_IQ_REG Register (from common_regs.v)
+ constant kINVERT_IQ_REG : integer := 16#10000#; -- Register Offset
+ constant kINVERT_IQ_REGSize: integer := 32; -- register width in bits
+ constant kINVERT_IQ_REGMask : std_logic_vector(31 downto 0) := X"0000ffff";
+ constant kINVERT_DB0_ADC0_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_ADC0_IQ
+ constant kINVERT_DB0_ADC0_IQMsb : integer := 0; --INVERT_IQ_REG:INVERT_DB0_ADC0_IQ
+ constant kINVERT_DB0_ADC0_IQ : integer := 0; --INVERT_IQ_REG:INVERT_DB0_ADC0_IQ
+ constant kINVERT_DB0_ADC1_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_ADC1_IQ
+ constant kINVERT_DB0_ADC1_IQMsb : integer := 1; --INVERT_IQ_REG:INVERT_DB0_ADC1_IQ
+ constant kINVERT_DB0_ADC1_IQ : integer := 1; --INVERT_IQ_REG:INVERT_DB0_ADC1_IQ
+ constant kINVERT_DB0_ADC2_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_ADC2_IQ
+ constant kINVERT_DB0_ADC2_IQMsb : integer := 2; --INVERT_IQ_REG:INVERT_DB0_ADC2_IQ
+ constant kINVERT_DB0_ADC2_IQ : integer := 2; --INVERT_IQ_REG:INVERT_DB0_ADC2_IQ
+ constant kINVERT_DB0_ADC3_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_ADC3_IQ
+ constant kINVERT_DB0_ADC3_IQMsb : integer := 3; --INVERT_IQ_REG:INVERT_DB0_ADC3_IQ
+ constant kINVERT_DB0_ADC3_IQ : integer := 3; --INVERT_IQ_REG:INVERT_DB0_ADC3_IQ
+ constant kINVERT_DB1_ADC0_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_ADC0_IQ
+ constant kINVERT_DB1_ADC0_IQMsb : integer := 4; --INVERT_IQ_REG:INVERT_DB1_ADC0_IQ
+ constant kINVERT_DB1_ADC0_IQ : integer := 4; --INVERT_IQ_REG:INVERT_DB1_ADC0_IQ
+ constant kINVERT_DB1_ADC1_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_ADC1_IQ
+ constant kINVERT_DB1_ADC1_IQMsb : integer := 5; --INVERT_IQ_REG:INVERT_DB1_ADC1_IQ
+ constant kINVERT_DB1_ADC1_IQ : integer := 5; --INVERT_IQ_REG:INVERT_DB1_ADC1_IQ
+ constant kINVERT_DB1_ADC2_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_ADC2_IQ
+ constant kINVERT_DB1_ADC2_IQMsb : integer := 6; --INVERT_IQ_REG:INVERT_DB1_ADC2_IQ
+ constant kINVERT_DB1_ADC2_IQ : integer := 6; --INVERT_IQ_REG:INVERT_DB1_ADC2_IQ
+ constant kINVERT_DB1_ADC3_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_ADC3_IQ
+ constant kINVERT_DB1_ADC3_IQMsb : integer := 7; --INVERT_IQ_REG:INVERT_DB1_ADC3_IQ
+ constant kINVERT_DB1_ADC3_IQ : integer := 7; --INVERT_IQ_REG:INVERT_DB1_ADC3_IQ
+ constant kINVERT_DB0_DAC0_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_DAC0_IQ
+ constant kINVERT_DB0_DAC0_IQMsb : integer := 8; --INVERT_IQ_REG:INVERT_DB0_DAC0_IQ
+ constant kINVERT_DB0_DAC0_IQ : integer := 8; --INVERT_IQ_REG:INVERT_DB0_DAC0_IQ
+ constant kINVERT_DB0_DAC1_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_DAC1_IQ
+ constant kINVERT_DB0_DAC1_IQMsb : integer := 9; --INVERT_IQ_REG:INVERT_DB0_DAC1_IQ
+ constant kINVERT_DB0_DAC1_IQ : integer := 9; --INVERT_IQ_REG:INVERT_DB0_DAC1_IQ
+ constant kINVERT_DB0_DAC2_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_DAC2_IQ
+ constant kINVERT_DB0_DAC2_IQMsb : integer := 10; --INVERT_IQ_REG:INVERT_DB0_DAC2_IQ
+ constant kINVERT_DB0_DAC2_IQ : integer := 10; --INVERT_IQ_REG:INVERT_DB0_DAC2_IQ
+ constant kINVERT_DB0_DAC3_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB0_DAC3_IQ
+ constant kINVERT_DB0_DAC3_IQMsb : integer := 11; --INVERT_IQ_REG:INVERT_DB0_DAC3_IQ
+ constant kINVERT_DB0_DAC3_IQ : integer := 11; --INVERT_IQ_REG:INVERT_DB0_DAC3_IQ
+ constant kINVERT_DB1_DAC0_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_DAC0_IQ
+ constant kINVERT_DB1_DAC0_IQMsb : integer := 12; --INVERT_IQ_REG:INVERT_DB1_DAC0_IQ
+ constant kINVERT_DB1_DAC0_IQ : integer := 12; --INVERT_IQ_REG:INVERT_DB1_DAC0_IQ
+ constant kINVERT_DB1_DAC1_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_DAC1_IQ
+ constant kINVERT_DB1_DAC1_IQMsb : integer := 13; --INVERT_IQ_REG:INVERT_DB1_DAC1_IQ
+ constant kINVERT_DB1_DAC1_IQ : integer := 13; --INVERT_IQ_REG:INVERT_DB1_DAC1_IQ
+ constant kINVERT_DB1_DAC2_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_DAC2_IQ
+ constant kINVERT_DB1_DAC2_IQMsb : integer := 14; --INVERT_IQ_REG:INVERT_DB1_DAC2_IQ
+ constant kINVERT_DB1_DAC2_IQ : integer := 14; --INVERT_IQ_REG:INVERT_DB1_DAC2_IQ
+ constant kINVERT_DB1_DAC3_IQSize : integer := 1; --INVERT_IQ_REG:INVERT_DB1_DAC3_IQ
+ constant kINVERT_DB1_DAC3_IQMsb : integer := 15; --INVERT_IQ_REG:INVERT_DB1_DAC3_IQ
+ constant kINVERT_DB1_DAC3_IQ : integer := 15; --INVERT_IQ_REG:INVERT_DB1_DAC3_IQ
+ --function kINVERT_IQ_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- MMCM_RESET_REG Register (from common_regs.v)
+ constant kMMCM_RESET_REG : integer := 16#11000#; -- Register Offset
+ constant kMMCM_RESET_REGSize: integer := 32; -- register width in bits
+ constant kMMCM_RESET_REGMask : std_logic_vector(31 downto 0) := X"00000001";
+ constant kRESET_MMCMSize : integer := 1; --MMCM_RESET_REG:RESET_MMCM
+ constant kRESET_MMCMMsb : integer := 0; --MMCM_RESET_REG:RESET_MMCM
+ constant kRESET_MMCM : integer := 0; --MMCM_RESET_REG:RESET_MMCM
+ --function kMMCM_RESET_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- RF_RESET_CONTROL_REG Register (from common_regs.v)
+ constant kRF_RESET_CONTROL_REG : integer := 16#12000#; -- Register Offset
+ constant kRF_RESET_CONTROL_REGSize: integer := 32; -- register width in bits
+ constant kRF_RESET_CONTROL_REGMask : std_logic_vector(31 downto 0) := X"00000331";
+ constant kFSM_RESETSize : integer := 1; --RF_RESET_CONTROL_REG:FSM_RESET
+ constant kFSM_RESETMsb : integer := 0; --RF_RESET_CONTROL_REG:FSM_RESET
+ constant kFSM_RESET : integer := 0; --RF_RESET_CONTROL_REG:FSM_RESET
+ constant kADC_RESETSize : integer := 1; --RF_RESET_CONTROL_REG:ADC_RESET
+ constant kADC_RESETMsb : integer := 4; --RF_RESET_CONTROL_REG:ADC_RESET
+ constant kADC_RESET : integer := 4; --RF_RESET_CONTROL_REG:ADC_RESET
+ constant kADC_ENABLESize : integer := 1; --RF_RESET_CONTROL_REG:ADC_ENABLE
+ constant kADC_ENABLEMsb : integer := 5; --RF_RESET_CONTROL_REG:ADC_ENABLE
+ constant kADC_ENABLE : integer := 5; --RF_RESET_CONTROL_REG:ADC_ENABLE
+ constant kDAC_RESETSize : integer := 1; --RF_RESET_CONTROL_REG:DAC_RESET
+ constant kDAC_RESETMsb : integer := 8; --RF_RESET_CONTROL_REG:DAC_RESET
+ constant kDAC_RESET : integer := 8; --RF_RESET_CONTROL_REG:DAC_RESET
+ constant kDAC_ENABLESize : integer := 1; --RF_RESET_CONTROL_REG:DAC_ENABLE
+ constant kDAC_ENABLEMsb : integer := 9; --RF_RESET_CONTROL_REG:DAC_ENABLE
+ constant kDAC_ENABLE : integer := 9; --RF_RESET_CONTROL_REG:DAC_ENABLE
+ --function kRF_RESET_CONTROL_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- RF_RESET_STATUS_REG Register (from common_regs.v)
+ constant kRF_RESET_STATUS_REG : integer := 16#12008#; -- Register Offset
+ constant kRF_RESET_STATUS_REGSize: integer := 32; -- register width in bits
+ constant kRF_RESET_STATUS_REGMask : std_logic_vector(31 downto 0) := X"00000888";
+ constant kFSM_RESET_DONESize : integer := 1; --RF_RESET_STATUS_REG:FSM_RESET_DONE
+ constant kFSM_RESET_DONEMsb : integer := 3; --RF_RESET_STATUS_REG:FSM_RESET_DONE
+ constant kFSM_RESET_DONE : integer := 3; --RF_RESET_STATUS_REG:FSM_RESET_DONE
+ constant kADC_SEQ_DONESize : integer := 1; --RF_RESET_STATUS_REG:ADC_SEQ_DONE
+ constant kADC_SEQ_DONEMsb : integer := 7; --RF_RESET_STATUS_REG:ADC_SEQ_DONE
+ constant kADC_SEQ_DONE : integer := 7; --RF_RESET_STATUS_REG:ADC_SEQ_DONE
+ constant kDAC_SEQ_DONESize : integer := 1; --RF_RESET_STATUS_REG:DAC_SEQ_DONE
+ constant kDAC_SEQ_DONEMsb : integer := 11; --RF_RESET_STATUS_REG:DAC_SEQ_DONE
+ constant kDAC_SEQ_DONE : integer := 11; --RF_RESET_STATUS_REG:DAC_SEQ_DONE
+ --function kRF_RESET_STATUS_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- RF_AXI_STATUS_REG Register (from common_regs.v)
+ constant kRF_AXI_STATUS_REG : integer := 16#13000#; -- Register Offset
+ constant kRF_AXI_STATUS_REGSize: integer := 32; -- register width in bits
+ constant kRF_AXI_STATUS_REGMask : std_logic_vector(31 downto 0) := X"ffffffff";
+ constant kRFDC_DAC_TREADYSize : integer := 2; --RF_AXI_STATUS_REG:RFDC_DAC_TREADY
+ constant kRFDC_DAC_TREADYMsb : integer := 1; --RF_AXI_STATUS_REG:RFDC_DAC_TREADY
+ constant kRFDC_DAC_TREADY : integer := 0; --RF_AXI_STATUS_REG:RFDC_DAC_TREADY
+ constant kRFDC_DAC_TVALIDSize : integer := 2; --RF_AXI_STATUS_REG:RFDC_DAC_TVALID
+ constant kRFDC_DAC_TVALIDMsb : integer := 3; --RF_AXI_STATUS_REG:RFDC_DAC_TVALID
+ constant kRFDC_DAC_TVALID : integer := 2; --RF_AXI_STATUS_REG:RFDC_DAC_TVALID
+ constant kRFDC_ADC_Q_TREADYSize : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY
+ constant kRFDC_ADC_Q_TREADYMsb : integer := 5; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY
+ constant kRFDC_ADC_Q_TREADY : integer := 4; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY
+ constant kRFDC_ADC_I_TREADYSize : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY
+ constant kRFDC_ADC_I_TREADYMsb : integer := 7; --RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY
+ constant kRFDC_ADC_I_TREADY : integer := 6; --RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY
+ constant kRFDC_ADC_Q_TVALIDSize : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID
+ constant kRFDC_ADC_Q_TVALIDMsb : integer := 9; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID
+ constant kRFDC_ADC_Q_TVALID : integer := 8; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID
+ constant kRFDC_ADC_I_TVALIDSize : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID
+ constant kRFDC_ADC_I_TVALIDMsb : integer := 11; --RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID
+ constant kRFDC_ADC_I_TVALID : integer := 10; --RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID
+ constant kUSER_ADC_TVALIDSize : integer := 2; --RF_AXI_STATUS_REG:USER_ADC_TVALID
+ constant kUSER_ADC_TVALIDMsb : integer := 13; --RF_AXI_STATUS_REG:USER_ADC_TVALID
+ constant kUSER_ADC_TVALID : integer := 12; --RF_AXI_STATUS_REG:USER_ADC_TVALID
+ constant kUSER_ADC_TREADYSize : integer := 2; --RF_AXI_STATUS_REG:USER_ADC_TREADY
+ constant kUSER_ADC_TREADYMsb : integer := 15; --RF_AXI_STATUS_REG:USER_ADC_TREADY
+ constant kUSER_ADC_TREADY : integer := 14; --RF_AXI_STATUS_REG:USER_ADC_TREADY
+ constant kRFDC_DAC_TREADY_DB1Size : integer := 2; --RF_AXI_STATUS_REG:RFDC_DAC_TREADY_DB1
+ constant kRFDC_DAC_TREADY_DB1Msb : integer := 17; --RF_AXI_STATUS_REG:RFDC_DAC_TREADY_DB1
+ constant kRFDC_DAC_TREADY_DB1 : integer := 16; --RF_AXI_STATUS_REG:RFDC_DAC_TREADY_DB1
+ constant kRFDC_DAC_TVALID_DB1Size : integer := 2; --RF_AXI_STATUS_REG:RFDC_DAC_TVALID_DB1
+ constant kRFDC_DAC_TVALID_DB1Msb : integer := 19; --RF_AXI_STATUS_REG:RFDC_DAC_TVALID_DB1
+ constant kRFDC_DAC_TVALID_DB1 : integer := 18; --RF_AXI_STATUS_REG:RFDC_DAC_TVALID_DB1
+ constant kRFDC_ADC_Q_TREADY_DB1Size : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY_DB1
+ constant kRFDC_ADC_Q_TREADY_DB1Msb : integer := 21; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY_DB1
+ constant kRFDC_ADC_Q_TREADY_DB1 : integer := 20; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY_DB1
+ constant kRFDC_ADC_I_TREADY_DB1Size : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY_DB1
+ constant kRFDC_ADC_I_TREADY_DB1Msb : integer := 23; --RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY_DB1
+ constant kRFDC_ADC_I_TREADY_DB1 : integer := 22; --RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY_DB1
+ constant kRFDC_ADC_Q_TVALID_DB1Size : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID_DB1
+ constant kRFDC_ADC_Q_TVALID_DB1Msb : integer := 25; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID_DB1
+ constant kRFDC_ADC_Q_TVALID_DB1 : integer := 24; --RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID_DB1
+ constant kRFDC_ADC_I_TVALID_DB1Size : integer := 2; --RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID_DB1
+ constant kRFDC_ADC_I_TVALID_DB1Msb : integer := 27; --RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID_DB1
+ constant kRFDC_ADC_I_TVALID_DB1 : integer := 26; --RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID_DB1
+ constant kUSER_ADC_TVALID_DB1Size : integer := 2; --RF_AXI_STATUS_REG:USER_ADC_TVALID_DB1
+ constant kUSER_ADC_TVALID_DB1Msb : integer := 29; --RF_AXI_STATUS_REG:USER_ADC_TVALID_DB1
+ constant kUSER_ADC_TVALID_DB1 : integer := 28; --RF_AXI_STATUS_REG:USER_ADC_TVALID_DB1
+ constant kUSER_ADC_TREADY_DB1Size : integer := 2; --RF_AXI_STATUS_REG:USER_ADC_TREADY_DB1
+ constant kUSER_ADC_TREADY_DB1Msb : integer := 31; --RF_AXI_STATUS_REG:USER_ADC_TREADY_DB1
+ constant kUSER_ADC_TREADY_DB1 : integer := 30; --RF_AXI_STATUS_REG:USER_ADC_TREADY_DB1
+ --function kRF_AXI_STATUS_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- FABRIC_DSP_REG Register (from common_regs.v)
+ constant kFABRIC_DSP_REG : integer := 16#13008#; -- Register Offset
+ constant kFABRIC_DSP_REGSize: integer := 32; -- register width in bits
+ constant kFABRIC_DSP_REGMask : std_logic_vector(31 downto 0) := X"ffffffff";
+ constant kFABRIC_DSP_BWSize : integer := 12; --FABRIC_DSP_REG:FABRIC_DSP_BW
+ constant kFABRIC_DSP_BWMsb : integer := 11; --FABRIC_DSP_REG:FABRIC_DSP_BW
+ constant kFABRIC_DSP_BW : integer := 0; --FABRIC_DSP_REG:FABRIC_DSP_BW
+ constant kFABRIC_DSP_RX_CNTSize : integer := 2; --FABRIC_DSP_REG:FABRIC_DSP_RX_CNT
+ constant kFABRIC_DSP_RX_CNTMsb : integer := 13; --FABRIC_DSP_REG:FABRIC_DSP_RX_CNT
+ constant kFABRIC_DSP_RX_CNT : integer := 12; --FABRIC_DSP_REG:FABRIC_DSP_RX_CNT
+ constant kFABRIC_DSP_TX_CNTSize : integer := 2; --FABRIC_DSP_REG:FABRIC_DSP_TX_CNT
+ constant kFABRIC_DSP_TX_CNTMsb : integer := 15; --FABRIC_DSP_REG:FABRIC_DSP_TX_CNT
+ constant kFABRIC_DSP_TX_CNT : integer := 14; --FABRIC_DSP_REG:FABRIC_DSP_TX_CNT
+ constant kFABRIC_DSP_BW_DB1Size : integer := 12; --FABRIC_DSP_REG:FABRIC_DSP_BW_DB1
+ constant kFABRIC_DSP_BW_DB1Msb : integer := 27; --FABRIC_DSP_REG:FABRIC_DSP_BW_DB1
+ constant kFABRIC_DSP_BW_DB1 : integer := 16; --FABRIC_DSP_REG:FABRIC_DSP_BW_DB1
+ constant kFABRIC_DSP_RX_CNT_DB1Size : integer := 2; --FABRIC_DSP_REG:FABRIC_DSP_RX_CNT_DB1
+ constant kFABRIC_DSP_RX_CNT_DB1Msb : integer := 29; --FABRIC_DSP_REG:FABRIC_DSP_RX_CNT_DB1
+ constant kFABRIC_DSP_RX_CNT_DB1 : integer := 28; --FABRIC_DSP_REG:FABRIC_DSP_RX_CNT_DB1
+ constant kFABRIC_DSP_TX_CNT_DB1Size : integer := 2; --FABRIC_DSP_REG:FABRIC_DSP_TX_CNT_DB1
+ constant kFABRIC_DSP_TX_CNT_DB1Msb : integer := 31; --FABRIC_DSP_REG:FABRIC_DSP_TX_CNT_DB1
+ constant kFABRIC_DSP_TX_CNT_DB1 : integer := 30; --FABRIC_DSP_REG:FABRIC_DSP_TX_CNT_DB1
+ --function kFABRIC_DSP_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- CALIBRATION_DATA Register (from common_regs.v)
+ constant kCALIBRATION_DATA : integer := 16#14000#; -- Register Offset
+ constant kCALIBRATION_DATASize: integer := 32; -- register width in bits
+ constant kCALIBRATION_DATAMask : std_logic_vector(31 downto 0) := X"ffffffff";
+ constant kI_DATASize : integer := 16; --CALIBRATION_DATA:I_DATA
+ constant kI_DATAMsb : integer := 15; --CALIBRATION_DATA:I_DATA
+ constant kI_DATA : integer := 0; --CALIBRATION_DATA:I_DATA
+ constant kQ_DATASize : integer := 16; --CALIBRATION_DATA:Q_DATA
+ constant kQ_DATAMsb : integer := 31; --CALIBRATION_DATA:Q_DATA
+ constant kQ_DATA : integer := 16; --CALIBRATION_DATA:Q_DATA
+ --function kCALIBRATION_DATARec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- CALIBRATION_ENABLE Register (from common_regs.v)
+ constant kCALIBRATION_ENABLE : integer := 16#14008#; -- Register Offset
+ constant kCALIBRATION_ENABLESize: integer := 32; -- register width in bits
+ constant kCALIBRATION_ENABLEMask : std_logic_vector(31 downto 0) := X"00000033";
+ constant kENABLE_CALIBRATION_DATA_0Size : integer := 1; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_0
+ constant kENABLE_CALIBRATION_DATA_0Msb : integer := 0; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_0
+ constant kENABLE_CALIBRATION_DATA_0 : integer := 0; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_0
+ constant kENABLE_CALIBRATION_DATA_1Size : integer := 1; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_1
+ constant kENABLE_CALIBRATION_DATA_1Msb : integer := 1; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_1
+ constant kENABLE_CALIBRATION_DATA_1 : integer := 1; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_1
+ constant kENABLE_CALIBRATION_DATA_2Size : integer := 1; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_2
+ constant kENABLE_CALIBRATION_DATA_2Msb : integer := 4; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_2
+ constant kENABLE_CALIBRATION_DATA_2 : integer := 4; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_2
+ constant kENABLE_CALIBRATION_DATA_3Size : integer := 1; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_3
+ constant kENABLE_CALIBRATION_DATA_3Msb : integer := 5; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_3
+ constant kENABLE_CALIBRATION_DATA_3 : integer := 5; --CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_3
+ --function kCALIBRATION_ENABLERec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- THRESHOLD_STATUS Register (from common_regs.v)
+ constant kTHRESHOLD_STATUS : integer := 16#15000#; -- Register Offset
+ constant kTHRESHOLD_STATUSSize: integer := 32; -- register width in bits
+ constant kTHRESHOLD_STATUSMask : std_logic_vector(31 downto 0) := X"00000f0f";
+ constant kADC0_01_THRESHOLD1Size : integer := 1; --THRESHOLD_STATUS:ADC0_01_THRESHOLD1
+ constant kADC0_01_THRESHOLD1Msb : integer := 0; --THRESHOLD_STATUS:ADC0_01_THRESHOLD1
+ constant kADC0_01_THRESHOLD1 : integer := 0; --THRESHOLD_STATUS:ADC0_01_THRESHOLD1
+ constant kADC0_01_THRESHOLD2Size : integer := 1; --THRESHOLD_STATUS:ADC0_01_THRESHOLD2
+ constant kADC0_01_THRESHOLD2Msb : integer := 1; --THRESHOLD_STATUS:ADC0_01_THRESHOLD2
+ constant kADC0_01_THRESHOLD2 : integer := 1; --THRESHOLD_STATUS:ADC0_01_THRESHOLD2
+ constant kADC0_23_THRESHOLD1Size : integer := 1; --THRESHOLD_STATUS:ADC0_23_THRESHOLD1
+ constant kADC0_23_THRESHOLD1Msb : integer := 2; --THRESHOLD_STATUS:ADC0_23_THRESHOLD1
+ constant kADC0_23_THRESHOLD1 : integer := 2; --THRESHOLD_STATUS:ADC0_23_THRESHOLD1
+ constant kADC0_23_THRESHOLD2Size : integer := 1; --THRESHOLD_STATUS:ADC0_23_THRESHOLD2
+ constant kADC0_23_THRESHOLD2Msb : integer := 3; --THRESHOLD_STATUS:ADC0_23_THRESHOLD2
+ constant kADC0_23_THRESHOLD2 : integer := 3; --THRESHOLD_STATUS:ADC0_23_THRESHOLD2
+ constant kADC2_01_THRESHOLD1Size : integer := 1; --THRESHOLD_STATUS:ADC2_01_THRESHOLD1
+ constant kADC2_01_THRESHOLD1Msb : integer := 8; --THRESHOLD_STATUS:ADC2_01_THRESHOLD1
+ constant kADC2_01_THRESHOLD1 : integer := 8; --THRESHOLD_STATUS:ADC2_01_THRESHOLD1
+ constant kADC2_01_THRESHOLD2Size : integer := 1; --THRESHOLD_STATUS:ADC2_01_THRESHOLD2
+ constant kADC2_01_THRESHOLD2Msb : integer := 9; --THRESHOLD_STATUS:ADC2_01_THRESHOLD2
+ constant kADC2_01_THRESHOLD2 : integer := 9; --THRESHOLD_STATUS:ADC2_01_THRESHOLD2
+ constant kADC2_23_THRESHOLD1Size : integer := 1; --THRESHOLD_STATUS:ADC2_23_THRESHOLD1
+ constant kADC2_23_THRESHOLD1Msb : integer := 10; --THRESHOLD_STATUS:ADC2_23_THRESHOLD1
+ constant kADC2_23_THRESHOLD1 : integer := 10; --THRESHOLD_STATUS:ADC2_23_THRESHOLD1
+ constant kADC2_23_THRESHOLD2Size : integer := 1; --THRESHOLD_STATUS:ADC2_23_THRESHOLD2
+ constant kADC2_23_THRESHOLD2Msb : integer := 11; --THRESHOLD_STATUS:ADC2_23_THRESHOLD2
+ constant kADC2_23_THRESHOLD2 : integer := 11; --THRESHOLD_STATUS:ADC2_23_THRESHOLD2
+ --function kTHRESHOLD_STATUSRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- RF_PLL_CONTROL_REG Register (from common_regs.v)
+ constant kRF_PLL_CONTROL_REG : integer := 16#16000#; -- Register Offset
+ constant kRF_PLL_CONTROL_REGSize: integer := 32; -- register width in bits
+ constant kRF_PLL_CONTROL_REGMask : std_logic_vector(31 downto 0) := X"00011111";
+ constant kENABLE_DATA_CLKSize : integer := 1; --RF_PLL_CONTROL_REG:ENABLE_DATA_CLK
+ constant kENABLE_DATA_CLKMsb : integer := 0; --RF_PLL_CONTROL_REG:ENABLE_DATA_CLK
+ constant kENABLE_DATA_CLK : integer := 0; --RF_PLL_CONTROL_REG:ENABLE_DATA_CLK
+ constant kENABLE_DATA_CLK_2XSize : integer := 1; --RF_PLL_CONTROL_REG:ENABLE_DATA_CLK_2X
+ constant kENABLE_DATA_CLK_2XMsb : integer := 4; --RF_PLL_CONTROL_REG:ENABLE_DATA_CLK_2X
+ constant kENABLE_DATA_CLK_2X : integer := 4; --RF_PLL_CONTROL_REG:ENABLE_DATA_CLK_2X
+ constant kENABLE_RF_CLKSize : integer := 1; --RF_PLL_CONTROL_REG:ENABLE_RF_CLK
+ constant kENABLE_RF_CLKMsb : integer := 8; --RF_PLL_CONTROL_REG:ENABLE_RF_CLK
+ constant kENABLE_RF_CLK : integer := 8; --RF_PLL_CONTROL_REG:ENABLE_RF_CLK
+ constant kENABLE_RF_CLK_2XSize : integer := 1; --RF_PLL_CONTROL_REG:ENABLE_RF_CLK_2X
+ constant kENABLE_RF_CLK_2XMsb : integer := 12; --RF_PLL_CONTROL_REG:ENABLE_RF_CLK_2X
+ constant kENABLE_RF_CLK_2X : integer := 12; --RF_PLL_CONTROL_REG:ENABLE_RF_CLK_2X
+ constant kCLEAR_DATA_CLK_UNLOCKEDSize : integer := 1; --RF_PLL_CONTROL_REG:CLEAR_DATA_CLK_UNLOCKED
+ constant kCLEAR_DATA_CLK_UNLOCKEDMsb : integer := 16; --RF_PLL_CONTROL_REG:CLEAR_DATA_CLK_UNLOCKED
+ constant kCLEAR_DATA_CLK_UNLOCKED : integer := 16; --RF_PLL_CONTROL_REG:CLEAR_DATA_CLK_UNLOCKED
+ --function kRF_PLL_CONTROL_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+ -- RF_PLL_STATUS_REG Register (from common_regs.v)
+ constant kRF_PLL_STATUS_REG : integer := 16#16008#; -- Register Offset
+ constant kRF_PLL_STATUS_REGSize: integer := 32; -- register width in bits
+ constant kRF_PLL_STATUS_REGMask : std_logic_vector(31 downto 0) := X"00110000";
+ constant kDATA_CLK_PLL_UNLOCKED_STICKYSize : integer := 1; --RF_PLL_STATUS_REG:DATA_CLK_PLL_UNLOCKED_STICKY
+ constant kDATA_CLK_PLL_UNLOCKED_STICKYMsb : integer := 16; --RF_PLL_STATUS_REG:DATA_CLK_PLL_UNLOCKED_STICKY
+ constant kDATA_CLK_PLL_UNLOCKED_STICKY : integer := 16; --RF_PLL_STATUS_REG:DATA_CLK_PLL_UNLOCKED_STICKY
+ constant kDATA_CLK_PLL_LOCKEDSize : integer := 1; --RF_PLL_STATUS_REG:DATA_CLK_PLL_LOCKED
+ constant kDATA_CLK_PLL_LOCKEDMsb : integer := 20; --RF_PLL_STATUS_REG:DATA_CLK_PLL_LOCKED
+ constant kDATA_CLK_PLL_LOCKED : integer := 20; --RF_PLL_STATUS_REG:DATA_CLK_PLL_LOCKED
+ --function kRF_PLL_STATUS_REGRec return XReg2_t; -- Register Record function commented out due to programmable attributes
+
+end package;
+
+package body PkgRFDC_REGS_REGMAP is
+
+ -- function kMMCMRec not implemented because MMCM has programmable attributes
+ ---- Return the record of window kMMCM
+ --function kMMCMRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"0");
+ -- Rec.size := kMMCMSize;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"00");
+ -- Rec.rmask := XRegResize(X"00");
+ -- Rec.strobemask := XRegResize(X"00");
+ -- Rec.clearablemask := XRegResize(X"00");
+ -- Rec.iswin := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("MMCM");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kMMCMRec;
+
+ -- function kINVERT_IQ_REGRec not implemented because INVERT_IQ_REG has programmable attributes
+ ---- Return the record of register kINVERT_IQ_REG
+ --function kINVERT_IQ_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"10000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"0000ffff");
+ -- Rec.rmask := XRegResize(X"0000ffff");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("INVERT_IQ_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kINVERT_IQ_REGRec;
+
+ -- function kMMCM_RESET_REGRec not implemented because MMCM_RESET_REG has programmable attributes
+ ---- Return the record of register kMMCM_RESET_REG
+ --function kMMCM_RESET_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"11000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"00000001");
+ -- Rec.rmask := XRegResize(X"00000001");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("MMCM_RESET_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kMMCM_RESET_REGRec;
+
+ -- function kRF_RESET_CONTROL_REGRec not implemented because RF_RESET_CONTROL_REG has programmable attributes
+ ---- Return the record of register kRF_RESET_CONTROL_REG
+ --function kRF_RESET_CONTROL_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"12000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"00000331");
+ -- Rec.rmask := XRegResize(X"00000331");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("RF_RESET_CONTROL_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kRF_RESET_CONTROL_REGRec;
+
+ -- function kRF_RESET_STATUS_REGRec not implemented because RF_RESET_STATUS_REG has programmable attributes
+ ---- Return the record of register kRF_RESET_STATUS_REG
+ --function kRF_RESET_STATUS_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"12008");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := false;
+ -- Rec.wmask := XRegResize(X"00000888");
+ -- Rec.rmask := XRegResize(X"00000888");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("RF_RESET_STATUS_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kRF_RESET_STATUS_REGRec;
+
+ -- function kRF_AXI_STATUS_REGRec not implemented because RF_AXI_STATUS_REG has programmable attributes
+ ---- Return the record of register kRF_AXI_STATUS_REG
+ --function kRF_AXI_STATUS_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"13000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := false;
+ -- Rec.wmask := XRegResize(X"ffffffff");
+ -- Rec.rmask := XRegResize(X"ffffffff");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.msblookupw(kRFDC_DAC_TREADY) := kRFDC_DAC_TREADYMsb;
+ -- Rec.msblookupw(kRFDC_DAC_TVALID) := kRFDC_DAC_TVALIDMsb;
+ -- Rec.msblookupw(kRFDC_ADC_Q_TREADY) := kRFDC_ADC_Q_TREADYMsb;
+ -- Rec.msblookupw(kRFDC_ADC_I_TREADY) := kRFDC_ADC_I_TREADYMsb;
+ -- Rec.msblookupw(kRFDC_ADC_Q_TVALID) := kRFDC_ADC_Q_TVALIDMsb;
+ -- Rec.msblookupw(kRFDC_ADC_I_TVALID) := kRFDC_ADC_I_TVALIDMsb;
+ -- Rec.msblookupw(kUSER_ADC_TVALID) := kUSER_ADC_TVALIDMsb;
+ -- Rec.msblookupw(kUSER_ADC_TREADY) := kUSER_ADC_TREADYMsb;
+ -- Rec.msblookupw(kRFDC_DAC_TREADY_DB1) := kRFDC_DAC_TREADY_DB1Msb;
+ -- Rec.msblookupw(kRFDC_DAC_TVALID_DB1) := kRFDC_DAC_TVALID_DB1Msb;
+ -- Rec.msblookupw(kRFDC_ADC_Q_TREADY_DB1) := kRFDC_ADC_Q_TREADY_DB1Msb;
+ -- Rec.msblookupw(kRFDC_ADC_I_TREADY_DB1) := kRFDC_ADC_I_TREADY_DB1Msb;
+ -- Rec.msblookupw(kRFDC_ADC_Q_TVALID_DB1) := kRFDC_ADC_Q_TVALID_DB1Msb;
+ -- Rec.msblookupw(kRFDC_ADC_I_TVALID_DB1) := kRFDC_ADC_I_TVALID_DB1Msb;
+ -- Rec.msblookupw(kUSER_ADC_TVALID_DB1) := kUSER_ADC_TVALID_DB1Msb;
+ -- Rec.msblookupw(kUSER_ADC_TREADY_DB1) := kUSER_ADC_TREADY_DB1Msb;
+ -- Rec.msblookupr(kRFDC_DAC_TREADY) := kRFDC_DAC_TREADYMsb;
+ -- Rec.msblookupr(kRFDC_DAC_TVALID) := kRFDC_DAC_TVALIDMsb;
+ -- Rec.msblookupr(kRFDC_ADC_Q_TREADY) := kRFDC_ADC_Q_TREADYMsb;
+ -- Rec.msblookupr(kRFDC_ADC_I_TREADY) := kRFDC_ADC_I_TREADYMsb;
+ -- Rec.msblookupr(kRFDC_ADC_Q_TVALID) := kRFDC_ADC_Q_TVALIDMsb;
+ -- Rec.msblookupr(kRFDC_ADC_I_TVALID) := kRFDC_ADC_I_TVALIDMsb;
+ -- Rec.msblookupr(kUSER_ADC_TVALID) := kUSER_ADC_TVALIDMsb;
+ -- Rec.msblookupr(kUSER_ADC_TREADY) := kUSER_ADC_TREADYMsb;
+ -- Rec.msblookupr(kRFDC_DAC_TREADY_DB1) := kRFDC_DAC_TREADY_DB1Msb;
+ -- Rec.msblookupr(kRFDC_DAC_TVALID_DB1) := kRFDC_DAC_TVALID_DB1Msb;
+ -- Rec.msblookupr(kRFDC_ADC_Q_TREADY_DB1) := kRFDC_ADC_Q_TREADY_DB1Msb;
+ -- Rec.msblookupr(kRFDC_ADC_I_TREADY_DB1) := kRFDC_ADC_I_TREADY_DB1Msb;
+ -- Rec.msblookupr(kRFDC_ADC_Q_TVALID_DB1) := kRFDC_ADC_Q_TVALID_DB1Msb;
+ -- Rec.msblookupr(kRFDC_ADC_I_TVALID_DB1) := kRFDC_ADC_I_TVALID_DB1Msb;
+ -- Rec.msblookupr(kUSER_ADC_TVALID_DB1) := kUSER_ADC_TVALID_DB1Msb;
+ -- Rec.msblookupr(kUSER_ADC_TREADY_DB1) := kUSER_ADC_TREADY_DB1Msb;
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("RF_AXI_STATUS_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kRF_AXI_STATUS_REGRec;
+
+ -- function kFABRIC_DSP_REGRec not implemented because FABRIC_DSP_REG has programmable attributes
+ ---- Return the record of register kFABRIC_DSP_REG
+ --function kFABRIC_DSP_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"13008");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := false;
+ -- Rec.wmask := XRegResize(X"ffffffff");
+ -- Rec.rmask := XRegResize(X"ffffffff");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- Rec.initialvalue := XRegResize(X"00000000");
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.msblookupw(kFABRIC_DSP_BW) := kFABRIC_DSP_BWMsb;
+ -- Rec.msblookupw(kFABRIC_DSP_RX_CNT) := kFABRIC_DSP_RX_CNTMsb;
+ -- Rec.msblookupw(kFABRIC_DSP_TX_CNT) := kFABRIC_DSP_TX_CNTMsb;
+ -- Rec.msblookupw(kFABRIC_DSP_BW_DB1) := kFABRIC_DSP_BW_DB1Msb;
+ -- Rec.msblookupw(kFABRIC_DSP_RX_CNT_DB1) := kFABRIC_DSP_RX_CNT_DB1Msb;
+ -- Rec.msblookupw(kFABRIC_DSP_TX_CNT_DB1) := kFABRIC_DSP_TX_CNT_DB1Msb;
+ -- Rec.msblookupr(kFABRIC_DSP_BW) := kFABRIC_DSP_BWMsb;
+ -- Rec.msblookupr(kFABRIC_DSP_RX_CNT) := kFABRIC_DSP_RX_CNTMsb;
+ -- Rec.msblookupr(kFABRIC_DSP_TX_CNT) := kFABRIC_DSP_TX_CNTMsb;
+ -- Rec.msblookupr(kFABRIC_DSP_BW_DB1) := kFABRIC_DSP_BW_DB1Msb;
+ -- Rec.msblookupr(kFABRIC_DSP_RX_CNT_DB1) := kFABRIC_DSP_RX_CNT_DB1Msb;
+ -- Rec.msblookupr(kFABRIC_DSP_TX_CNT_DB1) := kFABRIC_DSP_TX_CNT_DB1Msb;
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("FABRIC_DSP_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kFABRIC_DSP_REGRec;
+
+ -- function kCALIBRATION_DATARec not implemented because CALIBRATION_DATA has programmable attributes
+ ---- Return the record of register kCALIBRATION_DATA
+ --function kCALIBRATION_DATARec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"14000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"ffffffff");
+ -- Rec.rmask := XRegResize(X"ffffffff");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.msblookupw(kI_DATA) := kI_DATAMsb;
+ -- Rec.msblookupw(kQ_DATA) := kQ_DATAMsb;
+ -- Rec.msblookupr(kI_DATA) := kI_DATAMsb;
+ -- Rec.msblookupr(kQ_DATA) := kQ_DATAMsb;
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("CALIBRATION_DATA");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kCALIBRATION_DATARec;
+
+ -- function kCALIBRATION_ENABLERec not implemented because CALIBRATION_ENABLE has programmable attributes
+ ---- Return the record of register kCALIBRATION_ENABLE
+ --function kCALIBRATION_ENABLERec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"14008");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"00000033");
+ -- Rec.rmask := XRegResize(X"00000033");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("CALIBRATION_ENABLE");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kCALIBRATION_ENABLERec;
+
+ -- function kTHRESHOLD_STATUSRec not implemented because THRESHOLD_STATUS has programmable attributes
+ ---- Return the record of register kTHRESHOLD_STATUS
+ --function kTHRESHOLD_STATUSRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"15000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"00000f0f");
+ -- Rec.rmask := XRegResize(X"00000f0f");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("THRESHOLD_STATUS");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kTHRESHOLD_STATUSRec;
+
+ -- function kRF_PLL_CONTROL_REGRec not implemented because RF_PLL_CONTROL_REG has programmable attributes
+ ---- Return the record of register kRF_PLL_CONTROL_REG
+ --function kRF_PLL_CONTROL_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"16000");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := true;
+ -- Rec.wmask := XRegResize(X"00011111");
+ -- Rec.rmask := XRegResize(X"00011111");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("RF_PLL_CONTROL_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kRF_PLL_CONTROL_REGRec;
+
+ -- function kRF_PLL_STATUS_REGRec not implemented because RF_PLL_STATUS_REG has programmable attributes
+ ---- Return the record of register kRF_PLL_STATUS_REG
+ --function kRF_PLL_STATUS_REGRec return XReg2_t is
+ -- variable Rec : XReg2_t;
+ --begin
+ -- Rec := kXRegDefault;
+ -- Rec.version := 1;
+ -- Rec.offset := XAddrResize(X"16008");
+ -- Rec.size := 32;
+ -- Rec.readable := true;
+ -- Rec.writable := false;
+ -- Rec.wmask := XRegResize(X"00110000");
+ -- Rec.rmask := XRegResize(X"00110000");
+ -- Rec.strobemask := XRegResize(X"00000000");
+ -- Rec.clearablemask := XRegResize(X"00000000");
+ -- -- no initial values specified
+ -- -- Single-bit bitfields are not listed here because the default for msblookup* is msb=lsb.
+ -- Rec.isreg := true;
+ -- --synopsys translate_off
+ -- Rec.name := rs("RF_PLL_STATUS_REG");
+ -- --synopsys translate_on
+ -- return Rec;
+ --end function kRF_PLL_STATUS_REGRec;
+
+end package body;
diff --git a/fpga/usrp3/top/x400/regmap/axi_hpm0_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/axi_hpm0_regmap_utils.vh
new file mode 100644
index 000000000..a493bafc3
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/axi_hpm0_regmap_utils.vh
@@ -0,0 +1,110 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: axi_hpm0_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // RPU : 0x80000000 (common_regs.v)
+ // JTAG_ENGINE : 0x1000000000 (common_regs.v)
+ // WR : 0x100003F000 (common_regs.v)
+ // MPM_ENDPOINT : 0x1000080000 (common_regs.v)
+ // CORE_REGS : 0x10000A0000 (common_regs.v)
+ // INT_ETH_DMA : 0x10000A4000 (common_regs.v)
+ // INT_ETH_REGS : 0x10000AA000 (common_regs.v)
+ // RFDC : 0x1000100000 (common_regs.v)
+ // RFDC_REGS : 0x1000140000 (common_regs.v)
+ // QSFP_0_0 : 0x1200000000 (uhd_regs.v)
+ // QSFP_0_1 : 0x1200010000 (uhd_regs.v)
+ // QSFP_0_2 : 0x1200020000 (uhd_regs.v)
+ // QSFP_0_3 : 0x1200030000 (uhd_regs.v)
+ // QSFP_1_0 : 0x1200040000 (uhd_regs.v)
+ // QSFP_1_1 : 0x1200050000 (uhd_regs.v)
+ // QSFP_1_2 : 0x1200060000 (uhd_regs.v)
+ // QSFP_1_3 : 0x1200070000 (uhd_regs.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group COMMON
+//===============================================================================
+
+ // RPU Window (from common_regs.v)
+ localparam RPU = 'h80000000; // Window Offset
+ localparam RPU_SIZE = 'h10000; // size in bytes
+
+ // JTAG_ENGINE Window (from common_regs.v)
+ localparam JTAG_ENGINE = 'h1000000000; // Window Offset
+ localparam JTAG_ENGINE_SIZE = 'h1000; // size in bytes
+
+ // WR Window (from common_regs.v)
+ localparam WR = 'h100003F000; // Window Offset
+ localparam WR_SIZE = 'h1000; // size in bytes
+
+ // MPM_ENDPOINT Window (from common_regs.v)
+ localparam MPM_ENDPOINT = 'h1000080000; // Window Offset
+ localparam MPM_ENDPOINT_SIZE = 'h20000; // size in bytes
+
+ // CORE_REGS Window (from common_regs.v)
+ localparam CORE_REGS = 'h10000A0000; // Window Offset
+ localparam CORE_REGS_SIZE = 'h4000; // size in bytes
+
+ // INT_ETH_DMA Window (from common_regs.v)
+ localparam INT_ETH_DMA = 'h10000A4000; // Window Offset
+ localparam INT_ETH_DMA_SIZE = 'h6000; // size in bytes
+
+ // INT_ETH_REGS Window (from common_regs.v)
+ localparam INT_ETH_REGS = 'h10000AA000; // Window Offset
+ localparam INT_ETH_REGS_SIZE = 'h2000; // size in bytes
+
+ // RFDC Window (from common_regs.v)
+ localparam RFDC = 'h1000100000; // Window Offset
+ localparam RFDC_SIZE = 'h40000; // size in bytes
+
+ // RFDC_REGS Window (from common_regs.v)
+ localparam RFDC_REGS = 'h1000140000; // Window Offset
+ localparam RFDC_REGS_SIZE = 'h20000; // size in bytes
+
+//===============================================================================
+// Register Group UHD_ONLY
+//===============================================================================
+
+ // QSFP_0_0 Window (from uhd_regs.v)
+ localparam QSFP_0_0 = 'h1200000000; // Window Offset
+ localparam QSFP_0_0_SIZE = 'h10000; // size in bytes
+
+ // QSFP_0_1 Window (from uhd_regs.v)
+ localparam QSFP_0_1 = 'h1200010000; // Window Offset
+ localparam QSFP_0_1_SIZE = 'h10000; // size in bytes
+
+ // QSFP_0_2 Window (from uhd_regs.v)
+ localparam QSFP_0_2 = 'h1200020000; // Window Offset
+ localparam QSFP_0_2_SIZE = 'h10000; // size in bytes
+
+ // QSFP_0_3 Window (from uhd_regs.v)
+ localparam QSFP_0_3 = 'h1200030000; // Window Offset
+ localparam QSFP_0_3_SIZE = 'h10000; // size in bytes
+
+ // QSFP_1_0 Window (from uhd_regs.v)
+ localparam QSFP_1_0 = 'h1200040000; // Window Offset
+ localparam QSFP_1_0_SIZE = 'h10000; // size in bytes
+
+ // QSFP_1_1 Window (from uhd_regs.v)
+ localparam QSFP_1_1 = 'h1200050000; // Window Offset
+ localparam QSFP_1_1_SIZE = 'h10000; // size in bytes
+
+ // QSFP_1_2 Window (from uhd_regs.v)
+ localparam QSFP_1_2 = 'h1200060000; // Window Offset
+ localparam QSFP_1_2_SIZE = 'h10000; // size in bytes
+
+ // QSFP_1_3 Window (from uhd_regs.v)
+ localparam QSFP_1_3 = 'h1200070000; // Window Offset
+ localparam QSFP_1_3_SIZE = 'h10000; // size in bytes
diff --git a/fpga/usrp3/top/x400/regmap/core_regs_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/core_regs_regmap_utils.vh
new file mode 100644
index 000000000..cef263b6d
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/core_regs_regmap_utils.vh
@@ -0,0 +1,41 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: core_regs_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // GLOBAL_REGS : 0x0 (x4xx_core_common.v)
+ // VERSIONING_REGS : 0xC00 (x4xx_core_common.v)
+ // TIMEKEEPER : 0x1000 (x4xx_core_common.v)
+ // DIO : 0x2000 (x4xx_core_common.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group CORE_REGS
+//===============================================================================
+
+ // GLOBAL_REGS Window (from x4xx_core_common.v)
+ localparam GLOBAL_REGS = 'h0; // Window Offset
+ localparam GLOBAL_REGS_SIZE = 'hC00; // size in bytes
+
+ // VERSIONING_REGS Window (from x4xx_core_common.v)
+ localparam VERSIONING_REGS = 'hC00; // Window Offset
+ localparam VERSIONING_REGS_SIZE = 'h400; // size in byte
+
+ // TIMEKEEPER Window (from x4xx_core_common.v)
+ localparam TIMEKEEPER = 'h1000; // Window Offset
+ localparam TIMEKEEPER_SIZE = 'h20; // size in bytes
+
+ // DIO Window (from x4xx_core_common.v)
+ localparam DIO = 'h2000; // Window Offset
+ localparam DIO_SIZE = 'h20; // size in bytes
diff --git a/fpga/usrp3/top/x400/regmap/cpld_interface_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/cpld_interface_regmap_utils.vh
new file mode 100644
index 000000000..1539803fe
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/cpld_interface_regmap_utils.vh
@@ -0,0 +1,71 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: cpld_interface_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // SIGNATURE_REGISTER : 0x0 (cpld_interface_regs.v)
+ // SCRATCH_REGISTER : 0xC (cpld_interface_regs.v)
+ // IPASS_CONTROL : 0x10 (cpld_interface_regs.v)
+ // MOTHERBOARD_CPLD_DIVIDER : 0x20 (cpld_interface_regs.v)
+ // DAUGHTERBOARD_CPLD_DIVIDER : 0x24 (cpld_interface_regs.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group CPLD_INTERFACE_REGS
+//===============================================================================
+
+ // SIGNATURE_REGISTER Register (from cpld_interface_regs.v)
+ localparam SIGNATURE_REGISTER = 'h0; // Register Offset
+ localparam SIGNATURE_REGISTER_SIZE = 32; // register width in bits
+ localparam SIGNATURE_REGISTER_MASK = 32'hFFFFFFFF;
+ localparam PRODUCT_SIGNATURE_SIZE = 32; //SIGNATURE_REGISTER:PRODUCT_SIGNATURE
+ localparam PRODUCT_SIGNATURE_MSB = 31; //SIGNATURE_REGISTER:PRODUCT_SIGNATURE
+ localparam PRODUCT_SIGNATURE = 0; //SIGNATURE_REGISTER:PRODUCT_SIGNATURE
+
+ // SCRATCH_REGISTER Register (from cpld_interface_regs.v)
+ localparam SCRATCH_REGISTER = 'hC; // Register Offset
+ localparam SCRATCH_REGISTER_SIZE = 32; // register width in bits
+ localparam SCRATCH_REGISTER_MASK = 32'h0;
+
+//===============================================================================
+// Register Group CPLD_SPI_CONTROL_REGS
+//===============================================================================
+
+ // MOTHERBOARD_CPLD_DIVIDER Register (from cpld_interface_regs.v)
+ localparam MOTHERBOARD_CPLD_DIVIDER = 'h20; // Register Offset
+ localparam MOTHERBOARD_CPLD_DIVIDER_SIZE = 32; // register width in bits
+ localparam MOTHERBOARD_CPLD_DIVIDER_MASK = 32'hFFFF;
+ localparam MB_DIVIDER_SIZE = 16; //MOTHERBOARD_CPLD_DIVIDER:MB_DIVIDER
+ localparam MB_DIVIDER_MSB = 15; //MOTHERBOARD_CPLD_DIVIDER:MB_DIVIDER
+ localparam MB_DIVIDER = 0; //MOTHERBOARD_CPLD_DIVIDER:MB_DIVIDER
+
+ // DAUGHTERBOARD_CPLD_DIVIDER Register (from cpld_interface_regs.v)
+ localparam DAUGHTERBOARD_CPLD_DIVIDER = 'h24; // Register Offset
+ localparam DAUGHTERBOARD_CPLD_DIVIDER_SIZE = 32; // register width in bits
+ localparam DAUGHTERBOARD_CPLD_DIVIDER_MASK = 32'hFFFF;
+ localparam DB_DIVIDER_SIZE = 16; //DAUGHTERBOARD_CPLD_DIVIDER:DB_DIVIDER
+ localparam DB_DIVIDER_MSB = 15; //DAUGHTERBOARD_CPLD_DIVIDER:DB_DIVIDER
+ localparam DB_DIVIDER = 0; //DAUGHTERBOARD_CPLD_DIVIDER:DB_DIVIDER
+
+//===============================================================================
+// Register Group IPASS_REGS
+//===============================================================================
+
+ // IPASS_CONTROL Register (from cpld_interface_regs.v)
+ localparam IPASS_CONTROL = 'h10; // Register Offset
+ localparam IPASS_CONTROL_SIZE = 32; // register width in bits
+ localparam IPASS_CONTROL_MASK = 32'h1;
+ localparam IPASS_ENABLE_TRANSFER_SIZE = 1; //IPASS_CONTROL:IPASS_ENABLE_TRANSFER
+ localparam IPASS_ENABLE_TRANSFER_MSB = 0; //IPASS_CONTROL:IPASS_ENABLE_TRANSFER
+ localparam IPASS_ENABLE_TRANSFER = 0; //IPASS_CONTROL:IPASS_ENABLE_TRANSFER
diff --git a/fpga/usrp3/top/x400/regmap/dio_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/dio_regmap_utils.vh
new file mode 100644
index 000000000..7598bb1ee
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/dio_regmap_utils.vh
@@ -0,0 +1,69 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: dio_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // DIO_MASTER_REGISTER : 0x0 (x4xx_dio.v)
+ // DIO_DIRECTION_REGISTER : 0x4 (x4xx_dio.v)
+ // DIO_INPUT_REGISTER : 0x8 (x4xx_dio.v)
+ // DIO_OUTPUT_REGISTER : 0xC (x4xx_dio.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group DIO_REGS
+//===============================================================================
+
+ // DIO_MASTER_REGISTER Register (from x4xx_dio.v)
+ localparam DIO_MASTER_REGISTER = 'h0; // Register Offset
+ localparam DIO_MASTER_REGISTER_SIZE = 32; // register width in bits
+ localparam DIO_MASTER_REGISTER_MASK = 32'hFFF0FFF;
+ localparam DIO_MASTER_A_SIZE = 12; //DIO_MASTER_REGISTER:DIO_MASTER_A
+ localparam DIO_MASTER_A_MSB = 11; //DIO_MASTER_REGISTER:DIO_MASTER_A
+ localparam DIO_MASTER_A = 0; //DIO_MASTER_REGISTER:DIO_MASTER_A
+ localparam DIO_MASTER_B_SIZE = 12; //DIO_MASTER_REGISTER:DIO_MASTER_B
+ localparam DIO_MASTER_B_MSB = 27; //DIO_MASTER_REGISTER:DIO_MASTER_B
+ localparam DIO_MASTER_B = 16; //DIO_MASTER_REGISTER:DIO_MASTER_B
+
+ // DIO_DIRECTION_REGISTER Register (from x4xx_dio.v)
+ localparam DIO_DIRECTION_REGISTER = 'h4; // Register Offset
+ localparam DIO_DIRECTION_REGISTER_SIZE = 32; // register width in bits
+ localparam DIO_DIRECTION_REGISTER_MASK = 32'hFFF0FFF;
+ localparam DIO_DIRECTION_A_SIZE = 12; //DIO_DIRECTION_REGISTER:DIO_DIRECTION_A
+ localparam DIO_DIRECTION_A_MSB = 11; //DIO_DIRECTION_REGISTER:DIO_DIRECTION_A
+ localparam DIO_DIRECTION_A = 0; //DIO_DIRECTION_REGISTER:DIO_DIRECTION_A
+ localparam DIO_DIRECTION_B_SIZE = 12; //DIO_DIRECTION_REGISTER:DIO_DIRECTION_B
+ localparam DIO_DIRECTION_B_MSB = 27; //DIO_DIRECTION_REGISTER:DIO_DIRECTION_B
+ localparam DIO_DIRECTION_B = 16; //DIO_DIRECTION_REGISTER:DIO_DIRECTION_B
+
+ // DIO_INPUT_REGISTER Register (from x4xx_dio.v)
+ localparam DIO_INPUT_REGISTER = 'h8; // Register Offset
+ localparam DIO_INPUT_REGISTER_SIZE = 32; // register width in bits
+ localparam DIO_INPUT_REGISTER_MASK = 32'hFFF0FFF;
+ localparam DIO_INPUT_A_SIZE = 12; //DIO_INPUT_REGISTER:DIO_INPUT_A
+ localparam DIO_INPUT_A_MSB = 11; //DIO_INPUT_REGISTER:DIO_INPUT_A
+ localparam DIO_INPUT_A = 0; //DIO_INPUT_REGISTER:DIO_INPUT_A
+ localparam DIO_INPUT_B_SIZE = 12; //DIO_INPUT_REGISTER:DIO_INPUT_B
+ localparam DIO_INPUT_B_MSB = 27; //DIO_INPUT_REGISTER:DIO_INPUT_B
+ localparam DIO_INPUT_B = 16; //DIO_INPUT_REGISTER:DIO_INPUT_B
+
+ // DIO_OUTPUT_REGISTER Register (from x4xx_dio.v)
+ localparam DIO_OUTPUT_REGISTER = 'hC; // Register Offset
+ localparam DIO_OUTPUT_REGISTER_SIZE = 32; // register width in bits
+ localparam DIO_OUTPUT_REGISTER_MASK = 32'hFFF0FFF;
+ localparam DIO_OUTPUT_A_SIZE = 12; //DIO_OUTPUT_REGISTER:DIO_OUTPUT_A
+ localparam DIO_OUTPUT_A_MSB = 11; //DIO_OUTPUT_REGISTER:DIO_OUTPUT_A
+ localparam DIO_OUTPUT_A = 0; //DIO_OUTPUT_REGISTER:DIO_OUTPUT_A
+ localparam DIO_OUTPUT_B_SIZE = 12; //DIO_OUTPUT_REGISTER:DIO_OUTPUT_B
+ localparam DIO_OUTPUT_B_MSB = 27; //DIO_OUTPUT_REGISTER:DIO_OUTPUT_B
+ localparam DIO_OUTPUT_B = 16; //DIO_OUTPUT_REGISTER:DIO_OUTPUT_B
diff --git a/fpga/usrp3/top/x400/regmap/global_regs_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/global_regs_regmap_utils.vh
new file mode 100644
index 000000000..bc1ab7778
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/global_regs_regmap_utils.vh
@@ -0,0 +1,276 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: global_regs_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // COMPAT_NUM_REG : 0x0 (x4xx_global_regs.v)
+ // DATESTAMP_REG : 0x4 (x4xx_global_regs.v)
+ // GIT_HASH_REG : 0x8 (x4xx_global_regs.v)
+ // SCRATCH_REG : 0xC (x4xx_global_regs.v)
+ // DEVICE_ID_REG : 0x10 (x4xx_global_regs.v)
+ // RFNOC_INFO_REG : 0x14 (x4xx_global_regs.v)
+ // CLOCK_CTRL_REG : 0x18 (x4xx_global_regs.v)
+ // PPS_CTRL_REG : 0x1C (x4xx_global_regs.v)
+ // CHDR_CLK_RATE_REG : 0x20 (x4xx_global_regs.v)
+ // CHDR_CLK_COUNT_REG : 0x24 (x4xx_global_regs.v)
+ // GPS_CTRL_REG : 0x38 (x4xx_global_regs.v)
+ // GPS_STATUS_REG : 0x3C (x4xx_global_regs.v)
+ // DBOARD_CTRL_REG : 0x40 (x4xx_global_regs.v)
+ // DBOARD_STATUS_REG : 0x44 (x4xx_global_regs.v)
+ // NUM_TIMEKEEPERS_REG : 0x48 (x4xx_global_regs.v)
+ // SERIAL_NUM_LOW_REG : 0x4C (x4xx_global_regs.v)
+ // SERIAL_NUM_HIGH_REG : 0x50 (x4xx_global_regs.v)
+ // MFG_TEST_CTRL_REG : 0x54 (x4xx_global_regs.v)
+ // MFG_TEST_STATUS_REG : 0x58 (x4xx_global_regs.v)
+ // QSFP_PORT_0_0_INFO_REG : 0x60 (x4xx_global_regs.v)
+ // QSFP_PORT_0_1_INFO_REG : 0x64 (x4xx_global_regs.v)
+ // QSFP_PORT_0_2_INFO_REG : 0x68 (x4xx_global_regs.v)
+ // QSFP_PORT_0_3_INFO_REG : 0x6C (x4xx_global_regs.v)
+ // QSFP_PORT_1_0_INFO_REG : 0x70 (x4xx_global_regs.v)
+ // QSFP_PORT_1_1_INFO_REG : 0x74 (x4xx_global_regs.v)
+ // QSFP_PORT_1_2_INFO_REG : 0x78 (x4xx_global_regs.v)
+ // QSFP_PORT_1_3_INFO_REG : 0x7C (x4xx_global_regs.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group GLOBAL_REGS
+//===============================================================================
+
+ // COMPAT_NUM_REG Register (from x4xx_global_regs.v)
+ localparam COMPAT_NUM_REG = 'h0; // Register Offset
+ localparam COMPAT_NUM_REG_SIZE = 32; // register width in bits
+ localparam COMPAT_NUM_REG_MASK = 32'hFFFFFFFF;
+ localparam COMPAT_MINOR_SIZE = 16; //COMPAT_NUM_REG:COMPAT_MINOR
+ localparam COMPAT_MINOR_MSB = 15; //COMPAT_NUM_REG:COMPAT_MINOR
+ localparam COMPAT_MINOR = 0; //COMPAT_NUM_REG:COMPAT_MINOR
+ localparam COMPAT_MAJOR_SIZE = 16; //COMPAT_NUM_REG:COMPAT_MAJOR
+ localparam COMPAT_MAJOR_MSB = 31; //COMPAT_NUM_REG:COMPAT_MAJOR
+ localparam COMPAT_MAJOR = 16; //COMPAT_NUM_REG:COMPAT_MAJOR
+
+ // DATESTAMP_REG Register (from x4xx_global_regs.v)
+ localparam DATESTAMP_REG = 'h4; // Register Offset
+ localparam DATESTAMP_REG_SIZE = 32; // register width in bits
+ localparam DATESTAMP_REG_MASK = 32'hFFFFFFFF;
+ localparam SECONDS_SIZE = 6; //DATESTAMP_REG:SECONDS
+ localparam SECONDS_MSB = 5; //DATESTAMP_REG:SECONDS
+ localparam SECONDS = 0; //DATESTAMP_REG:SECONDS
+ localparam MINUTES_SIZE = 6; //DATESTAMP_REG:MINUTES
+ localparam MINUTES_MSB = 11; //DATESTAMP_REG:MINUTES
+ localparam MINUTES = 6; //DATESTAMP_REG:MINUTES
+ localparam HOUR_SIZE = 5; //DATESTAMP_REG:HOUR
+ localparam HOUR_MSB = 16; //DATESTAMP_REG:HOUR
+ localparam HOUR = 12; //DATESTAMP_REG:HOUR
+ localparam YEAR_SIZE = 6; //DATESTAMP_REG:YEAR
+ localparam YEAR_MSB = 22; //DATESTAMP_REG:YEAR
+ localparam YEAR = 17; //DATESTAMP_REG:YEAR
+ localparam MONTH_SIZE = 4; //DATESTAMP_REG:MONTH
+ localparam MONTH_MSB = 26; //DATESTAMP_REG:MONTH
+ localparam MONTH = 23; //DATESTAMP_REG:MONTH
+ localparam DAY_SIZE = 5; //DATESTAMP_REG:DAY
+ localparam DAY_MSB = 31; //DATESTAMP_REG:DAY
+ localparam DAY = 27; //DATESTAMP_REG:DAY
+
+ // GIT_HASH_REG Register (from x4xx_global_regs.v)
+ localparam GIT_HASH_REG = 'h8; // Register Offset
+ localparam GIT_HASH_REG_SIZE = 32; // register width in bits
+ localparam GIT_HASH_REG_MASK = 32'h0;
+
+ // SCRATCH_REG Register (from x4xx_global_regs.v)
+ localparam SCRATCH_REG = 'hC; // Register Offset
+ localparam SCRATCH_REG_SIZE = 32; // register width in bits
+ localparam SCRATCH_REG_MASK = 32'h0;
+
+ // DEVICE_ID_REG Register (from x4xx_global_regs.v)
+ localparam DEVICE_ID_REG = 'h10; // Register Offset
+ localparam DEVICE_ID_REG_SIZE = 32; // register width in bits
+ localparam DEVICE_ID_REG_MASK = 32'h8000FFFF;
+ localparam DEVICE_ID_SIZE = 16; //DEVICE_ID_REG:DEVICE_ID
+ localparam DEVICE_ID_MSB = 15; //DEVICE_ID_REG:DEVICE_ID
+ localparam DEVICE_ID = 0; //DEVICE_ID_REG:DEVICE_ID
+ localparam PCIE_PRESENT_BIT_SIZE = 1; //DEVICE_ID_REG:PCIE_PRESENT_BIT
+ localparam PCIE_PRESENT_BIT_MSB = 31; //DEVICE_ID_REG:PCIE_PRESENT_BIT
+ localparam PCIE_PRESENT_BIT = 31; //DEVICE_ID_REG:PCIE_PRESENT_BIT
+
+ // RFNOC_INFO_REG Register (from x4xx_global_regs.v)
+ localparam RFNOC_INFO_REG = 'h14; // Register Offset
+ localparam RFNOC_INFO_REG_SIZE = 32; // register width in bits
+ localparam RFNOC_INFO_REG_MASK = 32'hFFFFFFFF;
+ localparam RFNOC_PROTO_MINOR_SIZE = 8; //RFNOC_INFO_REG:RFNOC_PROTO_MINOR
+ localparam RFNOC_PROTO_MINOR_MSB = 7; //RFNOC_INFO_REG:RFNOC_PROTO_MINOR
+ localparam RFNOC_PROTO_MINOR = 0; //RFNOC_INFO_REG:RFNOC_PROTO_MINOR
+ localparam RFNOC_PROTO_MAJOR_SIZE = 8; //RFNOC_INFO_REG:RFNOC_PROTO_MAJOR
+ localparam RFNOC_PROTO_MAJOR_MSB = 15; //RFNOC_INFO_REG:RFNOC_PROTO_MAJOR
+ localparam RFNOC_PROTO_MAJOR = 8; //RFNOC_INFO_REG:RFNOC_PROTO_MAJOR
+ localparam CHDR_WIDTH_SIZE = 16; //RFNOC_INFO_REG:CHDR_WIDTH
+ localparam CHDR_WIDTH_MSB = 31; //RFNOC_INFO_REG:CHDR_WIDTH
+ localparam CHDR_WIDTH = 16; //RFNOC_INFO_REG:CHDR_WIDTH
+
+ // CLOCK_CTRL_REG Register (from x4xx_global_regs.v)
+ localparam CLOCK_CTRL_REG = 'h18; // Register Offset
+ localparam CLOCK_CTRL_REG_SIZE = 32; // register width in bits
+ localparam CLOCK_CTRL_REG_MASK = 32'hFFFF033F;
+ localparam PPS_SELECT_SIZE = 2; //CLOCK_CTRL_REG:PPS_SELECT
+ localparam PPS_SELECT_MSB = 1; //CLOCK_CTRL_REG:PPS_SELECT
+ localparam PPS_SELECT = 0; //CLOCK_CTRL_REG:PPS_SELECT
+ localparam PPS_ENUM_SIZE = 3;
+ localparam PPS_INT_25MHZ = 'h0; // enum value
+ localparam PPS_INT_10MHZ = 'h1; // enum value
+ localparam PPS_EXT = 'h2; // enum value
+ localparam REF_SELECT_SIZE = 1; //CLOCK_CTRL_REG:REF_SELECT
+ localparam REF_SELECT_MSB = 2; //CLOCK_CTRL_REG:REF_SELECT
+ localparam REF_SELECT = 2; //CLOCK_CTRL_REG:REF_SELECT
+ localparam REFCLK_LOCKED_SIZE = 1; //CLOCK_CTRL_REG:REFCLK_LOCKED
+ localparam REFCLK_LOCKED_MSB = 3; //CLOCK_CTRL_REG:REFCLK_LOCKED
+ localparam REFCLK_LOCKED = 3; //CLOCK_CTRL_REG:REFCLK_LOCKED
+ localparam TRIGGER_IO_SELECT_SIZE = 2; //CLOCK_CTRL_REG:TRIGGER_IO_SELECT
+ localparam TRIGGER_IO_SELECT_MSB = 5; //CLOCK_CTRL_REG:TRIGGER_IO_SELECT
+ localparam TRIGGER_IO_SELECT = 4; //CLOCK_CTRL_REG:TRIGGER_IO_SELECT
+ localparam TRIG_IO_ENUM_SIZE = 2;
+ localparam TRIG_IO_INPUT = 'h0; // enum value
+ localparam TRIG_IO_PPS_OUTPUT = 'h1; // enum value
+ localparam PLL_SYNC_TRIGGER_SIZE = 1; //CLOCK_CTRL_REG:PLL_SYNC_TRIGGER
+ localparam PLL_SYNC_TRIGGER_MSB = 8; //CLOCK_CTRL_REG:PLL_SYNC_TRIGGER
+ localparam PLL_SYNC_TRIGGER = 8; //CLOCK_CTRL_REG:PLL_SYNC_TRIGGER
+ localparam PLL_SYNC_DONE_SIZE = 1; //CLOCK_CTRL_REG:PLL_SYNC_DONE
+ localparam PLL_SYNC_DONE_MSB = 9; //CLOCK_CTRL_REG:PLL_SYNC_DONE
+ localparam PLL_SYNC_DONE = 9; //CLOCK_CTRL_REG:PLL_SYNC_DONE
+ localparam PLL_SYNC_DELAY_SIZE = 8; //CLOCK_CTRL_REG:PLL_SYNC_DELAY
+ localparam PLL_SYNC_DELAY_MSB = 23; //CLOCK_CTRL_REG:PLL_SYNC_DELAY
+ localparam PLL_SYNC_DELAY = 16; //CLOCK_CTRL_REG:PLL_SYNC_DELAY
+ localparam PPS_BRC_DELAY_SIZE = 8; //CLOCK_CTRL_REG:PPS_BRC_DELAY
+ localparam PPS_BRC_DELAY_MSB = 31; //CLOCK_CTRL_REG:PPS_BRC_DELAY
+ localparam PPS_BRC_DELAY = 24; //CLOCK_CTRL_REG:PPS_BRC_DELAY
+
+ // PPS_CTRL_REG Register (from x4xx_global_regs.v)
+ localparam PPS_CTRL_REG = 'h1C; // Register Offset
+ localparam PPS_CTRL_REG_SIZE = 32; // register width in bits
+ localparam PPS_CTRL_REG_MASK = 32'hB3FFFFFF;
+ localparam PPS_PRC_DELAY_SIZE = 26; //PPS_CTRL_REG:PPS_PRC_DELAY
+ localparam PPS_PRC_DELAY_MSB = 25; //PPS_CTRL_REG:PPS_PRC_DELAY
+ localparam PPS_PRC_DELAY = 0; //PPS_CTRL_REG:PPS_PRC_DELAY
+ localparam PRC_RC_DIVIDER_SIZE = 2; //PPS_CTRL_REG:PRC_RC_DIVIDER
+ localparam PRC_RC_DIVIDER_MSB = 29; //PPS_CTRL_REG:PRC_RC_DIVIDER
+ localparam PRC_RC_DIVIDER = 28; //PPS_CTRL_REG:PRC_RC_DIVIDER
+ localparam PPS_RC_ENABLED_SIZE = 1; //PPS_CTRL_REG:PPS_RC_ENABLED
+ localparam PPS_RC_ENABLED_MSB = 31; //PPS_CTRL_REG:PPS_RC_ENABLED
+ localparam PPS_RC_ENABLED = 31; //PPS_CTRL_REG:PPS_RC_ENABLED
+
+ // CHDR_CLK_RATE_REG Register (from x4xx_global_regs.v)
+ localparam CHDR_CLK_RATE_REG = 'h20; // Register Offset
+ localparam CHDR_CLK_RATE_REG_SIZE = 32; // register width in bits
+ localparam CHDR_CLK_RATE_REG_MASK = 32'hFFFFFFFF;
+ localparam CHDR_CLK_SIZE = 32; //CHDR_CLK_RATE_REG:CHDR_CLK
+ localparam CHDR_CLK_MSB = 31; //CHDR_CLK_RATE_REG:CHDR_CLK
+ localparam CHDR_CLK = 0; //CHDR_CLK_RATE_REG:CHDR_CLK
+ localparam CHDR_CLK_ENUM_SIZE = 1;
+ localparam CHDR_CLK_VALUE = 'hBEBC200; // enum value
+
+ // CHDR_CLK_COUNT_REG Register (from x4xx_global_regs.v)
+ localparam CHDR_CLK_COUNT_REG = 'h24; // Register Offset
+ localparam CHDR_CLK_COUNT_REG_SIZE = 32; // register width in bits
+ localparam CHDR_CLK_COUNT_REG_MASK = 32'h0;
+
+ // GPS_CTRL_REG Register (from x4xx_global_regs.v)
+ localparam GPS_CTRL_REG = 'h38; // Register Offset
+ localparam GPS_CTRL_REG_SIZE = 32; // register width in bits
+ localparam GPS_CTRL_REG_MASK = 32'h0;
+
+ // GPS_STATUS_REG Register (from x4xx_global_regs.v)
+ localparam GPS_STATUS_REG = 'h3C; // Register Offset
+ localparam GPS_STATUS_REG_SIZE = 32; // register width in bits
+ localparam GPS_STATUS_REG_MASK = 32'h0;
+
+ // DBOARD_CTRL_REG Register (from x4xx_global_regs.v)
+ localparam DBOARD_CTRL_REG = 'h40; // Register Offset
+ localparam DBOARD_CTRL_REG_SIZE = 32; // register width in bits
+ localparam DBOARD_CTRL_REG_MASK = 32'h0;
+
+ // DBOARD_STATUS_REG Register (from x4xx_global_regs.v)
+ localparam DBOARD_STATUS_REG = 'h44; // Register Offset
+ localparam DBOARD_STATUS_REG_SIZE = 32; // register width in bits
+ localparam DBOARD_STATUS_REG_MASK = 32'h0;
+
+ // NUM_TIMEKEEPERS_REG Register (from x4xx_global_regs.v)
+ localparam NUM_TIMEKEEPERS_REG = 'h48; // Register Offset
+ localparam NUM_TIMEKEEPERS_REG_SIZE = 32; // register width in bits
+ localparam NUM_TIMEKEEPERS_REG_MASK = 32'h0;
+
+ // SERIAL_NUM_LOW_REG Register (from x4xx_global_regs.v)
+ localparam SERIAL_NUM_LOW_REG = 'h4C; // Register Offset
+ localparam SERIAL_NUM_LOW_REG_SIZE = 32; // register width in bits
+ localparam SERIAL_NUM_LOW_REG_MASK = 32'h0;
+
+ // SERIAL_NUM_HIGH_REG Register (from x4xx_global_regs.v)
+ localparam SERIAL_NUM_HIGH_REG = 'h50; // Register Offset
+ localparam SERIAL_NUM_HIGH_REG_SIZE = 32; // register width in bits
+ localparam SERIAL_NUM_HIGH_REG_MASK = 32'h0;
+
+ // MFG_TEST_CTRL_REG Register (from x4xx_global_regs.v)
+ localparam MFG_TEST_CTRL_REG = 'h54; // Register Offset
+ localparam MFG_TEST_CTRL_REG_SIZE = 32; // register width in bits
+ localparam MFG_TEST_CTRL_REG_MASK = 32'h3;
+ localparam MFG_TEST_EN_GTY_RCV_CLK_SIZE = 1; //MFG_TEST_CTRL_REG:MFG_TEST_EN_GTY_RCV_CLK
+ localparam MFG_TEST_EN_GTY_RCV_CLK_MSB = 0; //MFG_TEST_CTRL_REG:MFG_TEST_EN_GTY_RCV_CLK
+ localparam MFG_TEST_EN_GTY_RCV_CLK = 0; //MFG_TEST_CTRL_REG:MFG_TEST_EN_GTY_RCV_CLK
+ localparam MFG_TEST_EN_FABRIC_CLK_SIZE = 1; //MFG_TEST_CTRL_REG:MFG_TEST_EN_FABRIC_CLK
+ localparam MFG_TEST_EN_FABRIC_CLK_MSB = 1; //MFG_TEST_CTRL_REG:MFG_TEST_EN_FABRIC_CLK
+ localparam MFG_TEST_EN_FABRIC_CLK = 1; //MFG_TEST_CTRL_REG:MFG_TEST_EN_FABRIC_CLK
+
+ // MFG_TEST_STATUS_REG Register (from x4xx_global_regs.v)
+ localparam MFG_TEST_STATUS_REG = 'h58; // Register Offset
+ localparam MFG_TEST_STATUS_REG_SIZE = 32; // register width in bits
+ localparam MFG_TEST_STATUS_REG_MASK = 32'h3FFFFFF;
+ localparam MFG_TEST_FPGA_AUX_REF_FREQ_SIZE = 26; //MFG_TEST_STATUS_REG:MFG_TEST_FPGA_AUX_REF_FREQ
+ localparam MFG_TEST_FPGA_AUX_REF_FREQ_MSB = 25; //MFG_TEST_STATUS_REG:MFG_TEST_FPGA_AUX_REF_FREQ
+ localparam MFG_TEST_FPGA_AUX_REF_FREQ = 0; //MFG_TEST_STATUS_REG:MFG_TEST_FPGA_AUX_REF_FREQ
+
+ // QSFP_PORT_0_0_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_0_0_INFO_REG = 'h60; // Register Offset
+ localparam QSFP_PORT_0_0_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_0_0_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_0_1_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_0_1_INFO_REG = 'h64; // Register Offset
+ localparam QSFP_PORT_0_1_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_0_1_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_0_2_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_0_2_INFO_REG = 'h68; // Register Offset
+ localparam QSFP_PORT_0_2_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_0_2_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_0_3_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_0_3_INFO_REG = 'h6C; // Register Offset
+ localparam QSFP_PORT_0_3_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_0_3_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_1_0_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_1_0_INFO_REG = 'h70; // Register Offset
+ localparam QSFP_PORT_1_0_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_1_0_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_1_1_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_1_1_INFO_REG = 'h74; // Register Offset
+ localparam QSFP_PORT_1_1_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_1_1_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_1_2_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_1_2_INFO_REG = 'h78; // Register Offset
+ localparam QSFP_PORT_1_2_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_1_2_INFO_REG_MASK = 32'h0;
+
+ // QSFP_PORT_1_3_INFO_REG Register (from x4xx_global_regs.v)
+ localparam QSFP_PORT_1_3_INFO_REG = 'h7C; // Register Offset
+ localparam QSFP_PORT_1_3_INFO_REG_SIZE = 32; // register width in bits
+ localparam QSFP_PORT_1_3_INFO_REG_MASK = 32'h0;
diff --git a/fpga/usrp3/top/x400/regmap/pl_cpld_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/pl_cpld_regmap_utils.vh
new file mode 100644
index 000000000..05e5a48af
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/pl_cpld_regmap_utils.vh
@@ -0,0 +1,41 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: pl_cpld_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // BASE : 0x0 (cpld_interface.v)
+ // MB_CPLD : 0x8000 (cpld_interface.v)
+ // DB0_CPLD : 0x10000 (cpld_interface.v)
+ // DB1_CPLD : 0x18000 (cpld_interface.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group PL_CPLD_WINDOWS
+//===============================================================================
+
+ // BASE Window (from cpld_interface.v)
+ localparam BASE = 'h0; // Window Offset
+ localparam BASE_SIZE = 'h40; // size in bytes
+
+ // MB_CPLD Window (from cpld_interface.v)
+ localparam MB_CPLD = 'h8000; // Window Offset
+ localparam MB_CPLD_SIZE = 'h8000; // size in bytes
+
+ // DB0_CPLD Window (from cpld_interface.v)
+ localparam DB0_CPLD = 'h10000; // Window Offset
+ localparam DB0_CPLD_SIZE = 'h8000; // size in bytes
+
+ // DB1_CPLD Window (from cpld_interface.v)
+ localparam DB1_CPLD = 'h18000; // Window Offset
+ localparam DB1_CPLD_SIZE = 'h8000; // size in bytes
diff --git a/fpga/usrp3/top/x400/regmap/radio_ctrlport_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/radio_ctrlport_regmap_utils.vh
new file mode 100644
index 000000000..18d442cd1
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/radio_ctrlport_regmap_utils.vh
@@ -0,0 +1,31 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: radio_ctrlport_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // DB_WINDOW : 0x0 (rfdc_timing_control.v)
+ // RFDC_TIMING_WINDOW : 0x8000 (rfdc_timing_control.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group RADIO_CTRLPORT_WINDOWS
+//===============================================================================
+
+ // DB_WINDOW Window (from rfdc_timing_control.v)
+ localparam DB_WINDOW = 'h0; // Window Offset
+ localparam DB_WINDOW_SIZE = 'h8000; // size in bytes
+
+ // RFDC_TIMING_WINDOW Window (from rfdc_timing_control.v)
+ localparam RFDC_TIMING_WINDOW = 'h8000; // Window Offset
+ localparam RFDC_TIMING_WINDOW_SIZE = 'h8000; // size in bytes
diff --git a/fpga/usrp3/top/x400/regmap/rfdc_regs_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/rfdc_regs_regmap_utils.vh
new file mode 100644
index 000000000..8a6c5aa9b
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/rfdc_regs_regmap_utils.vh
@@ -0,0 +1,303 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rfdc_regs_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // MMCM : 0x0 (common_regs.v)
+ // INVERT_IQ_REG : 0x10000 (common_regs.v)
+ // MMCM_RESET_REG : 0x11000 (common_regs.v)
+ // RF_RESET_CONTROL_REG : 0x12000 (common_regs.v)
+ // RF_RESET_STATUS_REG : 0x12008 (common_regs.v)
+ // RF_AXI_STATUS_REG : 0x13000 (common_regs.v)
+ // FABRIC_DSP_REG : 0x13008 (common_regs.v)
+ // CALIBRATION_DATA : 0x14000 (common_regs.v)
+ // CALIBRATION_ENABLE : 0x14008 (common_regs.v)
+ // THRESHOLD_STATUS : 0x15000 (common_regs.v)
+ // RF_PLL_CONTROL_REG : 0x16000 (common_regs.v)
+ // RF_PLL_STATUS_REG : 0x16008 (common_regs.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group RFDC_REGS
+//===============================================================================
+
+ // Enumerated type FABRIC_DSP_BW_ENUM
+ localparam FABRIC_DSP_BW_ENUM_SIZE = 4;
+ localparam FABRIC_DSP_BW_NONE = 'h0; // FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_NONE
+ localparam FABRIC_DSP_BW_100M = 'h64; // FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_100M
+ localparam FABRIC_DSP_BW_200M = 'hC8; // FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_200M
+ localparam FABRIC_DSP_BW_400M = 'h190; // FABRIC_DSP_BW_ENUM:FABRIC_DSP_BW_400M
+
+ // MMCM Window (from common_regs.v)
+ localparam MMCM = 'h0; // Window Offset
+ localparam MMCM_SIZE = 'h10000; // size in bytes
+
+ // INVERT_IQ_REG Register (from common_regs.v)
+ localparam INVERT_IQ_REG = 'h10000; // Register Offset
+ localparam INVERT_IQ_REG_SIZE = 32; // register width in bits
+ localparam INVERT_IQ_REG_MASK = 32'hFFFF;
+ localparam INVERT_DB0_ADC0_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_ADC0_IQ
+ localparam INVERT_DB0_ADC0_IQ_MSB = 0; //INVERT_IQ_REG:INVERT_DB0_ADC0_IQ
+ localparam INVERT_DB0_ADC0_IQ = 0; //INVERT_IQ_REG:INVERT_DB0_ADC0_IQ
+ localparam INVERT_DB0_ADC1_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_ADC1_IQ
+ localparam INVERT_DB0_ADC1_IQ_MSB = 1; //INVERT_IQ_REG:INVERT_DB0_ADC1_IQ
+ localparam INVERT_DB0_ADC1_IQ = 1; //INVERT_IQ_REG:INVERT_DB0_ADC1_IQ
+ localparam INVERT_DB0_ADC2_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_ADC2_IQ
+ localparam INVERT_DB0_ADC2_IQ_MSB = 2; //INVERT_IQ_REG:INVERT_DB0_ADC2_IQ
+ localparam INVERT_DB0_ADC2_IQ = 2; //INVERT_IQ_REG:INVERT_DB0_ADC2_IQ
+ localparam INVERT_DB0_ADC3_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_ADC3_IQ
+ localparam INVERT_DB0_ADC3_IQ_MSB = 3; //INVERT_IQ_REG:INVERT_DB0_ADC3_IQ
+ localparam INVERT_DB0_ADC3_IQ = 3; //INVERT_IQ_REG:INVERT_DB0_ADC3_IQ
+ localparam INVERT_DB1_ADC0_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_ADC0_IQ
+ localparam INVERT_DB1_ADC0_IQ_MSB = 4; //INVERT_IQ_REG:INVERT_DB1_ADC0_IQ
+ localparam INVERT_DB1_ADC0_IQ = 4; //INVERT_IQ_REG:INVERT_DB1_ADC0_IQ
+ localparam INVERT_DB1_ADC1_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_ADC1_IQ
+ localparam INVERT_DB1_ADC1_IQ_MSB = 5; //INVERT_IQ_REG:INVERT_DB1_ADC1_IQ
+ localparam INVERT_DB1_ADC1_IQ = 5; //INVERT_IQ_REG:INVERT_DB1_ADC1_IQ
+ localparam INVERT_DB1_ADC2_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_ADC2_IQ
+ localparam INVERT_DB1_ADC2_IQ_MSB = 6; //INVERT_IQ_REG:INVERT_DB1_ADC2_IQ
+ localparam INVERT_DB1_ADC2_IQ = 6; //INVERT_IQ_REG:INVERT_DB1_ADC2_IQ
+ localparam INVERT_DB1_ADC3_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_ADC3_IQ
+ localparam INVERT_DB1_ADC3_IQ_MSB = 7; //INVERT_IQ_REG:INVERT_DB1_ADC3_IQ
+ localparam INVERT_DB1_ADC3_IQ = 7; //INVERT_IQ_REG:INVERT_DB1_ADC3_IQ
+ localparam INVERT_DB0_DAC0_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_DAC0_IQ
+ localparam INVERT_DB0_DAC0_IQ_MSB = 8; //INVERT_IQ_REG:INVERT_DB0_DAC0_IQ
+ localparam INVERT_DB0_DAC0_IQ = 8; //INVERT_IQ_REG:INVERT_DB0_DAC0_IQ
+ localparam INVERT_DB0_DAC1_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_DAC1_IQ
+ localparam INVERT_DB0_DAC1_IQ_MSB = 9; //INVERT_IQ_REG:INVERT_DB0_DAC1_IQ
+ localparam INVERT_DB0_DAC1_IQ = 9; //INVERT_IQ_REG:INVERT_DB0_DAC1_IQ
+ localparam INVERT_DB0_DAC2_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_DAC2_IQ
+ localparam INVERT_DB0_DAC2_IQ_MSB = 10; //INVERT_IQ_REG:INVERT_DB0_DAC2_IQ
+ localparam INVERT_DB0_DAC2_IQ = 10; //INVERT_IQ_REG:INVERT_DB0_DAC2_IQ
+ localparam INVERT_DB0_DAC3_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB0_DAC3_IQ
+ localparam INVERT_DB0_DAC3_IQ_MSB = 11; //INVERT_IQ_REG:INVERT_DB0_DAC3_IQ
+ localparam INVERT_DB0_DAC3_IQ = 11; //INVERT_IQ_REG:INVERT_DB0_DAC3_IQ
+ localparam INVERT_DB1_DAC0_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_DAC0_IQ
+ localparam INVERT_DB1_DAC0_IQ_MSB = 12; //INVERT_IQ_REG:INVERT_DB1_DAC0_IQ
+ localparam INVERT_DB1_DAC0_IQ = 12; //INVERT_IQ_REG:INVERT_DB1_DAC0_IQ
+ localparam INVERT_DB1_DAC1_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_DAC1_IQ
+ localparam INVERT_DB1_DAC1_IQ_MSB = 13; //INVERT_IQ_REG:INVERT_DB1_DAC1_IQ
+ localparam INVERT_DB1_DAC1_IQ = 13; //INVERT_IQ_REG:INVERT_DB1_DAC1_IQ
+ localparam INVERT_DB1_DAC2_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_DAC2_IQ
+ localparam INVERT_DB1_DAC2_IQ_MSB = 14; //INVERT_IQ_REG:INVERT_DB1_DAC2_IQ
+ localparam INVERT_DB1_DAC2_IQ = 14; //INVERT_IQ_REG:INVERT_DB1_DAC2_IQ
+ localparam INVERT_DB1_DAC3_IQ_SIZE = 1; //INVERT_IQ_REG:INVERT_DB1_DAC3_IQ
+ localparam INVERT_DB1_DAC3_IQ_MSB = 15; //INVERT_IQ_REG:INVERT_DB1_DAC3_IQ
+ localparam INVERT_DB1_DAC3_IQ = 15; //INVERT_IQ_REG:INVERT_DB1_DAC3_IQ
+
+ // MMCM_RESET_REG Register (from common_regs.v)
+ localparam MMCM_RESET_REG = 'h11000; // Register Offset
+ localparam MMCM_RESET_REG_SIZE = 32; // register width in bits
+ localparam MMCM_RESET_REG_MASK = 32'h1;
+ localparam RESET_MMCM_SIZE = 1; //MMCM_RESET_REG:RESET_MMCM
+ localparam RESET_MMCM_MSB = 0; //MMCM_RESET_REG:RESET_MMCM
+ localparam RESET_MMCM = 0; //MMCM_RESET_REG:RESET_MMCM
+
+ // RF_RESET_CONTROL_REG Register (from common_regs.v)
+ localparam RF_RESET_CONTROL_REG = 'h12000; // Register Offset
+ localparam RF_RESET_CONTROL_REG_SIZE = 32; // register width in bits
+ localparam RF_RESET_CONTROL_REG_MASK = 32'h331;
+ localparam FSM_RESET_SIZE = 1; //RF_RESET_CONTROL_REG:FSM_RESET
+ localparam FSM_RESET_MSB = 0; //RF_RESET_CONTROL_REG:FSM_RESET
+ localparam FSM_RESET = 0; //RF_RESET_CONTROL_REG:FSM_RESET
+ localparam ADC_RESET_SIZE = 1; //RF_RESET_CONTROL_REG:ADC_RESET
+ localparam ADC_RESET_MSB = 4; //RF_RESET_CONTROL_REG:ADC_RESET
+ localparam ADC_RESET = 4; //RF_RESET_CONTROL_REG:ADC_RESET
+ localparam ADC_ENABLE_SIZE = 1; //RF_RESET_CONTROL_REG:ADC_ENABLE
+ localparam ADC_ENABLE_MSB = 5; //RF_RESET_CONTROL_REG:ADC_ENABLE
+ localparam ADC_ENABLE = 5; //RF_RESET_CONTROL_REG:ADC_ENABLE
+ localparam DAC_RESET_SIZE = 1; //RF_RESET_CONTROL_REG:DAC_RESET
+ localparam DAC_RESET_MSB = 8; //RF_RESET_CONTROL_REG:DAC_RESET
+ localparam DAC_RESET = 8; //RF_RESET_CONTROL_REG:DAC_RESET
+ localparam DAC_ENABLE_SIZE = 1; //RF_RESET_CONTROL_REG:DAC_ENABLE
+ localparam DAC_ENABLE_MSB = 9; //RF_RESET_CONTROL_REG:DAC_ENABLE
+ localparam DAC_ENABLE = 9; //RF_RESET_CONTROL_REG:DAC_ENABLE
+
+ // RF_RESET_STATUS_REG Register (from common_regs.v)
+ localparam RF_RESET_STATUS_REG = 'h12008; // Register Offset
+ localparam RF_RESET_STATUS_REG_SIZE = 32; // register width in bits
+ localparam RF_RESET_STATUS_REG_MASK = 32'h888;
+ localparam FSM_RESET_DONE_SIZE = 1; //RF_RESET_STATUS_REG:FSM_RESET_DONE
+ localparam FSM_RESET_DONE_MSB = 3; //RF_RESET_STATUS_REG:FSM_RESET_DONE
+ localparam FSM_RESET_DONE = 3; //RF_RESET_STATUS_REG:FSM_RESET_DONE
+ localparam ADC_SEQ_DONE_SIZE = 1; //RF_RESET_STATUS_REG:ADC_SEQ_DONE
+ localparam ADC_SEQ_DONE_MSB = 7; //RF_RESET_STATUS_REG:ADC_SEQ_DONE
+ localparam ADC_SEQ_DONE = 7; //RF_RESET_STATUS_REG:ADC_SEQ_DONE
+ localparam DAC_SEQ_DONE_SIZE = 1; //RF_RESET_STATUS_REG:DAC_SEQ_DONE
+ localparam DAC_SEQ_DONE_MSB = 11; //RF_RESET_STATUS_REG:DAC_SEQ_DONE
+ localparam DAC_SEQ_DONE = 11; //RF_RESET_STATUS_REG:DAC_SEQ_DONE
+
+ // RF_AXI_STATUS_REG Register (from common_regs.v)
+ localparam RF_AXI_STATUS_REG = 'h13000; // Register Offset
+ localparam RF_AXI_STATUS_REG_SIZE = 32; // register width in bits
+ localparam RF_AXI_STATUS_REG_MASK = 32'hFFFFFFFF;
+ localparam RFDC_DAC_TREADY_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_DAC_TREADY
+ localparam RFDC_DAC_TREADY_MSB = 1; //RF_AXI_STATUS_REG:RFDC_DAC_TREADY
+ localparam RFDC_DAC_TREADY = 0; //RF_AXI_STATUS_REG:RFDC_DAC_TREADY
+ localparam RFDC_DAC_TVALID_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_DAC_TVALID
+ localparam RFDC_DAC_TVALID_MSB = 3; //RF_AXI_STATUS_REG:RFDC_DAC_TVALID
+ localparam RFDC_DAC_TVALID = 2; //RF_AXI_STATUS_REG:RFDC_DAC_TVALID
+ localparam RFDC_ADC_Q_TREADY_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY
+ localparam RFDC_ADC_Q_TREADY_MSB = 5; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY
+ localparam RFDC_ADC_Q_TREADY = 4; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY
+ localparam RFDC_ADC_I_TREADY_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY
+ localparam RFDC_ADC_I_TREADY_MSB = 7; //RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY
+ localparam RFDC_ADC_I_TREADY = 6; //RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY
+ localparam RFDC_ADC_Q_TVALID_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID
+ localparam RFDC_ADC_Q_TVALID_MSB = 9; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID
+ localparam RFDC_ADC_Q_TVALID = 8; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID
+ localparam RFDC_ADC_I_TVALID_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID
+ localparam RFDC_ADC_I_TVALID_MSB = 11; //RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID
+ localparam RFDC_ADC_I_TVALID = 10; //RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID
+ localparam USER_ADC_TVALID_SIZE = 2; //RF_AXI_STATUS_REG:USER_ADC_TVALID
+ localparam USER_ADC_TVALID_MSB = 13; //RF_AXI_STATUS_REG:USER_ADC_TVALID
+ localparam USER_ADC_TVALID = 12; //RF_AXI_STATUS_REG:USER_ADC_TVALID
+ localparam USER_ADC_TREADY_SIZE = 2; //RF_AXI_STATUS_REG:USER_ADC_TREADY
+ localparam USER_ADC_TREADY_MSB = 15; //RF_AXI_STATUS_REG:USER_ADC_TREADY
+ localparam USER_ADC_TREADY = 14; //RF_AXI_STATUS_REG:USER_ADC_TREADY
+ localparam RFDC_DAC_TREADY_DB1_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_DAC_TREADY_DB1
+ localparam RFDC_DAC_TREADY_DB1_MSB = 17; //RF_AXI_STATUS_REG:RFDC_DAC_TREADY_DB1
+ localparam RFDC_DAC_TREADY_DB1 = 16; //RF_AXI_STATUS_REG:RFDC_DAC_TREADY_DB1
+ localparam RFDC_DAC_TVALID_DB1_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_DAC_TVALID_DB1
+ localparam RFDC_DAC_TVALID_DB1_MSB = 19; //RF_AXI_STATUS_REG:RFDC_DAC_TVALID_DB1
+ localparam RFDC_DAC_TVALID_DB1 = 18; //RF_AXI_STATUS_REG:RFDC_DAC_TVALID_DB1
+ localparam RFDC_ADC_Q_TREADY_DB1_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY_DB1
+ localparam RFDC_ADC_Q_TREADY_DB1_MSB = 21; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY_DB1
+ localparam RFDC_ADC_Q_TREADY_DB1 = 20; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TREADY_DB1
+ localparam RFDC_ADC_I_TREADY_DB1_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY_DB1
+ localparam RFDC_ADC_I_TREADY_DB1_MSB = 23; //RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY_DB1
+ localparam RFDC_ADC_I_TREADY_DB1 = 22; //RF_AXI_STATUS_REG:RFDC_ADC_I_TREADY_DB1
+ localparam RFDC_ADC_Q_TVALID_DB1_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID_DB1
+ localparam RFDC_ADC_Q_TVALID_DB1_MSB = 25; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID_DB1
+ localparam RFDC_ADC_Q_TVALID_DB1 = 24; //RF_AXI_STATUS_REG:RFDC_ADC_Q_TVALID_DB1
+ localparam RFDC_ADC_I_TVALID_DB1_SIZE = 2; //RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID_DB1
+ localparam RFDC_ADC_I_TVALID_DB1_MSB = 27; //RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID_DB1
+ localparam RFDC_ADC_I_TVALID_DB1 = 26; //RF_AXI_STATUS_REG:RFDC_ADC_I_TVALID_DB1
+ localparam USER_ADC_TVALID_DB1_SIZE = 2; //RF_AXI_STATUS_REG:USER_ADC_TVALID_DB1
+ localparam USER_ADC_TVALID_DB1_MSB = 29; //RF_AXI_STATUS_REG:USER_ADC_TVALID_DB1
+ localparam USER_ADC_TVALID_DB1 = 28; //RF_AXI_STATUS_REG:USER_ADC_TVALID_DB1
+ localparam USER_ADC_TREADY_DB1_SIZE = 2; //RF_AXI_STATUS_REG:USER_ADC_TREADY_DB1
+ localparam USER_ADC_TREADY_DB1_MSB = 31; //RF_AXI_STATUS_REG:USER_ADC_TREADY_DB1
+ localparam USER_ADC_TREADY_DB1 = 30; //RF_AXI_STATUS_REG:USER_ADC_TREADY_DB1
+
+ // FABRIC_DSP_REG Register (from common_regs.v)
+ localparam FABRIC_DSP_REG = 'h13008; // Register Offset
+ localparam FABRIC_DSP_REG_SIZE = 32; // register width in bits
+ localparam FABRIC_DSP_REG_MASK = 32'hFFFFFFFF;
+ localparam FABRIC_DSP_BW_SIZE = 12; //FABRIC_DSP_REG:FABRIC_DSP_BW
+ localparam FABRIC_DSP_BW_MSB = 11; //FABRIC_DSP_REG:FABRIC_DSP_BW
+ localparam FABRIC_DSP_BW = 0; //FABRIC_DSP_REG:FABRIC_DSP_BW
+ localparam FABRIC_DSP_RX_CNT_SIZE = 2; //FABRIC_DSP_REG:FABRIC_DSP_RX_CNT
+ localparam FABRIC_DSP_RX_CNT_MSB = 13; //FABRIC_DSP_REG:FABRIC_DSP_RX_CNT
+ localparam FABRIC_DSP_RX_CNT = 12; //FABRIC_DSP_REG:FABRIC_DSP_RX_CNT
+ localparam FABRIC_DSP_TX_CNT_SIZE = 2; //FABRIC_DSP_REG:FABRIC_DSP_TX_CNT
+ localparam FABRIC_DSP_TX_CNT_MSB = 15; //FABRIC_DSP_REG:FABRIC_DSP_TX_CNT
+ localparam FABRIC_DSP_TX_CNT = 14; //FABRIC_DSP_REG:FABRIC_DSP_TX_CNT
+ localparam FABRIC_DSP_BW_DB1_SIZE = 12; //FABRIC_DSP_REG:FABRIC_DSP_BW_DB1
+ localparam FABRIC_DSP_BW_DB1_MSB = 27; //FABRIC_DSP_REG:FABRIC_DSP_BW_DB1
+ localparam FABRIC_DSP_BW_DB1 = 16; //FABRIC_DSP_REG:FABRIC_DSP_BW_DB1
+ localparam FABRIC_DSP_RX_CNT_DB1_SIZE = 2; //FABRIC_DSP_REG:FABRIC_DSP_RX_CNT_DB1
+ localparam FABRIC_DSP_RX_CNT_DB1_MSB = 29; //FABRIC_DSP_REG:FABRIC_DSP_RX_CNT_DB1
+ localparam FABRIC_DSP_RX_CNT_DB1 = 28; //FABRIC_DSP_REG:FABRIC_DSP_RX_CNT_DB1
+ localparam FABRIC_DSP_TX_CNT_DB1_SIZE = 2; //FABRIC_DSP_REG:FABRIC_DSP_TX_CNT_DB1
+ localparam FABRIC_DSP_TX_CNT_DB1_MSB = 31; //FABRIC_DSP_REG:FABRIC_DSP_TX_CNT_DB1
+ localparam FABRIC_DSP_TX_CNT_DB1 = 30; //FABRIC_DSP_REG:FABRIC_DSP_TX_CNT_DB1
+
+ // CALIBRATION_DATA Register (from common_regs.v)
+ localparam CALIBRATION_DATA = 'h14000; // Register Offset
+ localparam CALIBRATION_DATA_SIZE = 32; // register width in bits
+ localparam CALIBRATION_DATA_MASK = 32'hFFFFFFFF;
+ localparam I_DATA_SIZE = 16; //CALIBRATION_DATA:I_DATA
+ localparam I_DATA_MSB = 15; //CALIBRATION_DATA:I_DATA
+ localparam I_DATA = 0; //CALIBRATION_DATA:I_DATA
+ localparam Q_DATA_SIZE = 16; //CALIBRATION_DATA:Q_DATA
+ localparam Q_DATA_MSB = 31; //CALIBRATION_DATA:Q_DATA
+ localparam Q_DATA = 16; //CALIBRATION_DATA:Q_DATA
+
+ // CALIBRATION_ENABLE Register (from common_regs.v)
+ localparam CALIBRATION_ENABLE = 'h14008; // Register Offset
+ localparam CALIBRATION_ENABLE_SIZE = 32; // register width in bits
+ localparam CALIBRATION_ENABLE_MASK = 32'h33;
+ localparam ENABLE_CALIBRATION_DATA_0_SIZE = 1; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_0
+ localparam ENABLE_CALIBRATION_DATA_0_MSB = 0; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_0
+ localparam ENABLE_CALIBRATION_DATA_0 = 0; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_0
+ localparam ENABLE_CALIBRATION_DATA_1_SIZE = 1; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_1
+ localparam ENABLE_CALIBRATION_DATA_1_MSB = 1; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_1
+ localparam ENABLE_CALIBRATION_DATA_1 = 1; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_1
+ localparam ENABLE_CALIBRATION_DATA_2_SIZE = 1; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_2
+ localparam ENABLE_CALIBRATION_DATA_2_MSB = 4; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_2
+ localparam ENABLE_CALIBRATION_DATA_2 = 4; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_2
+ localparam ENABLE_CALIBRATION_DATA_3_SIZE = 1; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_3
+ localparam ENABLE_CALIBRATION_DATA_3_MSB = 5; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_3
+ localparam ENABLE_CALIBRATION_DATA_3 = 5; //CALIBRATION_ENABLE:ENABLE_CALIBRATION_DATA_3
+
+ // THRESHOLD_STATUS Register (from common_regs.v)
+ localparam THRESHOLD_STATUS = 'h15000; // Register Offset
+ localparam THRESHOLD_STATUS_SIZE = 32; // register width in bits
+ localparam THRESHOLD_STATUS_MASK = 32'hF0F;
+ localparam ADC0_01_THRESHOLD1_SIZE = 1; //THRESHOLD_STATUS:ADC0_01_THRESHOLD1
+ localparam ADC0_01_THRESHOLD1_MSB = 0; //THRESHOLD_STATUS:ADC0_01_THRESHOLD1
+ localparam ADC0_01_THRESHOLD1 = 0; //THRESHOLD_STATUS:ADC0_01_THRESHOLD1
+ localparam ADC0_01_THRESHOLD2_SIZE = 1; //THRESHOLD_STATUS:ADC0_01_THRESHOLD2
+ localparam ADC0_01_THRESHOLD2_MSB = 1; //THRESHOLD_STATUS:ADC0_01_THRESHOLD2
+ localparam ADC0_01_THRESHOLD2 = 1; //THRESHOLD_STATUS:ADC0_01_THRESHOLD2
+ localparam ADC0_23_THRESHOLD1_SIZE = 1; //THRESHOLD_STATUS:ADC0_23_THRESHOLD1
+ localparam ADC0_23_THRESHOLD1_MSB = 2; //THRESHOLD_STATUS:ADC0_23_THRESHOLD1
+ localparam ADC0_23_THRESHOLD1 = 2; //THRESHOLD_STATUS:ADC0_23_THRESHOLD1
+ localparam ADC0_23_THRESHOLD2_SIZE = 1; //THRESHOLD_STATUS:ADC0_23_THRESHOLD2
+ localparam ADC0_23_THRESHOLD2_MSB = 3; //THRESHOLD_STATUS:ADC0_23_THRESHOLD2
+ localparam ADC0_23_THRESHOLD2 = 3; //THRESHOLD_STATUS:ADC0_23_THRESHOLD2
+ localparam ADC2_01_THRESHOLD1_SIZE = 1; //THRESHOLD_STATUS:ADC2_01_THRESHOLD1
+ localparam ADC2_01_THRESHOLD1_MSB = 8; //THRESHOLD_STATUS:ADC2_01_THRESHOLD1
+ localparam ADC2_01_THRESHOLD1 = 8; //THRESHOLD_STATUS:ADC2_01_THRESHOLD1
+ localparam ADC2_01_THRESHOLD2_SIZE = 1; //THRESHOLD_STATUS:ADC2_01_THRESHOLD2
+ localparam ADC2_01_THRESHOLD2_MSB = 9; //THRESHOLD_STATUS:ADC2_01_THRESHOLD2
+ localparam ADC2_01_THRESHOLD2 = 9; //THRESHOLD_STATUS:ADC2_01_THRESHOLD2
+ localparam ADC2_23_THRESHOLD1_SIZE = 1; //THRESHOLD_STATUS:ADC2_23_THRESHOLD1
+ localparam ADC2_23_THRESHOLD1_MSB = 10; //THRESHOLD_STATUS:ADC2_23_THRESHOLD1
+ localparam ADC2_23_THRESHOLD1 = 10; //THRESHOLD_STATUS:ADC2_23_THRESHOLD1
+ localparam ADC2_23_THRESHOLD2_SIZE = 1; //THRESHOLD_STATUS:ADC2_23_THRESHOLD2
+ localparam ADC2_23_THRESHOLD2_MSB = 11; //THRESHOLD_STATUS:ADC2_23_THRESHOLD2
+ localparam ADC2_23_THRESHOLD2 = 11; //THRESHOLD_STATUS:ADC2_23_THRESHOLD2
+
+ // RF_PLL_CONTROL_REG Register (from common_regs.v)
+ localparam RF_PLL_CONTROL_REG = 'h16000; // Register Offset
+ localparam RF_PLL_CONTROL_REG_SIZE = 32; // register width in bits
+ localparam RF_PLL_CONTROL_REG_MASK = 32'h11111;
+ localparam ENABLE_DATA_CLK_SIZE = 1; //RF_PLL_CONTROL_REG:ENABLE_DATA_CLK
+ localparam ENABLE_DATA_CLK_MSB = 0; //RF_PLL_CONTROL_REG:ENABLE_DATA_CLK
+ localparam ENABLE_DATA_CLK = 0; //RF_PLL_CONTROL_REG:ENABLE_DATA_CLK
+ localparam ENABLE_DATA_CLK_2X_SIZE = 1; //RF_PLL_CONTROL_REG:ENABLE_DATA_CLK_2X
+ localparam ENABLE_DATA_CLK_2X_MSB = 4; //RF_PLL_CONTROL_REG:ENABLE_DATA_CLK_2X
+ localparam ENABLE_DATA_CLK_2X = 4; //RF_PLL_CONTROL_REG:ENABLE_DATA_CLK_2X
+ localparam ENABLE_RF_CLK_SIZE = 1; //RF_PLL_CONTROL_REG:ENABLE_RF_CLK
+ localparam ENABLE_RF_CLK_MSB = 8; //RF_PLL_CONTROL_REG:ENABLE_RF_CLK
+ localparam ENABLE_RF_CLK = 8; //RF_PLL_CONTROL_REG:ENABLE_RF_CLK
+ localparam ENABLE_RF_CLK_2X_SIZE = 1; //RF_PLL_CONTROL_REG:ENABLE_RF_CLK_2X
+ localparam ENABLE_RF_CLK_2X_MSB = 12; //RF_PLL_CONTROL_REG:ENABLE_RF_CLK_2X
+ localparam ENABLE_RF_CLK_2X = 12; //RF_PLL_CONTROL_REG:ENABLE_RF_CLK_2X
+ localparam CLEAR_DATA_CLK_UNLOCKED_SIZE = 1; //RF_PLL_CONTROL_REG:CLEAR_DATA_CLK_UNLOCKED
+ localparam CLEAR_DATA_CLK_UNLOCKED_MSB = 16; //RF_PLL_CONTROL_REG:CLEAR_DATA_CLK_UNLOCKED
+ localparam CLEAR_DATA_CLK_UNLOCKED = 16; //RF_PLL_CONTROL_REG:CLEAR_DATA_CLK_UNLOCKED
+
+ // RF_PLL_STATUS_REG Register (from common_regs.v)
+ localparam RF_PLL_STATUS_REG = 'h16008; // Register Offset
+ localparam RF_PLL_STATUS_REG_SIZE = 32; // register width in bits
+ localparam RF_PLL_STATUS_REG_MASK = 32'h110000;
+ localparam DATA_CLK_PLL_UNLOCKED_STICKY_SIZE = 1; //RF_PLL_STATUS_REG:DATA_CLK_PLL_UNLOCKED_STICKY
+ localparam DATA_CLK_PLL_UNLOCKED_STICKY_MSB = 16; //RF_PLL_STATUS_REG:DATA_CLK_PLL_UNLOCKED_STICKY
+ localparam DATA_CLK_PLL_UNLOCKED_STICKY = 16; //RF_PLL_STATUS_REG:DATA_CLK_PLL_UNLOCKED_STICKY
+ localparam DATA_CLK_PLL_LOCKED_SIZE = 1; //RF_PLL_STATUS_REG:DATA_CLK_PLL_LOCKED
+ localparam DATA_CLK_PLL_LOCKED_MSB = 20; //RF_PLL_STATUS_REG:DATA_CLK_PLL_LOCKED
+ localparam DATA_CLK_PLL_LOCKED = 20; //RF_PLL_STATUS_REG:DATA_CLK_PLL_LOCKED
diff --git a/fpga/usrp3/top/x400/regmap/rfdc_timing_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/rfdc_timing_regmap_utils.vh
new file mode 100644
index 000000000..545d31ff7
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/rfdc_timing_regmap_utils.vh
@@ -0,0 +1,45 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rfdc_timing_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // NCO_RESET_REG : 0x0 (rfdc_timing_control.v)
+ // GEARBOX_RESET_REG : 0x4 (rfdc_timing_control.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+//===============================================================================
+// Register Group RFDC_TIMING_REGS
+//===============================================================================
+
+ // NCO_RESET_REG Register (from rfdc_timing_control.v)
+ localparam NCO_RESET_REG = 'h0; // Register Offset
+ localparam NCO_RESET_REG_SIZE = 32; // register width in bits
+ localparam NCO_RESET_REG_MASK = 32'h3;
+ localparam NCO_RESET_START_SIZE = 1; //NCO_RESET_REG:NCO_RESET_START
+ localparam NCO_RESET_START_MSB = 0; //NCO_RESET_REG:NCO_RESET_START
+ localparam NCO_RESET_START = 0; //NCO_RESET_REG:NCO_RESET_START
+ localparam NCO_RESET_DONE_SIZE = 1; //NCO_RESET_REG:NCO_RESET_DONE
+ localparam NCO_RESET_DONE_MSB = 1; //NCO_RESET_REG:NCO_RESET_DONE
+ localparam NCO_RESET_DONE = 1; //NCO_RESET_REG:NCO_RESET_DONE
+
+ // GEARBOX_RESET_REG Register (from rfdc_timing_control.v)
+ localparam GEARBOX_RESET_REG = 'h4; // Register Offset
+ localparam GEARBOX_RESET_REG_SIZE = 32; // register width in bits
+ localparam GEARBOX_RESET_REG_MASK = 32'h3;
+ localparam ADC_RESET_SIZE = 1; //GEARBOX_RESET_REG:ADC_RESET
+ localparam ADC_RESET_MSB = 0; //GEARBOX_RESET_REG:ADC_RESET
+ localparam ADC_RESET = 0; //GEARBOX_RESET_REG:ADC_RESET
+ localparam DAC_RESET_SIZE = 1; //GEARBOX_RESET_REG:DAC_RESET
+ localparam DAC_RESET_MSB = 1; //GEARBOX_RESET_REG:DAC_RESET
+ localparam DAC_RESET = 1; //GEARBOX_RESET_REG:DAC_RESET
diff --git a/fpga/usrp3/top/x400/regmap/versioning_regs_regmap_utils.vh b/fpga/usrp3/top/x400/regmap/versioning_regs_regmap_utils.vh
new file mode 100644
index 000000000..48401684a
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/versioning_regs_regmap_utils.vh
@@ -0,0 +1,153 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Company
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: versioning_regs_regmap_utils.vh
+// Description:
+// The constants in this file are autogenerated by XmlParse.
+
+//===============================================================================
+// A numerically ordered list of registers and their HDL source files
+//===============================================================================
+
+ // CURRENT_VERSION : 0x0 (x4xx_versioning_regs.v)
+ // OLDEST_COMPATIBLE_VERSION : 0x4 (x4xx_versioning_regs.v)
+ // VERSION_LAST_MODIFIED : 0x8 (x4xx_versioning_regs.v)
+ // RESERVED : 0xC (x4xx_versioning_regs.v)
+
+//===============================================================================
+// RegTypes
+//===============================================================================
+
+ // RESERVED_TYPE Type (from x4xx_versioning_regs.v)
+ localparam RESERVED_TYPE_SIZE = 32;
+ localparam RESERVED_TYPE_MASK = 32'h0;
+
+ // TIMESTAMP_TYPE Type (from x4xx_versioning_regs.v)
+ localparam TIMESTAMP_TYPE_SIZE = 32;
+ localparam TIMESTAMP_TYPE_MASK = 32'hFFFFFFFF;
+ localparam HH_SIZE = 8; //TIMESTAMP_TYPE:HH
+ localparam HH_MSB = 7; //TIMESTAMP_TYPE:HH
+ localparam HH = 0; //TIMESTAMP_TYPE:HH
+ localparam DD_SIZE = 8; //TIMESTAMP_TYPE:DD
+ localparam DD_MSB = 15; //TIMESTAMP_TYPE:DD
+ localparam DD = 8; //TIMESTAMP_TYPE:DD
+ localparam MM_SIZE = 8; //TIMESTAMP_TYPE:MM
+ localparam MM_MSB = 23; //TIMESTAMP_TYPE:MM
+ localparam MM = 16; //TIMESTAMP_TYPE:MM
+ localparam YY_SIZE = 8; //TIMESTAMP_TYPE:YY
+ localparam YY_MSB = 31; //TIMESTAMP_TYPE:YY
+ localparam YY = 24; //TIMESTAMP_TYPE:YY
+
+ // VERSION_TYPE Type (from x4xx_versioning_regs.v)
+ localparam VERSION_TYPE_SIZE = 32;
+ localparam VERSION_TYPE_MASK = 32'hFFFFFFFF;
+ localparam BUILD_SIZE = 12; //VERSION_TYPE:BUILD
+ localparam BUILD_MSB = 11; //VERSION_TYPE:BUILD
+ localparam BUILD = 0; //VERSION_TYPE:BUILD
+ localparam MINOR_SIZE = 11; //VERSION_TYPE:MINOR
+ localparam MINOR_MSB = 22; //VERSION_TYPE:MINOR
+ localparam MINOR = 12; //VERSION_TYPE:MINOR
+ localparam MAJOR_SIZE = 9; //VERSION_TYPE:MAJOR
+ localparam MAJOR_MSB = 31; //VERSION_TYPE:MAJOR
+ localparam MAJOR = 23; //VERSION_TYPE:MAJOR
+
+//===============================================================================
+// Register Group VERSIONING_CONSTANTS
+//===============================================================================
+
+ // Enumerated type CPLD_IFC_VERSION
+ localparam CPLD_IFC_VERSION_SIZE = 7;
+ localparam CPLD_IFC_CURRENT_VERSION_MINOR = 'h0; // CPLD_IFC_VERSION:CPLD_IFC_CURRENT_VERSION_MINOR
+ localparam CPLD_IFC_CURRENT_VERSION_BUILD = 'h0; // CPLD_IFC_VERSION:CPLD_IFC_CURRENT_VERSION_BUILD
+ localparam CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MINOR = 'h0; // CPLD_IFC_VERSION:CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MINOR
+ localparam CPLD_IFC_OLDEST_COMPATIBLE_VERSION_BUILD = 'h0; // CPLD_IFC_VERSION:CPLD_IFC_OLDEST_COMPATIBLE_VERSION_BUILD
+ localparam CPLD_IFC_CURRENT_VERSION_MAJOR = 'h2; // CPLD_IFC_VERSION:CPLD_IFC_CURRENT_VERSION_MAJOR
+ localparam CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR = 'h2; // CPLD_IFC_VERSION:CPLD_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR
+ localparam CPLD_IFC_VERSION_LAST_MODIFIED_TIME = 'h21011809; // CPLD_IFC_VERSION:CPLD_IFC_VERSION_LAST_MODIFIED_TIME
+
+ // Enumerated type DB_GPIO_IFC_VERSION
+ localparam DB_GPIO_IFC_VERSION_SIZE = 7;
+ localparam DB_GPIO_IFC_CURRENT_VERSION_MINOR = 'h0; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_CURRENT_VERSION_MINOR
+ localparam DB_GPIO_IFC_CURRENT_VERSION_BUILD = 'h0; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_CURRENT_VERSION_BUILD
+ localparam DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MINOR = 'h0; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MINOR
+ localparam DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_BUILD = 'h0; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_BUILD
+ localparam DB_GPIO_IFC_CURRENT_VERSION_MAJOR = 'h1; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_CURRENT_VERSION_MAJOR
+ localparam DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR = 'h1; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_OLDEST_COMPATIBLE_VERSION_MAJOR
+ localparam DB_GPIO_IFC_VERSION_LAST_MODIFIED_TIME = 'h20110616; // DB_GPIO_IFC_VERSION:DB_GPIO_IFC_VERSION_LAST_MODIFIED_TIME
+
+ // Enumerated type FPGA_VERSION
+ localparam FPGA_VERSION_SIZE = 7;
+ localparam FPGA_CURRENT_VERSION_BUILD = 'h0; // FPGA_VERSION:FPGA_CURRENT_VERSION_BUILD
+ localparam FPGA_OLDEST_COMPATIBLE_VERSION_MINOR = 'h0; // FPGA_VERSION:FPGA_OLDEST_COMPATIBLE_VERSION_MINOR
+ localparam FPGA_OLDEST_COMPATIBLE_VERSION_BUILD = 'h0; // FPGA_VERSION:FPGA_OLDEST_COMPATIBLE_VERSION_BUILD
+ localparam FPGA_CURRENT_VERSION_MINOR = 'h3; // FPGA_VERSION:FPGA_CURRENT_VERSION_MINOR
+ localparam FPGA_CURRENT_VERSION_MAJOR = 'h7; // FPGA_VERSION:FPGA_CURRENT_VERSION_MAJOR
+ localparam FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR = 'h7; // FPGA_VERSION:FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR
+ localparam FPGA_VERSION_LAST_MODIFIED_TIME = 'h21041616; // FPGA_VERSION:FPGA_VERSION_LAST_MODIFIED_TIME
+
+ // Enumerated type RF_CORE_100M_VERSION
+ localparam RF_CORE_100M_VERSION_SIZE = 7;
+ localparam RF_CORE_100M_CURRENT_VERSION_MINOR = 'h0; // RF_CORE_100M_VERSION:RF_CORE_100M_CURRENT_VERSION_MINOR
+ localparam RF_CORE_100M_CURRENT_VERSION_BUILD = 'h0; // RF_CORE_100M_VERSION:RF_CORE_100M_CURRENT_VERSION_BUILD
+ localparam RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MINOR = 'h0; // RF_CORE_100M_VERSION:RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MINOR
+ localparam RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_BUILD = 'h0; // RF_CORE_100M_VERSION:RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_BUILD
+ localparam RF_CORE_100M_CURRENT_VERSION_MAJOR = 'h1; // RF_CORE_100M_VERSION:RF_CORE_100M_CURRENT_VERSION_MAJOR
+ localparam RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MAJOR = 'h1; // RF_CORE_100M_VERSION:RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MAJOR
+ localparam RF_CORE_100M_VERSION_LAST_MODIFIED_TIME = 'h20102617; // RF_CORE_100M_VERSION:RF_CORE_100M_VERSION_LAST_MODIFIED_TIME
+
+ // Enumerated type RF_CORE_400M_VERSION
+ localparam RF_CORE_400M_VERSION_SIZE = 7;
+ localparam RF_CORE_400M_CURRENT_VERSION_MINOR = 'h0; // RF_CORE_400M_VERSION:RF_CORE_400M_CURRENT_VERSION_MINOR
+ localparam RF_CORE_400M_CURRENT_VERSION_BUILD = 'h0; // RF_CORE_400M_VERSION:RF_CORE_400M_CURRENT_VERSION_BUILD
+ localparam RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MINOR = 'h0; // RF_CORE_400M_VERSION:RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MINOR
+ localparam RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_BUILD = 'h0; // RF_CORE_400M_VERSION:RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_BUILD
+ localparam RF_CORE_400M_CURRENT_VERSION_MAJOR = 'h1; // RF_CORE_400M_VERSION:RF_CORE_400M_CURRENT_VERSION_MAJOR
+ localparam RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MAJOR = 'h1; // RF_CORE_400M_VERSION:RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MAJOR
+ localparam RF_CORE_400M_VERSION_LAST_MODIFIED_TIME = 'h20102617; // RF_CORE_400M_VERSION:RF_CORE_400M_VERSION_LAST_MODIFIED_TIME
+
+//===============================================================================
+// Register Group VERSIONING_REGS
+//===============================================================================
+
+ // Enumerated type COMPONENTS_INDEXES
+ localparam COMPONENTS_INDEXES_SIZE = 6;
+ localparam FPGA_VERSION_INDEX = 'h0; // COMPONENTS_INDEXES:FPGA_VERSION_INDEX
+ localparam CPLD_IFC_INDEX = 'h1; // COMPONENTS_INDEXES:CPLD_IFC_INDEX
+ localparam DB0_RF_CORE_INDEX = 'h2; // COMPONENTS_INDEXES:DB0_RF_CORE_INDEX
+ localparam DB1_RF_CORE_INDEX = 'h3; // COMPONENTS_INDEXES:DB1_RF_CORE_INDEX
+ localparam DB0_GPIO_IFC_INDEX = 'h4; // COMPONENTS_INDEXES:DB0_GPIO_IFC_INDEX
+ localparam DB1_GPIO_IFC_INDEX = 'h5; // COMPONENTS_INDEXES:DB1_GPIO_IFC_INDEX
+
+ // CURRENT_VERSION Register (from x4xx_versioning_regs.v)
+ localparam CURRENT_VERSION_COUNT = 64; // Number of elements in array
+
+ // OLDEST_COMPATIBLE_VERSION Register (from x4xx_versioning_regs.v)
+ localparam OLDEST_COMPATIBLE_VERSION_COUNT = 64; // Number of elements in array
+
+ // VERSION_LAST_MODIFIED Register (from x4xx_versioning_regs.v)
+ localparam VERSION_LAST_MODIFIED_COUNT = 64; // Number of elements in array
+
+ // RESERVED Register (from x4xx_versioning_regs.v)
+ localparam RESERVED_COUNT = 64; // Number of elements in array
+
+ // Return the offset of an element of register array CURRENT_VERSION
+ function integer CURRENT_VERSION (input integer i);
+ CURRENT_VERSION = (i * 'h10) + 'h0;
+ endfunction
+
+ // Return the offset of an element of register array OLDEST_COMPATIBLE_VERSION
+ function integer OLDEST_COMPATIBLE_VERSION (input integer i);
+ OLDEST_COMPATIBLE_VERSION = (i * 'h10) + 'h4;
+ endfunction
+
+ // Return the offset of an element of register array VERSION_LAST_MODIFIED
+ function integer VERSION_LAST_MODIFIED (input integer i);
+ VERSION_LAST_MODIFIED = (i * 'h10) + 'h8;
+ endfunction
+
+ // Return the offset of an element of register array RESERVED
+ function integer RESERVED (input integer i);
+ RESERVED = (i * 'h10) + 'hC;
+ endfunction
diff --git a/fpga/usrp3/top/x400/regmap/versioning_utils.vh b/fpga/usrp3/top/x400/regmap/versioning_utils.vh
new file mode 100644
index 000000000..9ef188997
--- /dev/null
+++ b/fpga/usrp3/top/x400/regmap/versioning_utils.vh
@@ -0,0 +1,109 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: versioning_utils
+//
+// Description:
+//
+// Contains constants and functions for versioning purposes
+//
+// IMPORTANT! The constants and functions defined in this file depend
+// on versioning_regs_regmap_utils.vh, which must be
+// included before this file.
+//
+
+
+// Each component consists of 3 x 32-bit values (96-bit total)
+// The component's versions are located in the flat component's
+// version vector as shown below, following the same order in which
+// the registers' offsets are implemented.
+//
+// Version element Bit ranges 32-bit word position
+// Current version [31: 0] 0
+// Oldest compatible version [63:32] 1
+// Last modified [95:64] 2
+
+localparam COMPONENT_VERSIONS_SIZE =
+ TIMESTAMP_TYPE_SIZE + VERSION_TYPE_SIZE + VERSION_TYPE_SIZE; // 96
+
+// There are up to 64 addressable components' versions in the
+// versioning module's version_info input vector.
+localparam MAX_NUM_OF_COMPONENTS = 64;
+
+// Define constants for each field's LSB in the flat vector.
+// Start bit = 8-bit * (register offset with index 0)
+localparam CURRENT_VERSION_LSB = 8 * CURRENT_VERSION(0); // 0
+localparam OLDEST_COMPATIBLE_VERSION_LSB = 8 * OLDEST_COMPATIBLE_VERSION(0); // 32
+localparam TIMESTAMP_LSB = 8 * VERSION_LAST_MODIFIED(0); // 64
+
+// This function takes the major, minor and build values for the current
+// version field, and returns a vector of size VERSION_TYPE_SIZE
+// that contains those fields at the proper location.
+function automatic [VERSION_TYPE_SIZE-1:0] build_version;
+ input [MAJOR_SIZE-1:0] major;
+ input [MINOR_SIZE-1:0] minor;
+ input [BUILD_SIZE-1:0] build;
+begin
+ build_version[MAJOR+:MAJOR_SIZE] = major;
+ build_version[MINOR+:MINOR_SIZE] = minor;
+ build_version[BUILD+:BUILD_SIZE] = build;
+end
+endfunction
+
+// This function takes the 3 versioning fields that comprise a component's
+// version (current, oldest compatible, timestamp), and concatenates them
+// in the expected order (see details above).
+// The function returns a vector of size COMPONENT_VERSIONS_SIZE with
+// all the component's versions.
+function automatic [COMPONENT_VERSIONS_SIZE-1:0] build_component_versions;
+ input [TIMESTAMP_TYPE_SIZE-1:0] timestamp;
+ input [VERSION_TYPE_SIZE-1:0] oldest_compatible_version;
+ input [VERSION_TYPE_SIZE-1:0] current_version;
+begin
+ // Current version mapping
+ build_component_versions[CURRENT_VERSION_LSB +: VERSION_TYPE_SIZE] = current_version;
+ // Oldest compatible version mapping
+ build_component_versions[OLDEST_COMPATIBLE_VERSION_LSB +: VERSION_TYPE_SIZE] = oldest_compatible_version;
+ // Last modified
+ build_component_versions[TIMESTAMP_LSB +: TIMESTAMP_TYPE_SIZE] = timestamp;
+end
+endfunction
+
+// This function retrieves a component's version information, based on the
+// provided index, from the vector containing all the components' versions.
+function automatic [COMPONENT_VERSIONS_SIZE-1:0] get_component_versions;
+ input [MAX_NUM_OF_COMPONENTS*COMPONENT_VERSIONS_SIZE-1:0] version_info_vector;
+ input integer component_index;
+begin
+ get_component_versions = version_info_vector[COMPONENT_VERSIONS_SIZE*component_index +: COMPONENT_VERSIONS_SIZE];
+end
+endfunction
+
+// This function takes a component's version info and returns the
+// current version field.
+function automatic [VERSION_TYPE_SIZE-1:0] current_version;
+ input [COMPONENT_VERSIONS_SIZE-1:0] component_versions;
+begin
+ current_version = component_versions[CURRENT_VERSION_LSB +: VERSION_TYPE_SIZE];
+end
+endfunction
+
+// This function takes a component's version info and returns the
+// oldest compatible version field.
+function automatic [VERSION_TYPE_SIZE-1:0] oldest_compatible_version;
+ input [COMPONENT_VERSIONS_SIZE-1:0] component_versions;
+begin
+ oldest_compatible_version = component_versions[OLDEST_COMPATIBLE_VERSION_LSB +: VERSION_TYPE_SIZE];
+end
+endfunction
+
+// This function takes a component's version info and returns the
+// version last modified field.
+function automatic [VERSION_TYPE_SIZE-1:0] version_last_modified;
+ input [COMPONENT_VERSIONS_SIZE-1:0] component_versions;
+begin
+ version_last_modified = component_versions[TIMESTAMP_LSB +: TIMESTAMP_TYPE_SIZE];
+end
+endfunction
diff --git a/fpga/usrp3/top/x400/rf/100m/adc_3_1_clk_converter.vhd b/fpga/usrp3/top/x400/rf/100m/adc_3_1_clk_converter.vhd
new file mode 100644
index 000000000..a941fcb8f
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/adc_3_1_clk_converter.vhd
@@ -0,0 +1,114 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: adc_3_1_clk_converter
+--
+-- Description:
+--
+-- This module transfers data from s_axis_aclk to m_axis_aclk. s_axis_aclk
+-- must be three times the frequency of m_axis_aclk, and the two clocks must
+-- be related (this module requires timing closure across the clock domain
+-- boundary).
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+
+entity adc_3_1_clk_converter is
+ port(
+ s_axis_clk : in std_logic;
+ s_axis_resetn : in std_logic;
+ s_axis_tdata : in std_logic_vector(47 downto 0);
+ s_axis_tvalid : in std_logic;
+
+ m_axis_clk : in std_logic;
+ m_axis_resetn : in std_logic;
+ m_axis_tvalid : out std_logic;
+ m_axis_tdata : out std_logic_vector(47 downto 0)
+ );
+end entity;
+
+architecture RTL of adc_3_1_clk_converter is
+
+ -- To keep the implementation simple, this module does not implement a
+ -- correct AXIS handshake - it ignores m_axis_tready. adc_100m_bd already had
+ -- an assumption that the AXIS handshake is unneeded: ddc_saturate does not
+ -- accept _tready from the following component.
+ subtype Word_t is std_logic_vector(s_axis_tdata'range);
+ signal s_axis_tvalid_pipe : std_logic_vector(2 downto 0);
+ signal s_axis_tdata_reg : Word_t;
+
+ -- These _CDC signals will be sampled in the destination clock domain, but
+ -- will not produce any metastability because the input clocks must be
+ -- synchronous.
+ --
+ -- These signals must be driven by registers not to prevent glitches (as in
+ -- an asynchronous CDC), but to improve timing closure.
+ signal s_axis_tvalid_CDC : std_logic;
+ signal s_axis_tdata_CDC : Word_t;
+
+ -- m_axis_clk and s_axis_clk are nominally aligned by their rising edges.
+ -- Because m_axis_clk is more heavily loaded than s_axis_clk, m_axis_clk has
+ -- a larger distribution delay, which causes a large hold violation using
+ -- post-place timing estimates. The Ultrafast method (UG 949) recommends
+ -- addressing such hold violations when WHS < -0.5 ns. By resampling on the
+ -- falling edge of the destination clock, we get nominally half a period of
+ -- setup and half a period of hold. The destination clock delay reduces the
+ -- hold margin, and increases the setup margin.
+ signal m_axis_tvalid_fall : std_logic;
+ signal m_axis_tdata_fall : Word_t;
+
+begin
+
+ -- In the source clock domain, we capture incoming valid data and keep a
+ -- history of _tvalid over the last three clock cycles. If s_axis_tvalid has
+ -- been asserted once in the last three clock cycles, assert
+ -- s_axis_tvalid_CDC to be sampled in the output clock domain. The length of
+ -- s_axis_tvalid_pipe must match the ratio of the clock frequencies (3:1).
+ InputSampling:
+ process (s_axis_clk) is
+ begin
+ if rising_edge(s_axis_clk) then
+ if s_axis_tvalid='1' then
+ s_axis_tdata_reg <= s_axis_tdata;
+ end if;
+ s_axis_tdata_CDC <= s_axis_tdata_reg;
+ if s_axis_resetn='0' then
+ s_axis_tvalid_pipe <= (others => '0');
+ s_axis_tvalid_CDC <= '0';
+ else
+ s_axis_tvalid_pipe <= s_axis_tvalid_pipe(1 downto 0) & s_axis_tvalid;
+ if (s_axis_tvalid_pipe /= "000") then
+ s_axis_tvalid_CDC <= '1';
+ else
+ s_axis_tvalid_CDC <= '0';
+ end if;
+ end if;
+ end if;
+ end process InputSampling;
+
+ FallingEdgeSampling:
+ process (m_axis_clk) is
+ begin
+ if falling_edge(m_axis_clk) then
+ m_axis_tvalid_fall <= s_axis_tvalid_CDC;
+ m_axis_tdata_fall <= s_axis_tdata_CDC;
+ end if;
+ end process FallingEdgeSampling;
+
+ OutputRegisters:
+ process (m_axis_clk) is
+ begin
+ if rising_edge(m_axis_clk) then
+ m_axis_tdata <= m_axis_tdata_fall;
+ if m_axis_resetn='0' then
+ m_axis_tvalid <= '0';
+ else
+ m_axis_tvalid <= m_axis_tvalid_fall;
+ end if;
+ end if;
+ end process OutputRegisters;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/100m/adc_gearbox_2x1.v b/fpga/usrp3/top/x400/rf/100m/adc_gearbox_2x1.v
new file mode 100644
index 000000000..604c04f50
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/adc_gearbox_2x1.v
@@ -0,0 +1,120 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: adc_gearbox_2x1
+//
+// Description:
+//
+// Gearbox ADC data from 2 SPC to 1 SPC and corresponding 2x clock to 1x
+// clock. Also implement data swapping to format packets to fit the FIR
+// filter input requirements.
+//
+// This modules incurs one clk1x cycle of delay on the data and valid signals
+// from input on the 1x domain to output on the 2x domain.
+//
+
+`default_nettype none
+
+module adc_gearbox_2x1 (
+ input wire clk1x,
+ input wire reset_n_1x,
+ // Data is _presumed_ to be packed [Sample1, Sample0] (Sample0 in LSBs).
+ input wire [31:0] adc_q_in_1x,
+ input wire [31:0] adc_i_in_1x,
+ input wire valid_in_1x,
+ // De-assert enable_1x to clear the data synchronously from this module.
+ input wire enable_1x,
+
+ input wire clk2x,
+ // Data is packed [Q,I] (I in LSBs) when swap_iq_1x is '0'.
+ input wire swap_iq_2x,
+ output wire [31:0] adc_out_2x,
+ output wire valid_out_2x
+);
+
+ // Re-create the 1x clock in the 2x domain to produce a deterministic
+ // crossing.
+ reg toggle_1x, toggle_2x = 1'b0, toggle_2x_dly = 1'b0, valid_2x = 1'b0, valid_dly_2x = 1'b0;
+ reg [31:0] data_out_2x = 32'b0, adc_q_data_in_2x = 32'b0, adc_i_data_in_2x = 32'b0;
+
+ // Create a toggle in the 1x clock domain (clock divider /2).
+ always @(posedge clk1x or negedge reset_n_1x) begin
+ if ( ! reset_n_1x) begin
+ toggle_1x <= 1'b0;
+ end else begin
+ toggle_1x <= ! toggle_1x;
+ end
+ end
+
+ // clk1x and clk2x are nominally aligned on their rising edges, but clk2x is
+ // more heavily loaded, which results in a later arrival time. That late
+ // arrival causes large estimated hold violations after place. The Ultrafast
+ // method (UG 949) suggests fixing post-place hold violations that are worse
+ // than -0.5 ns.
+ // Resampling 1x signals on the falling edge of clk2x provides nominally half
+ // a period of setup and half a period of hold. The late arrival of clk2x
+ // shifts some of that margin away from hold slack and into setup slack.
+ reg toggle_2x_fall = 1'b0;
+ reg [31:0] adc_q_in_2x_fall = 32'b0;
+ reg [31:0] adc_i_in_2x_fall = 32'b0;
+ reg valid_in_2x_fall = 1'b0;
+ reg enable_2x_fall = 1'b0;
+
+ always @(negedge clk2x) begin
+ toggle_2x_fall <= toggle_1x;
+ adc_q_in_2x_fall <= adc_q_in_1x;
+ adc_i_in_2x_fall <= adc_i_in_1x;
+ valid_in_2x_fall <= valid_in_1x;
+ enable_2x_fall <= enable_1x;
+ end
+
+ // Transfer the toggle from the 1x to the 2x domain. Delay the toggle in the
+ // 2x domain by one cycle and compare it to the non-delayed version. When
+ // they differ, push data_in[15:0] onto the output. When the match, push
+ // [31:16] onto the output. The datasheet is unclear on the exact
+ // implementation.
+ //
+ // It is safe to not reset this domain because all of the input signals will
+ // be cleared by the 1x reset. Safe default values are assigned to all these
+ // registers.
+ always @(posedge clk2x) begin
+ toggle_2x <= toggle_2x_fall;
+ toggle_2x_dly <= toggle_2x;
+ adc_q_data_in_2x <= adc_q_in_2x_fall;
+ adc_i_data_in_2x <= adc_i_in_2x_fall;
+ // Place Q in the MSBs, I in the LSBs by default, unless swapped = 1.
+ if (valid_2x) begin
+ if (swap_iq_2x) begin
+ if (toggle_2x != toggle_2x_dly) begin
+ data_out_2x[31:16] <= adc_i_data_in_2x[15:0];
+ data_out_2x[15: 0] <= adc_q_data_in_2x[15:0];
+ end else begin
+ data_out_2x[31:16] <= adc_i_data_in_2x[31:16];
+ data_out_2x[15: 0] <= adc_q_data_in_2x[31:16];
+ end
+ end else begin
+ if (toggle_2x != toggle_2x_dly) begin
+ data_out_2x[31:16] <= adc_q_data_in_2x[15:0];
+ data_out_2x[15: 0] <= adc_i_data_in_2x[15:0];
+ end else begin
+ data_out_2x[31:16] <= adc_q_data_in_2x[31:16];
+ data_out_2x[15: 0] <= adc_i_data_in_2x[31:16];
+ end
+ end
+ end else begin
+ data_out_2x <= 32'b0;
+ end
+ // Valid is simply a transferred version of the 1x clock's valid. Delay it
+ // one more cycle to align outputs.
+ valid_2x <= valid_in_2x_fall && enable_2x_fall;
+ valid_dly_2x <= valid_2x;
+ end
+
+ assign adc_out_2x = data_out_2x;
+ assign valid_out_2x = valid_dly_2x;
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/100m/dac_1_3_clk_converter.vhd b/fpga/usrp3/top/x400/rf/100m/dac_1_3_clk_converter.vhd
new file mode 100644
index 000000000..b5df20a08
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/dac_1_3_clk_converter.vhd
@@ -0,0 +1,143 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: dac_1_3_clk_converter
+--
+-- Description:
+--
+-- This module transfers data from s_axis_aclk to m_axis_aclk. m_axis_aclk
+-- must be three times the frequency of s_axis_aclk, and the two clocks must
+-- be related (this module requires timing closure across the clock domain
+-- boundary).
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+
+entity dac_1_3_clk_converter is
+ port(
+ s_axis_aclk : in std_logic;
+ s_axis_aresetn : in std_logic;
+ s_axis_tvalid : in std_logic;
+ s_axis_tdata : in std_logic_vector(31 downto 0);
+ s_axis_tready : out std_logic := '1';
+
+ m_axis_aclk : in std_logic;
+ m_axis_aresetn : in std_logic;
+ m_axis_tready : in std_logic;
+ m_axis_tdata : out std_logic_vector(31 downto 0);
+ m_axis_tvalid : out std_logic
+ );
+end entity dac_1_3_clk_converter;
+
+architecture RTL of dac_1_3_clk_converter is
+
+ -- I was unable to think of a simple implementation that implements a correct
+ -- AXIS handshake on both ports. All my ideas became equivalent to a two
+ -- clock FIFO (although the clocks are synchronous, so the write-to-read
+ -- latency would have been certain).
+ --
+ -- We don't expect the DAC to ever hold off incoming data, and dac_100m_bd
+ -- already has the AXIS handshake disconnected: the FIR is configured to
+ -- disallow back pressure - it has no m_axis_data_tready pin.
+ --
+ -- I'm going with the simple, but not strictly correct, implementation.
+ -- s_axis_tready will be constantly true, even when it shouldn't be. The
+ -- bottom line is this component is likely useless for any application but
+ -- dac_100m_bd.
+
+ type output_fsm is (
+ idle,
+ got_data,
+ -- The recovery state of delay ensures that we don't re-use an old input
+ -- valid signal (remember the output clock is 3x the frequency of the input
+ -- clock)
+ recovery
+ );
+
+ subtype word is std_logic_vector(s_axis_tdata'range);
+ signal output_state_mclk : output_fsm;
+ signal axis_tdata_sclk : word;
+ signal axis_tvalid_sclk : std_logic;
+
+ signal axis_tdata_mclk : word;
+ signal axis_tvalid_mclk : std_logic;
+
+begin
+
+ s_axis_tready <= '1';
+
+ input_valid_register:
+ process(s_axis_aclk, s_axis_aresetn) is
+ begin
+ if s_axis_aresetn='0' then
+ axis_tvalid_sclk <= '0';
+ elsif rising_edge(s_axis_aclk) then
+ axis_tvalid_sclk <= s_axis_tvalid;
+ end if;
+ end process;
+
+ input_data_register:
+ process (s_axis_aclk) is
+ begin
+ if rising_edge(s_axis_aclk) then
+ axis_tdata_sclk <= s_axis_tdata;
+ end if;
+ end process input_data_register;
+
+ -- These CDC registers will not become metastable because the two clock
+ -- domains are related.
+ cdc_input_valid_register:
+ process (m_axis_aclk, m_axis_aresetn) is
+ begin
+ if m_axis_aresetn='0' then
+ axis_tvalid_mclk <= '0';
+ elsif rising_edge(m_axis_aclk) then
+ axis_tvalid_mclk <= axis_tvalid_sclk;
+ end if;
+ end process cdc_input_valid_register;
+
+ cdc_input_data_register:
+ process (m_axis_aclk) is
+ begin
+ if rising_edge(m_axis_aclk) then
+ axis_tdata_mclk <= axis_tdata_sclk;
+ end if;
+ end process cdc_input_data_register;
+
+ output_data_register:
+ process (m_axis_aclk) is
+ begin
+ if rising_edge(m_axis_aclk) then
+ if output_state_mclk=idle then
+ m_axis_tdata <= axis_tdata_mclk;
+ end if;
+ end if;
+ end process output_data_register;
+
+ fsm: process(m_axis_aresetn, m_axis_aclk) is
+ begin
+ if m_axis_aresetn='0' then
+ output_state_mclk <= idle;
+ m_axis_tvalid <= '0';
+ elsif rising_edge(m_axis_aclk) then
+ m_axis_tvalid <= '0';
+ case output_state_mclk is
+ when idle =>
+ if axis_tvalid_mclk='1' then
+ output_state_mclk <= got_data;
+ end if;
+
+ when got_data =>
+ m_axis_tvalid <= '1';
+ output_state_mclk <= recovery;
+
+ when recovery =>
+ output_state_mclk <= idle;
+ end case;
+ end if;
+ end process fsm;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/100m/dac_2_1_clk_converter.vhd b/fpga/usrp3/top/x400/rf/100m/dac_2_1_clk_converter.vhd
new file mode 100644
index 000000000..fcef4b4e0
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/dac_2_1_clk_converter.vhd
@@ -0,0 +1,118 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: dac_2_1_clk_converter
+--
+-- Description:
+--
+-- This module transfers data from s_axis_aclk to m_axis_aclk. s_axis_aclk
+-- must be two times the frequency of m_axis_aclk, and the two clocks must be
+-- related (this module requires timing closure across the clock domain
+-- boundary).
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+
+entity dac_2_1_clk_converter is
+ port (
+ s_axis_aclk : in std_logic;
+ s_axis_aresetn : in std_logic;
+ s_axis_tvalid : in std_logic;
+ s_axis_tdata : in std_logic_vector(63 downto 0);
+
+ m_axis_aclk : in std_logic;
+ m_axis_aresetn : in std_logic;
+ m_axis_tready : in std_logic;
+ m_axis_tvalid : out std_logic;
+ m_axis_tdata : out std_logic_vector(63 downto 0)
+ );
+end entity dac_2_1_clk_converter;
+
+architecture RTL of dac_2_1_clk_converter is
+
+ -- To keep the implementation simple, this module does not implement a
+ -- correct AXIS handshake - it ignores m_axis_tready. dac_100m_bd already had
+ -- an assumption that the AXIS handshake is unneeded: duc_saturate does not
+ -- accept _tready from the following component. Also, registered_dac_data has
+ -- never accepted _tready from dac_2_1_clk_converter, so dac_100m_bd has
+ -- never supported complete AXIS dataflow.
+
+ subtype Word_t is std_logic_vector(s_axis_tdata'range);
+ signal s_axis_tvalid_pipe : std_logic_vector(1 downto 0);
+ signal s_axis_tdata_reg : Word_t;
+
+ -- These _CDC signals will be sampled in the destination clock domain, but
+ -- will not produce any metastability because the input clocks must be
+ -- synchronous.
+ --
+ -- These signals must be driven by registers not to prevent glitches (as in
+ -- an asynchronous CDC), but to improve timing closure.
+ signal s_axis_tvalid_CDC : std_logic;
+ signal s_axis_tdata_CDC : Word_t;
+
+ -- m_axis_aclk and s_axis_aclk are nominally aligned by their rising edges.
+ -- Because m_axis_aclk is more heavily loaded than s_axis_aclk, m_axis_aclk
+ -- has a larger distribution delay, which causes a large hold violation using
+ -- post-place timing estimates. The Ultrafast method (UG 949) recommends
+ -- addressing such hold violations when WHS < -0.5 ns. By resampling on the
+ -- falling edge of the destination clock, we get nominally half a period of
+ -- setup and half a period of hold. The destination clock delay reduces the
+ -- hold margin, and increases the setup margin.
+ signal m_axis_tvalid_fall : std_logic;
+ signal m_axis_tdata_fall : Word_t;
+
+begin
+
+ -- In the source clock domain, we capture incoming valid data and keep a
+ -- history of _tvalid over the last three clock cycles. If s_axis_tvalid has
+ -- been asserted once in the last three clock cycles, assert
+ -- s_axis_tvalid_CDC to be sampled in the output clock domain. The length of
+ -- s_axis_tvalid_pipe must match the ratio of the clock frequencies (2:1).
+ InputSampling:
+ process (s_axis_aclk) is
+ begin
+ if rising_edge(s_axis_aclk) then
+ if s_axis_tvalid='1' then
+ s_axis_tdata_reg <= s_axis_tdata;
+ end if;
+ s_axis_tdata_CDC <= s_axis_tdata_reg;
+ if s_axis_aresetn='0' then
+ s_axis_tvalid_pipe <= (others => '0');
+ s_axis_tvalid_CDC <= '0';
+ else
+ s_axis_tvalid_pipe <= s_axis_tvalid_pipe(0) & s_axis_tvalid;
+ if (s_axis_tvalid_pipe /= "00") then
+ s_axis_tvalid_CDC <= '1';
+ else
+ s_axis_tvalid_CDC <= '0';
+ end if;
+ end if;
+ end if;
+ end process InputSampling;
+
+ FallingEdgeSampling:
+ process (m_axis_aclk) is
+ begin
+ if falling_edge(m_axis_aclk) then
+ m_axis_tvalid_fall <= s_axis_tvalid_CDC;
+ m_axis_tdata_fall <= s_axis_tdata_CDC;
+ end if;
+ end process FallingEdgeSampling;
+
+ OutputRegisters:
+ process (m_axis_aclk) is
+ begin
+ if rising_edge(m_axis_aclk) then
+ m_axis_tdata <= m_axis_tdata_fall;
+ if m_axis_aresetn='0' then
+ m_axis_tvalid <= '0';
+ else
+ m_axis_tvalid <= m_axis_tvalid_fall;
+ end if;
+ end if;
+ end process OutputRegisters;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/100m/ddc_saturate.vhd b/fpga/usrp3/top/x400/rf/100m/ddc_saturate.vhd
new file mode 100644
index 000000000..9235f4fec
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/ddc_saturate.vhd
@@ -0,0 +1,83 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: ddc_saturate
+--
+-- Description:
+--
+-- Saturation logic for reducing 2x24 bit words to 2x16 bit words. See
+-- comments below for full description.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity ddc_saturate is
+ port(
+ Clk : in std_logic;
+ cDataIn : in std_logic_vector(47 downto 0); -- [Q,I] (I in LSBs)
+ cDataValidIn : in std_logic;
+ cDataOut : out std_logic_vector(31 downto 0); -- [Q,I] (I in LSBs)
+ cDataValidOut : out std_logic
+ );
+end ddc_saturate;
+
+architecture RTL of ddc_saturate is
+
+ signal cDataOutI : std_logic_vector(15 downto 0) := (others => '0');
+ signal cDataOutQ : std_logic_vector(15 downto 0) := (others => '0');
+
+begin
+
+
+ -----------------------------------------------------------------------------
+ -- Saturation
+ --
+ -- The output of the Xilinx FIR Compiler has already been rounded on the LSB
+ -- side, but hasn't been saturated on the MSB side.
+ -- Coefficients = 18 bit, 1 integer bit (1.17)
+ -- Data In = 16 bits, 1 integer bit (1.15)
+ -- 1.17 * 1.15 = 2.32, and the Xilinx FIR core rounds to 2.15
+ -- Data Out = 17 bits, 2 integer bits (2.15), with 17 LSBs already rounded
+ -- off inside the FIR core.
+ -- We need to manually saturate the 2.15 number back to a 1.15 number
+ --
+ -- If 2 MSBs = 00, output <= input without MSB, e.g. positive number < 1
+ -- If 2 MSBs = 01, output <= 0.111111111111111, e.g. positive number >= 1
+ -- If 2 MSBs = 10, output <= 1.000000000000000, e.g. negative number < -1
+ -- If 2 MSBs = 11, output <= input without MSB, e.g. negative number >= -1
+ -----------------------------------------------------------------------------
+ Saturation:
+ process(Clk)
+ begin
+ if rising_edge(Clk) then
+ -- Pipeline data valid to match the data
+ cDataValidOut <= cDataValidIn;
+
+ -- I, from cDataIn(16 downto 0)
+ if cDataIn(16 downto 15) = "01" then
+ cDataOutI <= "0111111111111111";
+ elsif cDataIn(16 downto 15) = "10" then
+ cDataOutI <= "1000000000000000";
+ else
+ cDataOutI <= cDataIn(15 downto 0);
+ end if;
+
+ -- Q, from cDataIn(40 downto 24)
+ if cDataIn(40 downto 39) = "01" then
+ cDataOutQ <= "0111111111111111";
+ elsif cDataIn(40 downto 39) = "10" then
+ cDataOutQ <= "1000000000000000";
+ else
+ cDataOutQ <= cDataIn(39 downto 24);
+ end if;
+
+ end if;
+ end process Saturation;
+
+ cDataOut <= cDataOutQ & cDataOutI;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/100m/duc_saturate.vhd b/fpga/usrp3/top/x400/rf/100m/duc_saturate.vhd
new file mode 100644
index 000000000..5cb1bc1fc
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/duc_saturate.vhd
@@ -0,0 +1,87 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: duc_saturate
+--
+-- Description:
+--
+-- Saturation logic for reducing 2x24 bit words to 2x16 bit words. See
+-- comments below for full description.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity duc_saturate is
+ port(
+ Clk : in std_logic;
+ cDataIn : in std_logic_vector(47 downto 0);
+ cDataValidIn : in std_logic;
+ cReadyForInput : out std_logic;
+ cDataOut : out std_logic_vector(31 downto 0);
+ cDataValidOut : out std_logic := '0'
+ );
+end duc_saturate;
+
+architecture RTL of duc_saturate is
+
+ signal cDataOutI : std_logic_vector(15 downto 0) := (others => '0');
+ signal cDataOutQ : std_logic_vector(15 downto 0) := (others => '0');
+
+begin
+
+ -----------------------------------------------------------------------------
+ -- Saturation
+ --
+ -- The output of the Xilinx FIR Compiler has already been rounded on the LSB
+ -- side, but hasn't been saturated on the MSB side.
+ -- Coefficients = 18 bit, 1 integer bit (1.17)
+ -- Data In = 16 bits, 1 integer bit (1.15)
+ -- Xilinx FIR core rounds to output to 3.31. The filter coefficients has a
+ -- gain of 3 to compensate for the amplitude loss in interpolation, the
+ -- Xilinx FIR core rounds the output to 3.15.
+ -- Data Out = 18 bits, 3 integer bits (3.15), with 16 LSBs already rounded
+ -- off inside the FIR core.
+ -- We need to manually saturate the 3.15 number back to a 1.15 number
+ --
+ -- If 3 MSBs = 000, output <= input without MSB, e.g. positive number < 1
+ -- If 3 MSBs = 0x1/01x, output <= 0.111111111111111, e.g. positive number >= 1
+ -- If 3 MSBs = 1x0/10x, output <= 1.000000000000000, e.g. negative number < -1
+ -- If 3 MSBs = 111, output <= input without MSB, e.g. negative number >= -1
+ -----------------------------------------------------------------------------
+Saturation:
+ process(Clk)
+ begin
+ if rising_edge(Clk) then
+ -- Pipeline data valid to match the data
+ cDataValidOut <= cDataValidIn;
+
+ -- I, from cDataIn(17 downto 0)
+ if cDataIn(17) = '0' and cDataIn(16 downto 15) /= "00" then
+ cDataOutI <= "0111111111111111";
+ elsif cDataIn(17) = '1' and cDataIn(16) /= cDataIn(15) then
+ cDataOutI <= "1000000000000000";
+ else
+ cDataOutI <= cDataIn(15 downto 0);
+ end if;
+
+ -- Q, from cDataIn(41 downto 24)
+ if cDataIn(41) = '0' and cDataIn(40 downto 39) /= "00" then
+ cDataOutQ <= "0111111111111111";
+ elsif cDataIn(41) = '1' and
+ (not (cDataIn(40 downto 39) = "11")) then
+ cDataOutQ <= "1000000000000000";
+ else
+ cDataOutQ <= cDataIn(39 downto 24);
+ end if;
+
+ end if;
+ end process Saturation;
+
+ cDataOut <= cDataOutQ & cDataOutI;
+ cReadyForInput <= '1';
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/100m/rf_core_100m.v b/fpga/usrp3/top/x400/rf/100m/rf_core_100m.v
new file mode 100644
index 000000000..9ca837e47
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/100m/rf_core_100m.v
@@ -0,0 +1,362 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rf_core_100m
+//
+// Description:
+//
+// Top-level wrapper for the ADC/DAC processing logic. One of these wrappers
+// exists for every supported Data Rate. An instance of this core should
+// exist per dboard.
+//
+// Data/RF Specs:
+// DBs: 1
+// RX/DB: 2
+// TX/DB: 2
+// Data Rate: 122.88 or 125 MSps @ 1 SPC
+//
+// Input Clocks, all aligned to one another and coming from same MMCM
+// rfdc_clk: 184.32 or 187.5 MHz (3x pll_ref_clk)
+// rfdc_clk_2x: 368.64 or 375 MHz (6x pll_ref_clk)
+// data_clk: 122.88 or 125 MHz (2x pll_ref_clk)
+//
+
+`default_nettype none
+
+module rf_core_100m (
+
+ //---------------------------------------------------------------------------
+ // Clocking
+ //---------------------------------------------------------------------------
+
+ // Main Clock Inputs
+ input wire rfdc_clk,
+ input wire rfdc_clk_2x,
+ input wire data_clk,
+ input wire data_clk_2x, // Unused, kept for rf_core_* interface consistency.
+
+ // AXI4-Lite Configuration Clock
+ // This clock is used to synchronize status bits for the RFDC registers in
+ // the AXI-S clock domain.
+ input wire s_axi_config_clk,
+
+ //---------------------------------------------------------------------------
+ // RFDC Data Interfaces
+ //---------------------------------------------------------------------------
+ // All ports here are in the rfdc_clk domain.
+
+ // ADC
+ input wire [31:0] adc_data_in_i_tdata_0,
+ output wire adc_data_in_i_tready_0,
+ input wire adc_data_in_i_tvalid_0,
+ input wire [31:0] adc_data_in_q_tdata_0,
+ output wire adc_data_in_q_tready_0,
+ input wire adc_data_in_q_tvalid_0,
+ input wire [31:0] adc_data_in_i_tdata_1,
+ output wire adc_data_in_i_tready_1,
+ input wire adc_data_in_i_tvalid_1,
+ input wire [31:0] adc_data_in_q_tdata_1,
+ output wire adc_data_in_q_tready_1,
+ input wire adc_data_in_q_tvalid_1,
+
+ // DAC
+ output wire [63:0] dac_data_out_tdata_0,
+ input wire dac_data_out_tready_0,
+ output wire dac_data_out_tvalid_0,
+ output wire [63:0] dac_data_out_tdata_1,
+ input wire dac_data_out_tready_1,
+ output wire dac_data_out_tvalid_1,
+
+ //---------------------------------------------------------------------------
+ // User Data Interfaces
+ //---------------------------------------------------------------------------
+ // All ports here are in the data_clk domain.
+
+ // ADC
+ output wire [31:0] adc_data_out_tdata_0, // Packed [Q,I] with Q in MSBs
+ output wire adc_data_out_tvalid_0,
+ output wire [31:0] adc_data_out_tdata_1, // Packed [Q,I] with Q in MSBs
+ output wire adc_data_out_tvalid_1,
+
+ // DAC
+ input wire [31:0] dac_data_in_tdata_0, // Packed [Q,I] with Q in MSBs
+ output wire dac_data_in_tready_0,
+ input wire dac_data_in_tvalid_0,
+ input wire [31:0] dac_data_in_tdata_1, // Packed [Q,I] with Q in MSBs
+ output wire dac_data_in_tready_1,
+ input wire dac_data_in_tvalid_1,
+
+ //---------------------------------------------------------------------------
+ // Miscellaneous
+ //---------------------------------------------------------------------------
+
+ // Invert I/Q control signals from RFDC to DSP chain.
+ input wire [3:0] invert_adc_iq_rclk2,
+ input wire [3:0] invert_dac_iq_rclk2,
+
+ // Control/status vectors from/to RFDC.
+ // Notice these are all in the s_axi_config_clk domain.
+ output wire [15:0] dsp_info_sclk,
+ output wire [15:0] axi_status_sclk,
+
+ // Resets.
+ input wire adc_data_out_resetn_dclk,
+ input wire adc_enable_data_rclk,
+ input wire adc_rfdc_axi_resetn_rclk,
+ input wire dac_data_in_resetn_dclk,
+ input wire dac_data_in_resetn_rclk,
+ input wire dac_data_in_resetn_rclk2x,
+ input wire fir_resetn_rclk2x,
+
+ // Version (Constant)
+ output wire [95:0] version_info
+);
+
+ `include "../../regmap/rfdc_regs_regmap_utils.vh"
+ `include "../../regmap/versioning_regs_regmap_utils.vh"
+ `include "../../regmap/versioning_utils.vh"
+
+ // Fixed for this implementation.
+ localparam NUM_ADC_CHANNELS = 2;
+ localparam NUM_DAC_CHANNELS = 2;
+
+ // ADC data interface from RFDC.
+ wire [31:0] adc_data_in_i_tdata [0:7]; // 2 SPC (I)
+ wire [31:0] adc_data_in_q_tdata [0:7]; // 2 SPC (Q)
+ wire [ 7:0] adc_data_in_i_tready;
+ wire [ 7:0] adc_data_in_q_tready;
+ wire [ 7:0] adc_data_in_i_tvalid;
+ wire [ 7:0] adc_data_in_q_tvalid;
+ // DAC data interface to RFDC.
+ wire [63:0] dac_data_out_tdata [0:7]; // 2 SPC (I + Q)
+ wire [ 7:0] dac_data_out_tready;
+ wire [ 7:0] dac_data_out_tvalid;
+
+ // ADC data interface to user.
+ wire [31:0] adc_data_out_tdata [0:7]; // 1 SPC (I + Q)
+ wire [ 7:0] adc_data_out_tready;
+ wire [ 7:0] adc_data_out_tvalid;
+ // DAC data interface from user.
+ wire [31:0] dac_data_in_tdata_preswap [0:7]; // 1 SPC (I + Q)
+ wire [31:0] dac_data_in_tdata [0:7]; // 1 SPC (I + Q)
+ wire [ 7:0] dac_data_in_tready;
+ wire [ 7:0] dac_data_in_tvalid;
+
+ wire [ 7:0] invert_dac_iq_dclk;
+ wire [15:0] axi_status;
+
+ //---------------------------------------------------------------------------
+ // Resets, Debug and Misc.
+ //---------------------------------------------------------------------------
+
+ // Group all these status bits together. They don't toggle frequently so data
+ // coherency is not an issue here.
+ // Using constants for DB0 since the bits are the 16 LSBs in a 32-bit vector.
+ // DB1 simply uses the 16 MSBs when wiring the status vector.
+ assign axi_status[USER_ADC_TREADY_MSB :USER_ADC_TREADY ] = adc_data_out_tready [1:0];
+ assign axi_status[USER_ADC_TVALID_MSB :USER_ADC_TVALID ] = adc_data_out_tvalid [1:0];
+ assign axi_status[RFDC_ADC_I_TVALID_MSB:RFDC_ADC_I_TVALID] = adc_data_in_i_tvalid[1:0];
+ assign axi_status[RFDC_ADC_Q_TVALID_MSB:RFDC_ADC_Q_TVALID] = adc_data_in_q_tvalid[1:0];
+ assign axi_status[RFDC_ADC_I_TREADY_MSB:RFDC_ADC_I_TREADY] = adc_data_in_i_tready[1:0];
+ assign axi_status[RFDC_ADC_Q_TREADY_MSB:RFDC_ADC_Q_TREADY] = adc_data_in_q_tready[1:0];
+ assign axi_status[RFDC_DAC_TVALID_MSB :RFDC_DAC_TVALID ] = dac_data_out_tvalid [1:0];
+ assign axi_status[RFDC_DAC_TREADY_MSB :RFDC_DAC_TREADY ] = dac_data_out_tready [1:0];
+
+ synchronizer #(
+ .WIDTH (16),
+ .STAGES (2),
+ .INITIAL_VAL (0),
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_axis_status (
+ .clk (s_axi_config_clk),
+ .rst (1'b0),
+ .in (axi_status),
+ .out (axi_status_sclk)
+ );
+
+ // Drive the DSP info vector with information on this specific DSP chain.
+ assign dsp_info_sclk[FABRIC_DSP_BW_MSB :FABRIC_DSP_BW] = FABRIC_DSP_BW_100M;
+ assign dsp_info_sclk[FABRIC_DSP_RX_CNT_MSB:FABRIC_DSP_RX_CNT] = NUM_ADC_CHANNELS;
+ assign dsp_info_sclk[FABRIC_DSP_TX_CNT_MSB:FABRIC_DSP_TX_CNT] = NUM_DAC_CHANNELS;
+
+ //---------------------------------------------------------------------------
+ // ADC Post-Processing
+ //---------------------------------------------------------------------------
+
+ // Data comes from the RFDC as 2 SPC, separate streams for each channel and
+ // I/Q.
+ assign adc_data_in_i_tdata[0] = adc_data_in_i_tdata_0;
+ assign adc_data_in_q_tdata[0] = adc_data_in_q_tdata_0;
+ assign adc_data_in_i_tdata[1] = adc_data_in_i_tdata_1;
+ assign adc_data_in_q_tdata[1] = adc_data_in_q_tdata_1;
+
+ assign adc_data_in_i_tready_0 = adc_data_in_i_tready[0];
+ assign adc_data_in_i_tvalid[0] = adc_data_in_i_tvalid_0;
+ assign adc_data_in_q_tready_0 = adc_data_in_q_tready[0];
+ assign adc_data_in_q_tvalid[0] = adc_data_in_q_tvalid_0;
+ assign adc_data_in_i_tready_1 = adc_data_in_i_tready[1];
+ assign adc_data_in_i_tvalid[1] = adc_data_in_i_tvalid_1;
+ assign adc_data_in_q_tready_1 = adc_data_in_q_tready[1];
+ assign adc_data_in_q_tvalid[1] = adc_data_in_q_tvalid_1;
+
+ // ADC Data from the RFDC arrives here as 2 SPC with separate I and Q
+ // streams. It leaves the adc_100m_bd as 1 SPC with I and Q packed into a
+ // single 32 bit word.
+ genvar adc_num;
+ generate
+ for (adc_num=0; adc_num < (NUM_ADC_CHANNELS); adc_num = adc_num + 1)
+ begin : adc_gen
+ adc_100m_bd adc_100m_bd_gen (
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .data_clk (data_clk),
+ .enable_data_to_fir_rclk (adc_enable_data_rclk),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .rfdc_adc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .swap_iq_2x (invert_adc_iq_rclk2 [adc_num]),
+ .adc_data_out_tvalid (adc_data_out_tvalid [adc_num]),
+ .adc_data_out_tdata (adc_data_out_tdata [adc_num]),
+ .adc_i_data_in_tvalid (adc_data_in_i_tvalid[adc_num]),
+ .adc_i_data_in_tready (adc_data_in_i_tready[adc_num]),
+ .adc_i_data_in_tdata (adc_data_in_i_tdata [adc_num]),
+ .adc_q_data_in_tvalid (adc_data_in_q_tvalid[adc_num]),
+ .adc_q_data_in_tready (adc_data_in_q_tready[adc_num]),
+ .adc_q_data_in_tdata (adc_data_in_q_tdata [adc_num])
+ );
+ end
+ endgenerate
+
+ // Data is released to the user as 1 SPC, separate streams for each channel.
+ assign adc_data_out_tdata_0 = adc_data_out_tdata[0];
+ assign adc_data_out_tdata_1 = adc_data_out_tdata[1];
+
+ // There is no tready going to the ADC (one has to be always ready for ADC
+ // data), but it is still a component of the axi_status vector as a generic
+ // AXI stream status. Report 1'b1 to the status vector consistent with being
+ // always ready
+ assign adc_data_out_tready[0] = 1'b1;
+ assign adc_data_out_tvalid_0 = adc_data_out_tvalid[0];
+ assign adc_data_out_tready[1] = 1'b1;
+ assign adc_data_out_tvalid_1 = adc_data_out_tvalid[1];
+
+ //---------------------------------------------------------------------------
+ // DAC Pre-Processing
+ //---------------------------------------------------------------------------
+
+ // Data comes from the user as 1 SPC, separate streams for each channel.
+ assign dac_data_in_tdata_preswap[0] = dac_data_in_tdata_0;
+ assign dac_data_in_tdata_preswap[1] = dac_data_in_tdata_1;
+
+ assign dac_data_in_tready_0 = dac_data_in_tready[0];
+ assign dac_data_in_tvalid[0] = dac_data_in_tvalid_0;
+ assign dac_data_in_tready_1 = dac_data_in_tready[1];
+ assign dac_data_in_tvalid[1] = dac_data_in_tvalid_1;
+
+ // Optionally swap IQ data positions in the vector. First cross the swap
+ // vector over to the data_clk domain.
+ synchronizer #(
+ .WIDTH (8),
+ .STAGES (2),
+ .INITIAL_VAL (0),
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_invert_dac_iq (
+ .clk (data_clk),
+ .rst (1'b0),
+ .in (invert_dac_iq_rclk2),
+ .out (invert_dac_iq_dclk)
+ );
+
+ genvar dac_num;
+ generate
+ for (dac_num=0; dac_num < (NUM_DAC_CHANNELS); dac_num = dac_num + 1)
+ begin : dac_swap_gen
+ assign dac_data_in_tdata[dac_num][15:00] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][31:16]) : (dac_data_in_tdata_preswap[dac_num][15:0]);
+ assign dac_data_in_tdata[dac_num][31:16] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][15:00]) : (dac_data_in_tdata_preswap[dac_num][31:16]);
+ end
+ endgenerate
+
+ // These streams are then interpolated by dac_100m_bd, and form a single
+ // stream per channel, 2 SPC, packed: MSB [Sample1Q, Sample1I, Sample0Q,
+ // Sample0I] LSB.
+ generate
+ for (dac_num=0; dac_num < (NUM_DAC_CHANNELS); dac_num = dac_num + 1)
+ begin : dac_gen
+ dac_100m_bd dac_100m_bd_gen (
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .dac_data_in_resetn_rclk2x (dac_data_in_resetn_rclk2x),
+ .data_clk (data_clk),
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .dac_data_out_tdata (dac_data_out_tdata [dac_num]),
+ .dac_data_out_tvalid (dac_data_out_tvalid[dac_num]),
+ .dac_data_out_tready (dac_data_out_tready[dac_num]),
+ .dac_data_in_tdata (dac_data_in_tdata [dac_num]),
+ .dac_data_in_tvalid (dac_data_in_tvalid [dac_num]),
+ .dac_data_in_tready (dac_data_in_tready [dac_num])
+ );
+ end
+ endgenerate
+
+ // Data is released to the RFDC as 2 SPC, separate streams per channel (I/Q
+ // together).
+ assign dac_data_out_tdata_0 = dac_data_out_tdata[0];
+ assign dac_data_out_tdata_1 = dac_data_out_tdata[1];
+
+ assign dac_data_out_tready[0] = dac_data_out_tready_0;
+ assign dac_data_out_tvalid_0 = dac_data_out_tvalid[0];
+ assign dac_data_out_tready[1] = dac_data_out_tready_1;
+ assign dac_data_out_tvalid_1 = dac_data_out_tvalid[1];
+
+ //---------------------------------------------------------------------------
+ // Version
+ //---------------------------------------------------------------------------
+
+ // Version metadata, constants come from auto-generated
+ // versioning_regs_regmap_utils.vh
+ assign version_info = build_component_versions(
+ RF_CORE_100M_VERSION_LAST_MODIFIED_TIME,
+ build_version(
+ RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MAJOR,
+ RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MINOR,
+ RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_BUILD
+ ),
+ build_version(
+ RF_CORE_100M_CURRENT_VERSION_MAJOR,
+ RF_CORE_100M_CURRENT_VERSION_MINOR,
+ RF_CORE_100M_CURRENT_VERSION_BUILD
+ )
+ );
+
+endmodule
+
+`default_nettype wire
+
+//XmlParse xml_on
+//<regmap name="VERSIONING_REGS_REGMAP">
+// <group name="VERSIONING_CONSTANTS">
+// <enumeratedtype name="RF_CORE_100M_VERSION" showhex="true">
+// <info>
+// 100 MHz RF core.{BR/}
+// For guidance on when to update these revision numbers,
+// please refer to the register map documentation accordingly:
+// <li> Current version: @.VERSIONING_REGS_REGMAP..CURRENT_VERSION
+// <li> Oldest compatible version: @.VERSIONING_REGS_REGMAP..OLDEST_COMPATIBLE_VERSION
+// <li> Version last modified: @.VERSIONING_REGS_REGMAP..VERSION_LAST_MODIFIED
+// </info>
+// <value name="RF_CORE_100M_CURRENT_VERSION_MAJOR" integer="1"/>
+// <value name="RF_CORE_100M_CURRENT_VERSION_MINOR" integer="0"/>
+// <value name="RF_CORE_100M_CURRENT_VERSION_BUILD" integer="0"/>
+// <value name="RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MAJOR" integer="1"/>
+// <value name="RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_MINOR" integer="0"/>
+// <value name="RF_CORE_100M_OLDEST_COMPATIBLE_VERSION_BUILD" integer="0"/>
+// <value name="RF_CORE_100M_VERSION_LAST_MODIFIED_TIME" integer="0x20102617"/>
+// </enumeratedtype>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/rf/200m/rf_core_200m.v b/fpga/usrp3/top/x400/rf/200m/rf_core_200m.v
new file mode 100644
index 000000000..9a08e7e57
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/200m/rf_core_200m.v
@@ -0,0 +1,220 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rf_core_200m
+//
+// Description:
+//
+// Implementation of rf_core with 200 MHz bandwidth. It presents an interface
+// that inputs/outputs 2 samples per cycle. This version is implemented by
+// instantiating rf_core_400m and adding up-conversion and down-conversion
+// filters.
+//
+
+`default_nettype none
+
+module rf_core_200m (
+
+ //---------------------------------------------------------------------------
+ // Clocking
+ //---------------------------------------------------------------------------
+
+ // Main Clock Inputs
+ input wire rfdc_clk,
+ input wire rfdc_clk_2x,
+ input wire data_clk,
+ input wire data_clk_2x,
+
+ // AXI4-Lite Config Clock
+ // This clock is used to synchronize status bits for the RFDC
+ // registers in the AXI-S clock domain.
+ input wire s_axi_config_clk,
+
+ //---------------------------------------------------------------------------
+ // RFDC Data Interfaces
+ //---------------------------------------------------------------------------
+ // All ports here are in the rfdc_clk domain.
+
+ // ADC
+ input wire [127:0] adc_data_in_i_tdata_0,
+ output wire adc_data_in_i_tready_0,
+ input wire adc_data_in_i_tvalid_0,
+ input wire [127:0] adc_data_in_q_tdata_0,
+ output wire adc_data_in_q_tready_0,
+ input wire adc_data_in_q_tvalid_0,
+ input wire [127:0] adc_data_in_i_tdata_1,
+ output wire adc_data_in_i_tready_1,
+ input wire adc_data_in_i_tvalid_1,
+ input wire [127:0] adc_data_in_q_tdata_1,
+ output wire adc_data_in_q_tready_1,
+ input wire adc_data_in_q_tvalid_1,
+
+ // DAC
+ output wire [255:0] dac_data_out_tdata_0,
+ input wire dac_data_out_tready_0,
+ output wire dac_data_out_tvalid_0,
+ output wire [255:0] dac_data_out_tdata_1,
+ input wire dac_data_out_tready_1,
+ output wire dac_data_out_tvalid_1,
+
+ //---------------------------------------------------------------------------
+ // User Data Interface
+ //---------------------------------------------------------------------------
+ // All ports here are in the data_clk domain.
+
+ // ADC
+ output wire [63:0] adc_data_out_tdata_0, // Packed {Q1,I1,Q0,I0}
+ output wire adc_data_out_tvalid_0,
+ output wire [63:0] adc_data_out_tdata_1, // Packed {Q1,I1,Q0,I0}
+ output wire adc_data_out_tvalid_1,
+
+ // DAC
+ input wire [63:0] dac_data_in_tdata_0, // Packed {Q1,I1,Q0,I0} with Q in MSBs
+ output wire dac_data_in_tready_0,
+ input wire dac_data_in_tvalid_0,
+ input wire [63:0] dac_data_in_tdata_1, // Packed {Q1,I1,Q0,I0} with Q in MSBs
+ output wire dac_data_in_tready_1,
+ input wire dac_data_in_tvalid_1,
+
+
+ //---------------------------------------------------------------------------
+ // Miscellaneous
+ //---------------------------------------------------------------------------
+
+ // Invert I/Q control signals from RFDC to DSP chain.
+ input wire [3:0] invert_adc_iq_rclk2,
+ input wire [3:0] invert_dac_iq_rclk2,
+
+ // Control/status vectors from/to RFDC.
+ // Notice these are all in the s_axi_config_clk domain.
+ output reg [15:0] dsp_info_sclk,
+ output wire [15:0] axi_status_sclk,
+
+ // Resets.
+ input wire adc_data_out_resetn_dclk,
+ input wire adc_enable_data_rclk,
+ input wire adc_rfdc_axi_resetn_rclk,
+ input wire dac_data_in_resetn_dclk,
+ input wire dac_data_in_resetn_dclk2x,
+ input wire dac_data_in_resetn_rclk,
+ input wire fir_resetn_rclk2x,
+
+ // Version (Constant)
+ output wire [95:0] version_info
+);
+
+ `include "../../regmap/rfdc_regs_regmap_utils.vh"
+
+ //---------------------------------------------------------------------------
+ // 400 MHz RF Core
+ //---------------------------------------------------------------------------
+
+ wire [127:0] adc_400m_tdata_0;
+ wire adc_400m_tvalid_0;
+ wire [127:0] adc_400m_tdata_1;
+ wire adc_400m_tvalid_1;
+ wire [127:0] dac_400m_tdata_0;
+ wire dac_400m_tvalid_0;
+ wire [127:0] dac_400m_tdata_1;
+ wire dac_400m_tvalid_1;
+
+ wire [ 15:0] dsp_info_sclk_400m;
+
+ rf_core_400m rf_core_400m_i (
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .data_clk (data_clk),
+ .data_clk_2x (data_clk_2x),
+ .s_axi_config_clk (s_axi_config_clk),
+ .adc_data_in_i_tdata_0 (adc_data_in_i_tdata_0),
+ .adc_data_in_i_tready_0 (adc_data_in_i_tready_0),
+ .adc_data_in_i_tvalid_0 (adc_data_in_i_tvalid_0),
+ .adc_data_in_q_tdata_0 (adc_data_in_q_tdata_0),
+ .adc_data_in_q_tready_0 (adc_data_in_q_tready_0),
+ .adc_data_in_q_tvalid_0 (adc_data_in_q_tvalid_0),
+ .adc_data_in_i_tdata_1 (adc_data_in_i_tdata_1),
+ .adc_data_in_i_tready_1 (adc_data_in_i_tready_1),
+ .adc_data_in_i_tvalid_1 (adc_data_in_i_tvalid_1),
+ .adc_data_in_q_tdata_1 (adc_data_in_q_tdata_1),
+ .adc_data_in_q_tready_1 (adc_data_in_q_tready_1),
+ .adc_data_in_q_tvalid_1 (adc_data_in_q_tvalid_1),
+ .dac_data_out_tdata_0 (dac_data_out_tdata_0),
+ .dac_data_out_tready_0 (dac_data_out_tready_0),
+ .dac_data_out_tvalid_0 (dac_data_out_tvalid_0),
+ .dac_data_out_tdata_1 (dac_data_out_tdata_1),
+ .dac_data_out_tready_1 (dac_data_out_tready_1),
+ .dac_data_out_tvalid_1 (dac_data_out_tvalid_1),
+ .adc_data_out_tdata_0 (adc_400m_tdata_0),
+ .adc_data_out_tvalid_0 (adc_400m_tvalid_0),
+ .adc_data_out_tdata_1 (adc_400m_tdata_1),
+ .adc_data_out_tvalid_1 (adc_400m_tvalid_1),
+ .dac_data_in_tdata_0 (dac_400m_tdata_0),
+ .dac_data_in_tready_0 (),
+ .dac_data_in_tvalid_0 (dac_400m_tvalid_0),
+ .dac_data_in_tdata_1 (dac_400m_tdata_1),
+ .dac_data_in_tready_1 (),
+ .dac_data_in_tvalid_1 (dac_400m_tvalid_1),
+ .invert_adc_iq_rclk2 (invert_adc_iq_rclk2),
+ .invert_dac_iq_rclk2 (invert_dac_iq_rclk2),
+ .dsp_info_sclk (dsp_info_sclk_400m),
+ .axi_status_sclk (axi_status_sclk),
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .adc_enable_data_rclk (adc_enable_data_rclk),
+ .adc_rfdc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_dclk2x (dac_data_in_resetn_dclk2x),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .version_info (version_info)
+ );
+
+ // Change reported bandwidth 200 MHz
+ always @(*) begin
+ dsp_info_sclk <= dsp_info_sclk_400m;
+ dsp_info_sclk[FABRIC_DSP_BW_MSB : FABRIC_DSP_BW] <= FABRIC_DSP_BW_200M;
+ end
+
+
+ //---------------------------------------------------------------------------
+ // ADC Down-conversion
+ //---------------------------------------------------------------------------
+
+ rf_down_4to2 #(
+ .NUM_CHANNELS (2)
+ ) rf_down_4to2_i (
+ .clk (data_clk),
+ .clk_2x (data_clk_2x),
+ .rst (~adc_data_out_resetn_dclk),
+ .rst_2x (~adc_data_out_resetn_dclk), // 1x clk reset is safe to use
+ .i_tdata ({ adc_400m_tdata_1, adc_400m_tdata_0 }),
+ .i_tvalid ({ adc_400m_tvalid_1, adc_400m_tvalid_0 }),
+ .o_tdata ({ adc_data_out_tdata_1, adc_data_out_tdata_0 }),
+ .o_tvalid ({ adc_data_out_tvalid_1, adc_data_out_tvalid_0 })
+ );
+
+
+ //---------------------------------------------------------------------------
+ // DAC Up-conversion
+ //---------------------------------------------------------------------------
+
+ assign dac_data_in_tready_0 = 1'b1;
+ assign dac_data_in_tready_1 = 1'b1;
+
+ rf_up_2to4 #(
+ .NUM_CHANNELS (2)
+ ) rf_up_2to4_i (
+ .clk (data_clk),
+ .clk_2x (data_clk_2x),
+ .rst (~dac_data_in_resetn_dclk),
+ .rst_2x (~dac_data_in_resetn_dclk2x),
+ .i_tdata ({ dac_data_in_tdata_1, dac_data_in_tdata_0 }),
+ .i_tvalid ({ dac_data_in_tvalid_1, dac_data_in_tvalid_0 }),
+ .o_tdata ({ dac_400m_tdata_1, dac_400m_tdata_0 }),
+ .o_tvalid ({ dac_400m_tvalid_1, dac_400m_tvalid_0 })
+ );
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/200m/rf_down_4to2.v b/fpga/usrp3/top/x400/rf/200m/rf_down_4to2.v
new file mode 100644
index 000000000..bc1ed177e
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/200m/rf_down_4to2.v
@@ -0,0 +1,149 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rf_down_4to2
+//
+// Description:
+//
+// Implements a down-sampling filter that accepts 4 samples per cycle on the
+// input and outputs 2 samples per cycle. A 2x speed clock is used to perform
+// the DSP computation, so that less logic can be used to implement the
+// half-band filter.
+//
+// Data Path : In --> Gearbox --> Filter --> Gearbox --> Out
+// SPC : 4 2 1 2
+// Clock Rate : 1x 2x 2x 1x
+//
+
+`default_nettype none
+
+module rf_down_4to2 #(
+ parameter NUM_CHANNELS = 1
+) (
+ input wire clk,
+ input wire clk_2x,
+
+ // Synchronous resets
+ input wire rst,
+ input wire rst_2x,
+
+ // Input - 4 SPC, synchronous to "clk"
+ input wire [NUM_CHANNELS*128-1:0] i_tdata,
+ input wire [NUM_CHANNELS* 1-1:0] i_tvalid,
+
+ // Output - 2 SPC, synchronous to "clk"
+ output wire [NUM_CHANNELS*64-1:0] o_tdata,
+ output wire [NUM_CHANNELS* 1-1:0] o_tvalid
+);
+
+ generate
+ genvar ch;
+ for (ch = 0; ch < NUM_CHANNELS; ch = ch + 1) begin : gen_channel
+
+ //-----------------------------------------------------------------------
+ // Input Gearbox
+ //-----------------------------------------------------------------------
+ //
+ // Convert from 4 SPC on clk to 2 SPC on clk_2x.
+ //
+ //-----------------------------------------------------------------------
+
+ wire [63:0] gear_to_filt_tdata;
+ wire gear_to_filt_tvalid;
+
+ gearbox_2x1 #(
+ .WORD_W (32),
+ .IN_WORDS (4),
+ .OUT_WORDS (2),
+ .BIG_ENDIAN (0)
+ ) gearbox_2x1_in (
+ .i_clk (clk),
+ .i_rst (rst),
+ .i_tdata (i_tdata[ch*128 +: 128]),
+ .i_tvalid (i_tvalid[ch]),
+ .o_clk (clk_2x),
+ .o_rst (rst_2x),
+ .o_tdata (gear_to_filt_tdata),
+ .o_tvalid (gear_to_filt_tvalid)
+ );
+
+
+ //-----------------------------------------------------------------------
+ // Interpolating Filter
+ //-----------------------------------------------------------------------
+
+ wire [47:0] filt_to_clip_tdata;
+ wire filt_to_clip_tvalid;
+
+ hb47_2to1 hb47_2to1_i (
+ .aresetn (~rst_2x),
+ .aclk (clk_2x),
+ .s_axis_data_tvalid (gear_to_filt_tvalid),
+ .s_axis_data_tready (),
+ .s_axis_data_tdata (gear_to_filt_tdata),
+ .m_axis_data_tvalid (filt_to_clip_tvalid),
+ .m_axis_data_tuser (),
+ .m_axis_data_tdata (filt_to_clip_tdata)
+ );
+
+
+ //-----------------------------------------------------------------------
+ // Saturation
+ //-----------------------------------------------------------------------
+
+ wire [31:0] clip_to_gear_tdata;
+ wire clip_to_gear_tvalid;
+
+ genvar word;
+ for (word = 0; word < 2; word = word+1) begin : gen_sat
+ axi_clip #(
+ .WIDTH_IN (24),
+ .WIDTH_OUT (16),
+ .FIFOSIZE (0)
+ ) axi_clip_i (
+ .clk (clk_2x),
+ .reset (rst_2x),
+ .i_tdata (filt_to_clip_tdata[word*24 +: 24]),
+ .i_tlast (1'b0),
+ .i_tvalid (filt_to_clip_tvalid),
+ .i_tready (),
+ .o_tdata (clip_to_gear_tdata[word*16 +: 16]),
+ .o_tlast (),
+ .o_tvalid (clip_to_gear_tvalid),
+ .o_tready (1'b1)
+ );
+ end
+
+
+ //-----------------------------------------------------------------------
+ // Output Gearbox
+ //-----------------------------------------------------------------------
+ //
+ // Convert from 1 SPC on clk_2x to 2 SPC on clk.
+ //
+ //-----------------------------------------------------------------------
+
+ gearbox_2x1 #(
+ .WORD_W (32),
+ .IN_WORDS (1),
+ .OUT_WORDS (2),
+ .BIG_ENDIAN (0)
+ ) gearbox_2x1_out (
+ .i_clk (clk_2x),
+ .i_rst (rst_2x),
+ .i_tdata (clip_to_gear_tdata),
+ .i_tvalid (clip_to_gear_tvalid),
+ .o_clk (clk),
+ .o_rst (rst),
+ .o_tdata (o_tdata[ch*64 +: 64]),
+ .o_tvalid (o_tvalid[ch])
+ );
+
+ end // for
+ endgenerate
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/200m/rf_up_2to4.v b/fpga/usrp3/top/x400/rf/200m/rf_up_2to4.v
new file mode 100644
index 000000000..0cabf346e
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/200m/rf_up_2to4.v
@@ -0,0 +1,149 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rf_up_2to4
+//
+// Description:
+//
+// Implements an up-sampling filter that accepts 2 samples per cycle on the
+// input and outputs 4 samples per cycle. A 2x speed clock is used to perform
+// the DSP computation, so that less logic can be used to implement the
+// half-band filter.
+//
+// Data Path : In --> Gearbox --> Filter --> Gearbox --> Out
+// SPC : 2 1 2 4
+// Clock Rate : 1x 2x 2x 1x
+//
+
+`default_nettype none
+
+module rf_up_2to4 #(
+ parameter NUM_CHANNELS = 1
+) (
+ input wire clk,
+ input wire clk_2x,
+
+ // Synchronous resets
+ input wire rst,
+ input wire rst_2x,
+
+ // Input - 2 SPC, synchronous to "clk"
+ input wire [NUM_CHANNELS*64-1:0] i_tdata,
+ input wire [NUM_CHANNELS* 1-1:0] i_tvalid,
+
+ // Output - 4 SPC, synchronous to "clk"
+ output wire [NUM_CHANNELS*128-1:0] o_tdata,
+ output wire [NUM_CHANNELS* 1-1:0] o_tvalid
+);
+
+ generate
+ genvar ch;
+ for (ch = 0; ch < NUM_CHANNELS; ch = ch + 1) begin : gen_channel
+
+ //-----------------------------------------------------------------------
+ // Input Gearbox
+ //-----------------------------------------------------------------------
+ //
+ // Convert from 2 SPC on clk to 1 SPC on clk_2x.
+ //
+ //-----------------------------------------------------------------------
+
+ wire [31:0] gear_to_filt_tdata;
+ wire gear_to_filt_tvalid;
+
+ gearbox_2x1 #(
+ .WORD_W (32),
+ .IN_WORDS (2),
+ .OUT_WORDS (1),
+ .BIG_ENDIAN (0)
+ ) gearbox_2x1_in (
+ .i_clk (clk),
+ .i_rst (rst),
+ .i_tdata (i_tdata[ch*64 +: 64]),
+ .i_tvalid (i_tvalid[ch]),
+ .o_clk (clk_2x),
+ .o_rst (rst_2x),
+ .o_tdata (gear_to_filt_tdata),
+ .o_tvalid (gear_to_filt_tvalid)
+ );
+
+
+ //-----------------------------------------------------------------------
+ // Interpolating Filter
+ //-----------------------------------------------------------------------
+
+ wire [95:0] filt_to_clip_tdata;
+ wire filt_to_clip_tvalid;
+
+ hb47_1to2 hb47_1to2_i (
+ .aresetn (~rst_2x),
+ .aclk (clk_2x),
+ .s_axis_data_tvalid (gear_to_filt_tvalid),
+ .s_axis_data_tready (),
+ .s_axis_data_tdata (gear_to_filt_tdata),
+ .m_axis_data_tvalid (filt_to_clip_tvalid),
+ .m_axis_data_tuser (),
+ .m_axis_data_tdata (filt_to_clip_tdata)
+ );
+
+
+ //-----------------------------------------------------------------------
+ // Saturation
+ //-----------------------------------------------------------------------
+
+ wire [63:0] clip_to_gear_tdata;
+ wire clip_to_gear_tvalid;
+
+ genvar word;
+ for (word = 0; word < 4; word = word+1) begin : gen_sat
+ axi_clip #(
+ .WIDTH_IN (24),
+ .WIDTH_OUT (16),
+ .FIFOSIZE (0)
+ ) axi_clip_i (
+ .clk (clk_2x),
+ .reset (rst_2x),
+ .i_tdata (filt_to_clip_tdata[word*24 +: 24]),
+ .i_tlast (1'b0),
+ .i_tvalid (filt_to_clip_tvalid),
+ .i_tready (),
+ .o_tdata (clip_to_gear_tdata[word*16 +: 16]),
+ .o_tlast (),
+ .o_tvalid (clip_to_gear_tvalid),
+ .o_tready (1'b1)
+ );
+ end
+
+
+ //-----------------------------------------------------------------------
+ // Output Gearbox
+ //-----------------------------------------------------------------------
+ //
+ // Convert from 2 SPC on clk_2x to 4 SPC on clk.
+ //
+ //-----------------------------------------------------------------------
+
+ gearbox_2x1 #(
+ .WORD_W (32),
+ .IN_WORDS (2),
+ .OUT_WORDS (4),
+ .BIG_ENDIAN (0)
+ ) gearbox_2x1_out (
+ .i_clk (clk_2x),
+ .i_rst (rst_2x),
+ .i_tdata (clip_to_gear_tdata),
+ .i_tvalid (clip_to_gear_tvalid),
+ .o_clk (clk),
+ .o_rst (rst),
+ .o_tdata (o_tdata[ch*128 +: 128]),
+ .o_tvalid (o_tvalid[ch])
+ );
+
+ end // for
+ endgenerate
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/400m/adc_gearbox_2x4.vhd b/fpga/usrp3/top/x400/rf/400m/adc_gearbox_2x4.vhd
new file mode 100644
index 000000000..67f4eb448
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/adc_gearbox_2x4.vhd
@@ -0,0 +1,142 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: adc_gearbox_2x4
+--
+-- Description:
+--
+-- Gearbox to expand the data width from 2 SPC to 4 SPC.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity adc_gearbox_2x4 is
+ port(
+ Clk1x : in std_logic;
+ Clk3x : in std_logic;
+ -- Resets with synchronous de-assertion.
+ ac1Reset_n : in std_logic;
+ ac3Reset_n : in std_logic;
+ -- Data packing: [Q1,I1,Q0,I0] (I in LSBs).
+ c3DataIn : in std_logic_vector(95 downto 0);
+ c3DataValidIn : in std_logic;
+ -- Data packing: [Q3,I3,Q2,I2,Q1,I1,Q0,I0] (I in LSBs).
+ c1DataOut : out std_logic_vector(191 downto 0);
+ c1DataValidOut : out std_logic
+ );
+end adc_gearbox_2x4;
+
+architecture RTL of adc_gearbox_2x4 is
+
+ signal c1DataValidInDly, c3DataValidInDly
+ : std_logic_vector(3 downto 0) := (others => '0');
+
+ subtype Word_t is std_logic_vector(95 downto 0);
+ type Words_t is array(natural range<>) of Word_t;
+
+ signal c3DataInDly, c1DataInDly : Words_t(3 downto 0);
+
+begin
+
+ -- Pipeline input data. We will need four pipeline stages to account for the
+ -- three possible Clk1x and Clk3x phases and the nature of data packing done
+ -- in the DDC filter. The DDC asserts data valid for two clock cycles and
+ -- de-asserted for one clock cycle. This requires us to have shift register
+ -- that is 4 sample words (each sample word is 2 SPC) deep.
+ InputValidPipeline: process(Clk3x, ac3Reset_n)
+ begin
+ if ac3Reset_n = '0' then
+ c3DataValidInDly <= (others => '0');
+ -- These registers are on the falling edge to prevent a hold violation at
+ -- the input to the following Clk1x FF (which may arrive late when more
+ -- heavily loaded than Clk3x)
+ elsif falling_edge(Clk3x) then
+ c3DataValidInDly <= c3DataValidInDly(c3DataValidInDly'left-1 downto 0) &
+ c3DataValidIn;
+ end if;
+ end process;
+
+ InputDataPipeline: process(Clk3x)
+ begin
+ -- These registers are on the falling edge to prevent a hold violation at
+ -- the input to the following Clk1x FF (which may arrive late when more
+ -- heavily loaded than Clk3x).
+ if falling_edge(Clk3x) then
+ c3DataInDly <= c3DataInDly(c3DataInDly'high-1 downto 0) & c3DataIn;
+ end if;
+ end process InputDataPipeline;
+
+ -- Data valid clock crossing from Clk3x to Clk1x
+ Clk3xToClk1xValidCrossing: process(Clk1x, ac1Reset_n)
+ begin
+ if ac1Reset_n = '0' then
+ c1DataValidInDly <= (others => '0');
+ elsif rising_edge(Clk1x) then
+ c1DataValidInDly <= c3DataValidInDly;
+ end if;
+ end process;
+
+ -- Data clock crossing from Clk3x to Clk1x
+ Clk3xToClk1xDataCrossing: process(Clk1x)
+ begin
+ if rising_edge(Clk1x) then
+ c1DataInDly <= c3DataInDly;
+ end if;
+ end process;
+
+ -----------------------------------------------------------------------------
+ --
+ -- p0 p1 p2 p0
+ -- Clk3x _______/¯¯¯¯¯¯¯\_______/¯¯¯¯¯¯¯\_______/¯¯¯¯¯¯¯\_______/¯¯¯
+ --
+ -- Clk1x _______/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯\_______________________/¯¯¯
+ --
+ -- c3DataValidIn _/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯\_______________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ --
+ -- This gearbox connect the DDC filter output to the remaining RX data path.
+ -- For efficient use of DSP slices we run the DDC at 3x clock rate. Both
+ -- Clk3x and Clk1x are sourced from the same PLL and is phase locked as shown
+ -- in the above timing diagram. The output of DDC filter is asserted for two
+ -- clock cycles and is de-asserted for one clock cycle. The remaining part of
+ -- the design cannot run at 3x clock rate. So, we increase the number of
+ -- samples per clock cycle and decrease the clock frequency to 1x. Depending
+ -- upon the pipeline delay through the filter and RF section, the phase of
+ -- data valid assertion could be on either p0, p1, or p2 edge. And depending
+ -- upon the phase, data packing to Clk1x domain will vary. Since there are
+ -- three possible phase, we will need three different data packing options.
+ --
+ -- Data packing is done by looking for two consecutive ones in the data valid
+ -- shift register (c1DataValidInDly).This pattern can be used only because of
+ -- the way output data is packed in the filter. If we see two consecutive
+ -- ones, then we know that we have enough data to be packed for the output of
+ -- this gearbox. This is because, we need two Clk3x cycles of 2 SPC data to
+ -- pack a 4 SPC data output on Clk1x. The location of two consecutive ones in
+ -- the data valid shift register will provide the location of valid data in
+ -- data shift register (c1DataInDly).
+ DataPacker: process(Clk1x)
+ begin
+ if rising_edge(Clk1x) then
+ -- Data valid is asserted when both Clk1x and Clk3x are phase aligned
+ -- (p0). In this case, c1DataValidInDly will have consecutive ones in
+ -- index 1 and 2.
+ c1DataValidOut <= c1DataValidInDly(1) and c1DataValidInDly(2);
+ c1DataOut <= c1DataInDly(1) & c1DataInDly(2);
+
+ -- Data valid asserted on phase p1.
+ if c1DataValidInDly(1 downto 0) = "11" then
+ c1DataOut <= c1DataInDly(0) & c1DataInDly(1);
+ c1DataValidOut <= '1';
+
+ -- Data valid asserted on phase p2.
+ elsif c1DataValidInDly(3 downto 2) = "11" then
+ c1DataOut <= c1DataInDly(2) & c1DataInDly(3);
+ c1DataValidOut <= '1';
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/400m/adc_gearbox_8x4.v b/fpga/usrp3/top/x400/rf/400m/adc_gearbox_8x4.v
new file mode 100644
index 000000000..02e2684ad
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/adc_gearbox_8x4.v
@@ -0,0 +1,105 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: adc_gearbox_8x4
+//
+// Description:
+//
+// Gearbox ADC data from 8 SPC to 4 SPC and corresponding 2x clock to 1x
+// clock. Also implement data swapping to format packets to fit the FIR
+// filter input requirements.
+//
+// This modules incurs one clk1x cycle of delay on the data and valid signals
+// from input on the 1x domain to output on the 2x domain.
+//
+
+`default_nettype none
+
+module adc_gearbox_8x4 (
+ input wire clk1x,
+ input wire reset_n_1x,
+ // Data is _presumed_ to be packed [Sample7, ..., Sample0] (Sample0 in LSBs).
+ input wire [127:0] adc_q_in_1x,
+ input wire [127:0] adc_i_in_1x,
+ input wire valid_in_1x,
+ // De-assert enable_1x to clear the data valid output synchronously.
+ input wire enable_1x,
+
+ input wire clk2x,
+ // Data is packed [Q3,I3, ... , Q0, I0] (I in LSBs) when swap_iq_1x is '0'
+ input wire swap_iq_2x,
+ output wire [127:0] adc_out_2x,
+ output wire valid_out_2x
+);
+
+ // Re-create the 1x clock in the 2x domain to produce a deterministic
+ // crossing.
+ reg toggle_1x, toggle_2x = 1'b0, toggle_2x_dly = 1'b0, valid_2x = 1'b0, valid_dly_2x = 1'b0;
+ reg [127:0] data_out_2x = 128'b0, adc_q_data_in_2x = 128'b0, adc_i_data_in_2x = 128'b0;
+
+ // Create a toggle in the 1x clock domain (clock divider /2).
+ always @(posedge clk1x or negedge reset_n_1x) begin
+ if ( ! reset_n_1x) begin
+ toggle_1x <= 1'b0;
+ end else begin
+ toggle_1x <= ! toggle_1x;
+ end
+ end
+
+ // Transfer the toggle from the 1x to the 2x domain. Delay the toggle in the
+ // 2x domain by one cycle and compare it to the non-delayed version. When
+ // they differ, push data_in[63:0] onto the output. When the match, push
+ // [127:64] onto the output. The datasheet is unclear on the exact
+ // implementation.
+ //
+ // It is safe to not reset this domain because all of the input signals will
+ // be cleared by the 1x reset. Safe default values are assigned to all these
+ // registers.
+ always @(posedge clk2x) begin
+ toggle_2x <= toggle_1x;
+ toggle_2x_dly <= toggle_2x;
+ adc_q_data_in_2x <= adc_q_in_1x;
+ adc_i_data_in_2x <= adc_i_in_1x;
+ data_out_2x <= 128'b0;
+ // Place Q in the MSBs, I in the LSBs by default, unless swapped = 1.
+ if (valid_2x) begin
+ if (swap_iq_2x) begin
+ if (toggle_2x != toggle_2x_dly) begin
+ data_out_2x <= {adc_i_data_in_2x[63:48], adc_q_data_in_2x[63:48],
+ adc_i_data_in_2x[47:32], adc_q_data_in_2x[47:32],
+ adc_i_data_in_2x[31:16], adc_q_data_in_2x[31:16],
+ adc_i_data_in_2x[15: 0], adc_q_data_in_2x[15: 0]};
+ end else begin
+ data_out_2x <= {adc_i_data_in_2x[127:112], adc_q_data_in_2x[127:112],
+ adc_i_data_in_2x[111: 96], adc_q_data_in_2x[111: 96],
+ adc_i_data_in_2x[95 : 80], adc_q_data_in_2x[95 : 80],
+ adc_i_data_in_2x[79 : 64], adc_q_data_in_2x[79 : 64]};
+ end
+ end else begin
+ if (toggle_2x != toggle_2x_dly) begin
+ data_out_2x <= {adc_q_data_in_2x[63:48], adc_i_data_in_2x[63:48],
+ adc_q_data_in_2x[47:32], adc_i_data_in_2x[47:32],
+ adc_q_data_in_2x[31:16], adc_i_data_in_2x[31:16],
+ adc_q_data_in_2x[15: 0], adc_i_data_in_2x[15: 0]};
+ end else begin
+ data_out_2x <= {adc_q_data_in_2x[127:112], adc_i_data_in_2x[127:112],
+ adc_q_data_in_2x[111: 96], adc_i_data_in_2x[111: 96],
+ adc_q_data_in_2x[95 : 80], adc_i_data_in_2x[95 : 80],
+ adc_q_data_in_2x[79 : 64], adc_i_data_in_2x[79 : 64]};
+ end
+ end
+ end
+ // Valid is simply a transferred version of the 1x clock's valid. Delay it one
+ // more cycle to align outputs.
+ valid_2x <= valid_in_1x && enable_1x;
+ valid_dly_2x <= valid_2x;
+ end
+
+ assign adc_out_2x = data_out_2x;
+ assign valid_out_2x = valid_dly_2x;
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/400m/dac_gearbox_12x8.vhd b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_12x8.vhd
new file mode 100644
index 000000000..80f519aa6
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_12x8.vhd
@@ -0,0 +1,233 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: dac_gearbox_12x8
+--
+-- Description:
+--
+-- Gearbox to expand the data width from 12 SPC to 8 SPC.
+-- Input Clocks, all aligned to one another and coming from same MMCM.
+-- PLL reference clock = 61.44 or 62.5 MHz.
+-- RfClk: 184.32 or 187.5 MHz (3x PLL reference clock)
+-- Clk1x: 122.88 or 125 MHz (2x PLL reference clock)
+-- Clk2x: 245.76 or 250 MHz (4x PLL reference clock)
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity dac_gearbox_12x8 is
+ port(
+ Clk1x : in std_logic;
+ RfClk : in std_logic;
+ ac1Reset_n : in std_logic;
+ arReset_n : in std_logic;
+ -- Data packing: [Q11,I11,Q10,I10,...,Q3,I3,Q2,I2,Q1,I1,Q0,I0] (I in LSBs)
+ c1DataIn : in std_logic_vector(383 downto 0);
+ c1DataValidIn : in std_logic;
+ -- Data packing: [Q7,I7,Q6,I6,...,Q3,I3,Q2,I2,Q1,I1,Q0,I0] (I in LSBs)
+ rDataOut : out std_logic_vector(255 downto 0) := (others => '0');
+ rReadyForOutput : in std_logic;
+ rDataValidOut : out std_logic
+ );
+end dac_gearbox_12x8;
+
+architecture RTL of dac_gearbox_12x8 is
+
+ constant kDataWidth : natural := 16;
+
+ constant kDataI0Lsb : natural := 0;
+ constant kDataI0Msb : natural := kDataWidth-1;
+ constant kDataQ0Lsb : natural := kDataI0Msb+1;
+ constant kDataQ0Msb : natural := kDataQ0Lsb+kDataWidth-1;
+ constant kDataI1Lsb : natural := kDataQ0Msb+1;
+ constant kDataI1Msb : natural := kDataI1Lsb+kDataWidth-1;
+ constant kDataQ1Lsb : natural := kDataI1Msb+1;
+ constant kDataQ1Msb : natural := kDataQ1Lsb+kDataWidth-1;
+ constant kDataI2Lsb : natural := kDataQ1Msb+1;
+ constant kDataI2Msb : natural := kDataI2Lsb+kDataWidth-1;
+ constant kDataQ2Lsb : natural := kDataI2Msb+1;
+ constant kDataQ2Msb : natural := kDataQ2Lsb+kDataWidth-1;
+ constant kDataI3Lsb : natural := kDataQ2Msb+1;
+ constant kDataI3Msb : natural := kDataI3Lsb+kDataWidth-1;
+ constant kDataQ3Lsb : natural := kDataI3Msb+1;
+ constant kDataQ3Msb : natural := kDataQ3Lsb+kDataWidth-1;
+ constant kDataI4Lsb : natural := kDataQ3Msb+1;
+ constant kDataI4Msb : natural := kDataI4Lsb+kDataWidth-1;
+ constant kDataQ4Lsb : natural := kDataI4Msb+1;
+ constant kDataQ4Msb : natural := kDataQ4Lsb+kDataWidth-1;
+ constant kDataI5Lsb : natural := kDataQ4Msb+1;
+ constant kDataI5Msb : natural := kDataI5Lsb+kDataWidth-1;
+ constant kDataQ5Lsb : natural := kDataI5Msb+1;
+ constant kDataQ5Msb : natural := kDataQ5Lsb+kDataWidth-1;
+ constant kDataI6Lsb : natural := kDataQ5Msb+1;
+ constant kDataI6Msb : natural := kDataI6Lsb+kDataWidth-1;
+ constant kDataQ6Lsb : natural := kDataI6Msb+1;
+ constant kDataQ6Msb : natural := kDataQ6Lsb+kDataWidth-1;
+ constant kDataI7Lsb : natural := kDataQ6Msb+1;
+ constant kDataI7Msb : natural := kDataI7Lsb+kDataWidth-1;
+ constant kDataQ7Lsb : natural := kDataI7Msb+1;
+ constant kDataQ7Msb : natural := kDataQ7Lsb+kDataWidth-1;
+
+ subtype Word_t is std_logic_vector(383 downto 0);
+ type Words_t is array(natural range<>) of Word_t;
+
+ signal rDataInDly : Words_t(3 downto 0);
+
+ signal rDataValidDly : std_logic_vector(3 downto 0) := (others => '0');
+
+ signal c1PhaseCount, c1DataValidInDly : std_logic := '0';
+ signal rPhaseShiftReg : std_logic_vector(2 downto 0);
+
+begin
+
+ -----------------------------------------------------------------------------
+ -- Data Packing 12 SPC to 8 SPC
+ -----------------------------------------------------------------------------
+
+ Clk1xDataCount: process(ac1Reset_n, Clk1x)
+ begin
+ if ac1Reset_n = '0' then
+ c1PhaseCount <= '0';
+ c1DataValidInDly <= '0';
+ elsif rising_edge(Clk1x) then
+ c1DataValidInDly <= c1DataValidIn;
+ c1PhaseCount <= (not c1PhaseCount) and (c1DataValidIn or c1DataValidInDly);
+ end if;
+ end process;
+
+ DataClkCrossing: process(RfClk)
+ begin
+ if rising_edge(RfClk) then
+ rDataInDly <= rDataInDly(rDataInDly'high-1 downto 0) & c1DataIn;
+ end if;
+ end process;
+
+ -- Store clock phase information in a shift register. The shift register
+ -- is a 3 bit register and it used in output data packer.
+ PhaseClkCrossing: process(arReset_n,RfClk)
+ begin
+ if arReset_n = '0' then
+ rPhaseShiftReg <= (others => '0');
+ elsif rising_edge(RfClk) then
+ rPhaseShiftReg(2 downto 1) <= rPhaseShiftReg(1 downto 0);
+ rPhaseShiftReg(0) <= c1PhaseCount;
+ end if;
+ end process;
+
+ -----------------------------------------------------------------------------
+ --
+ -- Timing diagram: Data valid is asserted when both clock are edge aligned.
+ --
+ -- | | |
+ -- v <-Clocks edge aligned v v
+ -- Clk1x ¯¯\____/¯¯¯¯¯\_____/¯¯¯¯¯\_____/¯¯¯¯¯\_____/¯¯¯¯¯\_____/¯¯¯¯¯\___
+ -- |
+ -- v <- O/p data valid assertion
+ -- RfClk ¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯
+ -- | | |
+ -- c1DataValid _/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ -- | | |
+ -- c1DValidDly _________/¯¯¯¯¯¯¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ -- | | |
+ -- c1PhaseCount _______/¯¯¯¯¯¯¯¯¯¯¯¯\|_______|__/¯¯¯¯|¯¯¯¯¯¯¯\__________/¯¯
+ -- | | |
+ -- v <- rPhaseSR= "001"
+ -- rPhaseSR(0) ________________/¯¯¯¯¯¯¯¯\_____|_______|_/¯¯¯¯¯¯¯\_________________
+ -- | |
+ -- v <- rPhaseSR= "010"
+ -- rPhaseSR(1) _________________________/¯¯¯¯¯¯¯¯\____|__________/¯¯¯¯¯¯¯\____________
+ -- |
+ -- v <- rPhaseSR= "100"
+ -- rPhaseSR(2) __________________________________/¯¯¯¯¯¯¯¯\_______________/¯¯¯¯¯¯¯\___
+ --
+ -- rDValidDly0 _________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ --
+ -- rDValidDly1 _________________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ --
+ -- rDValidDly2 __________________________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ --
+ -- In this design use a single bit counter on the input clock (Clk1x) domain
+ -- and pass it to the RfClk domain. When data valid is asserted when both
+ -- clocks are rising edge aligned, only one bit in rPhaseSR high, the
+ -- remaining bits are zero. We use the position of the bit counter in the
+ -- shift register to do data packing.
+ --
+ --
+ -- Timing diagram: When data valid is asserted when both clock are NOT edge
+ -- aligned.
+ --
+ -- | | |
+ -- v <-Clocks edge aligned v v
+ -- Clk1x ¯¯\____/¯¯¯¯¯\_____/¯¯¯¯¯\_____/¯¯¯¯¯\_____/¯¯¯¯¯\_____/¯¯¯¯¯\___
+ -- |
+ -- v <- O/p data valid assertion
+ -- RfClk ¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯¯¯\___/¯
+ -- | | | |
+ -- c1DataValid ________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ -- | | | |
+ -- c1DValidDly ___________________/¯¯¯¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯|¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ -- | | | |
+ -- c1PhaseCount ___________________/¯¯¯¯¯¯¯¯¯¯|¯\_____|_____/¯|¯¯¯¯¯¯¯|¯¯\__________/¯¯
+ -- | | | |
+ -- v <- rPhaseSR= "001" |
+ -- rPhaseSR(0) ________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯|¯\_____|_/¯¯¯¯¯|¯¯¯¯¯¯¯¯¯¯
+ -- | | |
+ -- v <- rPhaseSR= "011"
+ -- rPhaseSR(1) ________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯|¯\_____|_/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ -- | |
+ -- v <- rPhaseSR= "110"
+ -- rPhaseSR(2) ________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯\_______/¯¯¯¯¯¯¯¯¯¯
+ -- ^
+ -- | <- rPhaseSR= "101"
+ --
+ -- rDValidDly0 _________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ --
+ -- rDValidDly1 _________________________/¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯
+ --
+ -- The above timing diagram is when input data valid is asserted when both
+ -- clocks rising edges are not aligned. In this case the more than one bit in
+ -- rPhaseSR is asserted which is unique to this case. As mentioned in the
+ -- above case, we use rPhaseSR value to determine data packing.
+
+ -- Output Data Packer
+ DataOut: process(RfClk)
+ begin
+ if rising_edge(RfClk) then
+ -- rPhaseShiftReg = "011"
+ rDataOut <= rDataInDly(2)(kDataQ7Msb downto kDataI0Lsb);
+ if rPhaseShiftReg = "110" or rPhaseShiftReg = "100" then
+ rDataOut <= rDataInDly(2)(kDataQ3Msb downto kDataI0Lsb) &
+ rDataInDly(3)(c1DataIn'length-1 downto kDataQ7Msb+1);
+ elsif rPhaseShiftReg = "101" or rPhaseShiftReg = "001" then
+ rDataOut <= rDataInDly(3)(c1DataIn'length-1 downto kDataI4Lsb);
+ elsif rPhaseShiftReg = "010" then
+ rDataOut <= rDataInDly(3)(kDataQ7Msb downto kDataI0Lsb);
+ end if;
+ end if;
+ end process;
+
+ DataValidOut: process(RfClk, arReset_n)
+ begin
+ if arReset_n = '0' then
+ rDataValidDly <= (others => '0');
+ rDataValidOut <= '0';
+ elsif rising_edge(RfClk) then
+ rDataValidDly <= rDataValidDly(rDataValidDly'left-1 downto 0) &
+ c1DataValidIn;
+
+ -- Data valid out asserting based on phase alignment RfClk and Clk1x.
+ -- When RfClk and Clk1x are not phase aligned.
+ rDataValidOut <= rDataValidDly(2) and rReadyForOutput;
+
+ -- When RfClk and Clk1x are phase aligned.
+ if (rPhaseShiftReg(2) xor rPhaseShiftReg(1) xor rPhaseShiftReg(0)) = '1' then
+ rDataValidOut <= rDataValidDly(2) and rDataValidDly(3) and rReadyForOutput;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/400m/dac_gearbox_4x2.v b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_4x2.v
new file mode 100644
index 000000000..43fb39ec4
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_4x2.v
@@ -0,0 +1,80 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: dac_gearbox_4x2
+//
+// Description:
+//
+// Gearbox DAC data from 4 SPC to 2 SPC and corresponding 2x clock to 1x
+// clock.
+// This module incurs in one clk1x cycle of delay on the data and valid
+// signals from input on the 1x domain to output on the 2x domain.
+//
+
+`default_nettype none
+
+module dac_gearbox_4x2 (
+ input wire clk1x,
+ input wire reset_n_1x,
+ // Data is _presumed_ to be packed [Q3,I3,Q2,I2,Q1,I1,Q0,I0]
+ input wire [127:0] data_in_1x,
+ input wire valid_in_1x,
+ output wire ready_out_1x,
+
+ input wire clk2x,
+ // Data is packed [Q1,I1,Q0,I0] (I in LSBs)
+ output wire [ 63:0] data_out_2x,
+ output wire valid_out_2x
+);
+
+ // Re-create the 1x clock in the 2x domain to produce a deterministic
+ // crossing.
+ reg toggle_1x, toggle_2x = 1'b0, toggle_2x_dly = 1'b0, valid_2x = 1'b0, valid_dly_2x = 1'b0;
+ reg [127:0] data_in_2x_dly0 = 128'b0, data_in_2x_dly1 = 32'b0;
+ reg [63 :0] data_2x_dly = 64'b0;
+
+ // Create a toggle in the 1x clock domain (clock divider /2).
+ always @(posedge clk1x or negedge reset_n_1x) begin
+ if ( ! reset_n_1x) begin
+ toggle_1x <= 1'b0;
+ end else begin
+ toggle_1x <= ! toggle_1x;
+ end
+ end
+
+ // Transfer the toggle from the 1x to the 2x domain. Delay the toggle in the
+ // 2x domain by one cycle and compare it to the non-delayed version. When
+ // they differ, push data_in[63:0] onto the output. When they match, push
+ // [127:64] onto the output.
+ //
+ // It is safe to not reset this domain because all of the input signals will
+ // be cleared by the 1x reset. Safe default values are assigned to all these
+ // registers.
+ always @(posedge clk2x) begin
+ toggle_2x <= toggle_1x;
+ toggle_2x_dly <= toggle_2x;
+ data_in_2x_dly0 <= data_in_1x;
+ data_in_2x_dly1 <= data_in_2x_dly0 ;
+ data_2x_dly <= 64'b0;
+
+ if (valid_2x) begin
+ data_2x_dly <= data_in_2x_dly1[127:64];
+ if (toggle_2x != toggle_2x_dly) begin
+ data_2x_dly <= data_in_2x_dly0[63:0];
+ end
+ end
+ // Valid is simply a transferred version of the 1x clock's valid. Delay it
+ // one more cycle to align outputs.
+ valid_2x <= valid_in_1x;
+ valid_dly_2x <= valid_2x;
+ end
+
+ assign valid_out_2x = valid_dly_2x;
+ assign data_out_2x = data_2x_dly;
+ assign ready_out_1x = 1'b1;
+
+endmodule
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x12.vhd b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x12.vhd
new file mode 100644
index 000000000..878720c8d
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x12.vhd
@@ -0,0 +1,124 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: dac_gearbox_6x12
+--
+-- Description:
+--
+-- Gearbox to expand the data width from 6 SPC to 12 SPC.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity dac_gearbox_6x12 is
+ port(
+ Clk1x : in std_logic;
+ Clk2x : in std_logic;
+ ac1Reset_n : in std_logic;
+ ac2Reset_n : in std_logic;
+ -- 16 bit data packing: [Q5,I5,Q4,I4,Q3,I3,Q2,I2,Q1,I1,Q0,I0] (I in LSBs)
+ c2DataIn : in std_logic_vector(191 downto 0);
+ c2DataValidIn : in std_logic;
+ -- 16 bit data packing: [Q11,I11,Q10,I10,..,Q2,I2,Q1,I1,Q0,I0] (I in LSBs)
+ c1DataOut : out std_logic_vector(383 downto 0) := (others => '0');
+ c1DataValidOut : out std_logic := '0'
+ );
+end dac_gearbox_6x12;
+
+architecture RTL of dac_gearbox_6x12 is
+
+ subtype Word_t is std_logic_vector(191 downto 0);
+ type Words_t is array(natural range<>) of Word_t;
+
+ signal c1DataInDly, c2DataInDly : Words_t(2 downto 0);
+
+ signal c2DataValidInDly : std_logic_vector(1 downto 0) := (others => '0');
+ signal c1PhaseCount, c2PhaseCount : std_logic := '0';
+ signal c1DataValidIn, c1DataValidDly0 : std_logic := '0';
+
+begin
+
+ -- Input data pipeline.
+ InputValidPipeline: process(Clk2x, ac2Reset_n)
+ begin
+ if ac2Reset_n = '0' then
+ c2DataValidInDly <= (others => '0');
+ elsif rising_edge(Clk2x) then
+ c2DataValidInDly <= c2DataValidInDly(c2DataValidInDly'left-1 downto 0) &
+ c2DataValidIn;
+ end if;
+ end process;
+
+ InputDataPipeline: process(Clk2x)
+ begin
+ if rising_edge(Clk2x) then
+ c2DataInDly <= c2DataInDly(c2DataInDly'high-1 downto 0) & c2DataIn;
+ end if;
+ end process;
+
+ -- Process to determine if data valid was asserted when both clocks were
+ -- in-phase. Since we are crossing a 2x clock domain to a 1x clock domain,
+ -- there are only two possible phase. One is data valid assertion when both
+ -- clocks rising edges are aligned. The other case is data valid assertion
+ -- when Clk2x is aligned to the falling edge.
+ Clock2xPhaseCount: process(ac2Reset_n, Clk2x)
+ begin
+ if ac2Reset_n = '0' then
+ c2PhaseCount <= '0';
+ elsif rising_edge(Clk2x) then
+ -- This is a single bit counter. This counter is enabled for an extra
+ -- clock cycle to account for the output pipeline delay.
+ c2PhaseCount <= (not c2PhaseCount) and
+ (c2DataValidInDly(1) or c2DataValidInDly(0));
+ end if;
+ end process;
+
+ -- Crossing clock from Clk2x to Clk1x.
+ Clk2xToClk1xCrossing: process(Clk1x)
+ begin
+ if rising_edge(Clk1x) then
+ c1DataInDly <= c2DataInDly;
+ c1PhaseCount <= c2PhaseCount;
+ c1DataValidIn <= c2DataValidInDly(0);
+ end if;
+ end process;
+
+ -- Output data packing is determined based on when input data valid was
+ -- asserted. c1PhaseCount is '1' when input data valid was asserted when both
+ -- clocks are rising edge aligned. In this case, we can send data from the
+ -- with 1 and 2 pipeline delays.
+ -- When data valid is asserted when the two clock are not rising edge
+ -- aligned, we will use data from 2 and 3 pipeline delays.
+ DataOut: process(Clk1x)
+ begin
+ if rising_edge(Clk1x) then
+ c1DataOut <= c1DataInDly(1) & c1DataInDly(2);
+ if c1PhaseCount = '1' then
+ c1DataOut <= c1DataInDly(0) & c1DataInDly(1);
+ end if;
+ end if;
+ end process;
+
+ -- Similar to data output, when input data valid is asserted and both clocks
+ -- are rising edge aligned, the output data valid is asserted with a single
+ -- pipeline stage. If not, output data valid is asserted with two pipeline
+ -- stages.
+ DataValidOut: process(Clk1x, ac1Reset_n)
+ begin
+ if ac1Reset_n = '0' then
+ c1DataValidDly0 <= '0';
+ c1DataValidOut <= '0';
+ elsif rising_edge(Clk1x) then
+ c1DataValidDly0 <= c1DataValidIn;
+ c1DataValidOut <= c1DataValidDly0;
+ if c1PhaseCount = '1' then
+ c1DataValidOut <= c1DataValidIn;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x8.vhd b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x8.vhd
new file mode 100644
index 000000000..c673cd732
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/dac_gearbox_6x8.vhd
@@ -0,0 +1,99 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: dac_gearbox_6x8
+--
+-- Description:
+--
+-- Gearbox to expand the data width from 6 SPC to 8 SPC.
+-- Input Clocks, all aligned to one another and coming from same MMCM
+-- PLL reference clock = 61.44 or 62.5 MHz.
+-- RfClk: 184.32 or 187.5 MHz (3x PLL reference clock)
+-- Clk1x: 122.88 or 125 MHz (2x PLL reference clock)
+-- Clk2x: 245.76 or 250 MHz (4x PLL reference clock)
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity dac_gearbox_6x8 is
+ port(
+ Clk1x : in std_logic;
+ Clk2x : in std_logic;
+ RfClk : in std_logic;
+ ac1Reset_n : in std_logic;
+ ac2Reset_n : in std_logic;
+ arReset_n : in std_logic;
+ -- 16 bit data packing: [Q5,I5,Q4,I4,Q3,I3,Q2,I2,Q1,I1,Q0,I0] (I in LSBs)
+ c2DataIn : in std_logic_vector(191 downto 0);
+ c2DataValidIn : in std_logic;
+ -- 16 bit data packing: [Q7,I7,Q6,I6,..,Q2,I2,Q1,I1,Q0,I0] (I in LSBs)
+ rDataOut : out std_logic_vector(255 downto 0) := (others => '0');
+ rReadyForOutput : in std_logic;
+ rDataValidOut : out std_logic := '0'
+ );
+end dac_gearbox_6x8;
+
+architecture struct of dac_gearbox_6x8 is
+
+ signal c1DataOut : std_logic_vector(383 downto 0);
+ signal c1DataValidOut : std_logic;
+
+begin
+
+ -- Clk1x, Clk2x, and RfClk are source from the same PLL and have a known
+ -- phase relationship between power cycles. Since, they have known phase
+ -- relationship, clock crossing as be done without a dual clock FIFO or any
+ -- other handshaking mechanism. We cannot move data from Clk2x to RfClk
+ -- because of the clock relation between these two clocks will make it almost
+ -- impossible to close timing. So, we move data from Clk2x to Clk1x and then
+ -- to RfClk domain. Since, we need deterministic delay in the data path, we
+ -- cannot use a FIFO to do data crossing.
+ --
+ -- Clk1x = Sample clock/24
+ -- Clk2x = Sample clock/12
+ -- RfClk = Sample clock/16
+ --
+ -- Clk1x __/-----\_____/-----\_____/-----\_____/-----\_____/-----\___
+ -- | |
+ -- Clk2x __/--\__/--\__/--\__/--\__/--\ | |
+ -- | | | |
+ -- | | <- Setup relationship | | <- Setup relationship
+ -- | | | |
+ -- RfClk __/---\___/---\___/---\___/---\___/---\___/---\___/---\___/-
+ --
+ -- As you can see the setup relationship for passing data synchronously from
+ -- Clk2x to RfClk is very small (Sample clock period * 4). It is not possible
+ -- to close timing with this requirement. For passing data from Clk1x to
+ -- RfClk the setup relationship is (Sample clock period * 8) which is
+ -- relatively easy to close timing.
+
+ dac_gearbox_6x12_i: entity work.dac_gearbox_6x12 (RTL)
+ port map (
+ Clk1x => Clk1x,
+ Clk2x => Clk2x,
+ ac1Reset_n => ac1Reset_n,
+ ac2Reset_n => ac2Reset_n,
+ c2DataIn => c2DataIn,
+ c2DataValidIn => c2DataValidIn,
+ c1DataOut => c1DataOut,
+ c1DataValidOut => c1DataValidOut
+ );
+
+ dac_gearbox_12x8_i: entity work.dac_gearbox_12x8 (RTL)
+ port map (
+ Clk1x => Clk1x,
+ RfClk => RfClk,
+ ac1Reset_n => ac1Reset_n,
+ arReset_n => arReset_n,
+ c1DataIn => c1DataOut,
+ c1DataValidIn => c1DataValidOut,
+ rDataOut => rDataOut,
+ rReadyForOutput => rReadyForOutput,
+ rDataValidOut => rDataValidOut
+ );
+
+end struct;
diff --git a/fpga/usrp3/top/x400/rf/400m/ddc_400m_saturate.vhd b/fpga/usrp3/top/x400/rf/400m/ddc_400m_saturate.vhd
new file mode 100644
index 000000000..76104bdb4
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/ddc_400m_saturate.vhd
@@ -0,0 +1,81 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: ddc_400m_saturate
+--
+-- Description:
+--
+-- Saturation logic for reducing 2x24 bit words to 2x16 bit words. See
+-- comments below for full description.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library work;
+ use work.PkgRf.all;
+
+entity ddc_400m_saturate is
+ port(
+ Clk : in std_logic;
+ -- This data is from the DDC with a sample width of 17 bits and 7 bits of
+ -- padding. Data format is, [Q3,I3, ... , Q0,I0] (I in LSBs)
+ cDataIn : in std_logic_vector(191 downto 0);
+ cDataValidIn : in std_logic;
+ -- 16 bits saturated data. Data format is [Q3,I3, ... , Q0,I0] (I in LSBs)
+ cDataOut : out std_logic_vector(127 downto 0);
+ cDataValidOut : out std_logic );
+end ddc_400m_saturate;
+
+architecture RTL of ddc_400m_saturate is
+
+ signal cDataOutSamples : Samples16_t(7 downto 0) := (others => (others => '0'));
+ signal cDataInSamples : Samples17_t(cDataOutSamples'range);
+
+begin
+
+ -----------------------------------------------------------------------------
+ -- Saturation
+ --
+ -- The output of the Xilinx FIR Compiler has already been rounded on the LSB
+ -- side, but hasn't been saturated on the MSB side.
+ -- Coefficients = 18 bit, 1 integer bit (1.17)
+ -- Data In = 16 bits, 1 integer bit (1.15)
+ -- 1.17 * 1.15 = 2.32, and the Xilinx FIR core rounds to 2.15
+ -- Data Out = 17 bits, 2 integer bits (2.15), with 17 LSBs already rounded
+ -- off inside the FIR core.
+ -- We need to manually saturate the 2.15 number back to a 1.15 number
+ --
+ -- If 2 MSBs = 00, output <= input without MSB, e.g. positive number < 1
+ -- If 2 MSBs = 01, output <= 0.111111111111111, e.g. positive number >= 1
+ -- If 2 MSBs = 10, output <= 1.000000000000000, e.g. negative number < -1
+ -- If 2 MSBs = 11, output <= input without MSB, e.g. negative number >= -1
+ -----------------------------------------------------------------------------
+
+ -- Logic to saturate input data to 16-bit signed value. Information on DDC
+ -- data packer is in PkgRf.vhd.
+ cDataInSamples <= to_Samples17(cDataIn);
+ GenSat: for i in cDataOutSamples'range generate
+ Saturation:
+ process(Clk)
+ begin
+ if rising_edge(Clk) then
+ cDataOutSamples(i) <= Saturate(cDataInSamples(i));
+ end if;
+ end process;
+ end generate GenSat;
+
+ DValidPipeline: process(Clk)
+ begin
+ if rising_edge(Clk) then
+ -- Pipeline data valid to match the data.
+ cDataValidOut <= cDataValidIn;
+ end if;
+ end process;
+
+ cDataOut <= to_stdlogicvector(cDataOutSamples);
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/400m/duc_400m_saturate.vhd b/fpga/usrp3/top/x400/rf/400m/duc_400m_saturate.vhd
new file mode 100644
index 000000000..f2c1072bd
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/duc_400m_saturate.vhd
@@ -0,0 +1,86 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: duc_400m_saturate
+--
+-- Description:
+--
+-- Saturation logic for reducing 2x24 bit words to 2x16 bit words. See
+-- comments below for full description.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library work;
+ use work.PkgRf.all;
+
+entity duc_400m_saturate is
+ port(
+ Clk : in std_logic;
+ -- This data is from the DDC with a sample width of 18 bits and 6 bits of
+ -- padding. Data format is, [Q5,I5, ... , Q0,I0] (I in LSBs)
+ cDataIn : in std_logic_vector(287 downto 0);
+ cDataValidIn : in std_logic;
+ cReadyForInput : out std_logic;
+ -- 16 bits saturated data. Data format is [Q5,I5, ... , Q0,I0] (I in LSBs)
+ cDataOut : out std_logic_vector(191 downto 0);
+ cDataValidOut : out std_logic := '0');
+end duc_400m_saturate;
+
+architecture RTL of duc_400m_saturate is
+
+ signal cDataOutSamples : Samples16_t(11 downto 0) := (others => (others => '0'));
+ signal cDataInputSamples : Samples18_t(cDataOutSamples'range);
+
+begin
+
+ -----------------------------------------------------------------------------
+ -- Saturation
+ --
+ -- The output of the Xilinx FIR Compiler has already been rounded on the LSB
+ -- side, but hasn't been saturated on the MSB side.
+ -- Coefficients = 18 bit, 1 integer bit (1.17)
+ -- Data In = 16 bits, 1 integer bit (1.15)
+ -- Xilinx FIR core rounds to output to 3.31. The filter coefficients has a
+ -- gain of 3 to compensate for the amplitude loss in interpolation, the
+ -- Xilinx FIR core rounds the output to 3.15.
+ -- Data Out = 18 bits, 3 integer bits (3.15), with 16 LSBs already rounded
+ -- off inside the FIR core.
+ -- We need to manually saturate the 3.15 number back to a 1.15 number
+ --
+ -- If 3 MSBs = 000, output <= input without MSB, e.g. positive number < 1
+ -- If 3 MSBs = 0x1/01x, output <= 0.111111111111111, e.g. positive number >= 1
+ -- If 3 MSBs = 1x0/10x, output <= 1.000000000000000, e.g. negative number < -1
+ -- If 3 MSBs = 111, output <= input without MSB, e.g. negative number >= -1
+ -----------------------------------------------------------------------------
+
+ -- Logic to saturate input data to 16-bit signed value. Information on DUC data packer is in
+ -- PkgRf.vhd.
+ cDataInputSamples <= to_Samples18(cDataIn);
+ GenSat: for i in cDataOutSamples'range generate
+ Saturation:
+ process(Clk)
+ begin
+ if rising_edge(Clk) then
+ cDataOutSamples(i) <= Saturate(cDataInputSamples(i));
+ end if;
+ end process;
+ end generate GenSat;
+
+ DValidPipeline: process(Clk)
+ begin
+ if rising_edge(Clk) then
+ -- Pipeline data valid to match the data.
+ cDataValidOut <= cDataValidIn;
+ end if;
+ end process;
+
+ cDataOut <= to_stdlogicvector(cDataOutSamples);
+
+ cReadyForInput <= '1';
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/400m/rf_core_400m.v b/fpga/usrp3/top/x400/rf/400m/rf_core_400m.v
new file mode 100644
index 000000000..ef4556b35
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/400m/rf_core_400m.v
@@ -0,0 +1,387 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rf_core_400m
+//
+// Description:
+//
+// Top-level wrapper for the ADC/DAC processing logic. One of these wrappers
+// exists for every supported Data Rate. An instance of this core should
+// exist per dboard.
+//
+// Data/RF Specs:
+// DBs: 1
+// RX/DB: 2
+// TX/DB: 2
+// Data Rate: 122.88 or 125 MSps @ 4 SPC
+//
+// Input Clocks, all aligned to one another and coming from same MMCM
+// rfdc_clk: 184.32 or 187.5 MHz (3x pll_ref_clk)
+// rfdc_clk_2x: 368.64 or 375 MHz (6x pll_ref_clk)
+// data_clk: 122.88 or 125 MHz (2x pll_ref_clk)
+// data_clk_2x: 245.76 or 250 MHz (4x pll_ref_clk)
+//
+
+`default_nettype none
+
+module rf_core_400m (
+
+ //---------------------------------------------------------------------------
+ // Clocking
+ //---------------------------------------------------------------------------
+
+ // Main Clock Inputs
+ input wire rfdc_clk,
+ input wire rfdc_clk_2x,
+ input wire data_clk,
+ input wire data_clk_2x,
+
+ // AXI4-Lite Config Clock
+ // This clock is used to synchronize status bits for the RFDC
+ // registers in the AXI-S clock domain.
+ input wire s_axi_config_clk,
+
+
+ //---------------------------------------------------------------------------
+ // RFDC Data Interfaces
+ //---------------------------------------------------------------------------
+ // All ports here are in the rfdc_clk domain.
+
+ // ADC
+ input wire [127:0] adc_data_in_i_tdata_0,
+ output wire adc_data_in_i_tready_0,
+ input wire adc_data_in_i_tvalid_0,
+ input wire [127:0] adc_data_in_q_tdata_0,
+ output wire adc_data_in_q_tready_0,
+ input wire adc_data_in_q_tvalid_0,
+ input wire [127:0] adc_data_in_i_tdata_1,
+ output wire adc_data_in_i_tready_1,
+ input wire adc_data_in_i_tvalid_1,
+ input wire [127:0] adc_data_in_q_tdata_1,
+ output wire adc_data_in_q_tready_1,
+ input wire adc_data_in_q_tvalid_1,
+
+ // DAC
+ output wire [255:0] dac_data_out_tdata_0,
+ input wire dac_data_out_tready_0,
+ output wire dac_data_out_tvalid_0,
+ output wire [255:0] dac_data_out_tdata_1,
+ input wire dac_data_out_tready_1,
+ output wire dac_data_out_tvalid_1,
+
+
+ //---------------------------------------------------------------------------
+ // User Data Interfaces
+ //---------------------------------------------------------------------------
+ // All ports here are in the data_clk domain.
+
+ // ADC
+ output wire [127:0] adc_data_out_tdata_0, // Packed [Q3,I3, ... , Q0,I0] with Q in MSBs
+ output wire adc_data_out_tvalid_0,
+ output wire [127:0] adc_data_out_tdata_1, // Packed [Q3,I3, ... , Q0,I0] with Q in MSBs
+ output wire adc_data_out_tvalid_1,
+
+ // DAC
+ input wire [127:0] dac_data_in_tdata_0, // Packed [Q3,I3, ... , Q0,I0] with Q in MSBs
+ output wire dac_data_in_tready_0,
+ input wire dac_data_in_tvalid_0,
+ input wire [127:0] dac_data_in_tdata_1, // Packed [Q3,I3, ... , Q0,I0] with Q in MSBs
+ output wire dac_data_in_tready_1,
+ input wire dac_data_in_tvalid_1,
+
+ //---------------------------------------------------------------------------
+ // Miscellaneous
+ //---------------------------------------------------------------------------
+
+ // Invert I/Q control signals from RFDC to DSP chain.
+ input wire [3:0] invert_adc_iq_rclk2,
+ input wire [3:0] invert_dac_iq_rclk2,
+
+ // Control/status vectors from/to RFDC.
+ // Notice these are all in the s_axi_config_clk domain.
+ output wire [15:0] dsp_info_sclk,
+ output wire [15:0] axi_status_sclk,
+
+ // Resets.
+ input wire adc_data_out_resetn_dclk,
+ input wire adc_enable_data_rclk,
+ input wire adc_rfdc_axi_resetn_rclk,
+ input wire dac_data_in_resetn_dclk,
+ input wire dac_data_in_resetn_dclk2x,
+ input wire dac_data_in_resetn_rclk,
+ input wire fir_resetn_rclk2x,
+
+ // Version (Constant)
+ output wire [95:0] version_info
+);
+
+ `include "../../regmap/rfdc_regs_regmap_utils.vh"
+ `include "../../regmap/versioning_regs_regmap_utils.vh"
+ `include "../../regmap/versioning_utils.vh"
+
+ // Fixed for this implementation
+ localparam NUM_ADC_CHANNELS = 2;
+ localparam NUM_DAC_CHANNELS = 2;
+
+ // ADC data interface from RFDC.
+ wire [127:0] adc_data_in_i_tdata [0:7]; // 8 SPC (I)
+ wire [127:0] adc_data_in_q_tdata [0:7]; // 8 SPC (Q)
+ wire [ 7:0] adc_data_in_i_tready;
+ wire [ 7:0] adc_data_in_q_tready;
+ wire [ 7:0] adc_data_in_i_tvalid;
+ wire [ 7:0] adc_data_in_q_tvalid;
+ // DAC data interface to RFDC.
+ wire [255:0] dac_data_out_tdata [0:7]; // 8 SPC (I + Q)
+ wire [ 7:0] dac_data_out_tready;
+ wire [ 7:0] dac_data_out_tvalid;
+
+ // ADC data interface to user.
+ wire [127:0] adc_data_out_tdata [0:7]; // 4 SPC (I + Q)
+ wire [ 7:0] adc_data_out_tready;
+ wire [ 7:0] adc_data_out_tvalid;
+ // DAC data interface from user.
+ wire [127:0] dac_data_in_tdata_preswap [0:7]; // 4 SPC (I + Q)
+ wire [127:0] dac_data_in_tdata [0:7]; // 4 SPC (I + Q)
+ wire [ 7:0] dac_data_in_tready;
+ wire [ 7:0] dac_data_in_tvalid;
+
+ wire [ 7:0] invert_dac_iq_dclk;
+ wire [15:0] axi_status;
+
+
+ //---------------------------------------------------------------------------
+ // Resets, Debug and Misc.
+ //---------------------------------------------------------------------------
+
+ // Group all these status bits together. They don't toggle frequently so data
+ // coherency is not an issue here.
+ // Using constants for DB0 since the bits are the 16 LSBs in a 32-bit vector.
+ // DB1 simply uses the 16 MSBs when wiring the status vector.
+ assign axi_status[USER_ADC_TREADY_MSB :USER_ADC_TREADY ] = adc_data_out_tready[1:0];
+ assign axi_status[USER_ADC_TVALID_MSB :USER_ADC_TVALID ] = adc_data_out_tvalid[1:0];
+ assign axi_status[RFDC_ADC_I_TVALID_MSB:RFDC_ADC_I_TVALID] = adc_data_in_i_tvalid[1:0];
+ assign axi_status[RFDC_ADC_Q_TVALID_MSB:RFDC_ADC_Q_TVALID] = adc_data_in_q_tvalid[1:0];
+ assign axi_status[RFDC_ADC_I_TREADY_MSB:RFDC_ADC_I_TREADY] = adc_data_in_i_tready[1:0];
+ assign axi_status[RFDC_ADC_Q_TREADY_MSB:RFDC_ADC_Q_TREADY] = adc_data_in_q_tready[1:0];
+ assign axi_status[RFDC_DAC_TVALID_MSB :RFDC_DAC_TVALID ] = dac_data_out_tvalid[1:0];
+ assign axi_status[RFDC_DAC_TREADY_MSB :RFDC_DAC_TREADY ] = dac_data_out_tready[1:0];
+
+ synchronizer #(
+ .WIDTH (16),
+ .STAGES (2),
+ .INITIAL_VAL (0),
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_axis_status (
+ .clk (s_axi_config_clk),
+ .rst (1'b0),
+ .in (axi_status),
+ .out (axi_status_sclk)
+ );
+
+ // Drive the DSP info vector with information on this specific DSP chain.
+ assign dsp_info_sclk[FABRIC_DSP_BW_MSB :FABRIC_DSP_BW] = FABRIC_DSP_BW_400M;
+ assign dsp_info_sclk[FABRIC_DSP_RX_CNT_MSB:FABRIC_DSP_RX_CNT] = NUM_ADC_CHANNELS;
+ assign dsp_info_sclk[FABRIC_DSP_TX_CNT_MSB:FABRIC_DSP_TX_CNT] = NUM_DAC_CHANNELS;
+
+ //---------------------------------------------------------------------------
+ // ADC Post-Processing
+ //---------------------------------------------------------------------------
+
+ // Data comes from the RFDC as 8 SPC, separate streams for each channel and
+ // I/Q.
+ assign adc_data_in_i_tdata[0] = adc_data_in_i_tdata_0;
+ assign adc_data_in_q_tdata[0] = adc_data_in_q_tdata_0;
+ assign adc_data_in_i_tdata[1] = adc_data_in_i_tdata_1;
+ assign adc_data_in_q_tdata[1] = adc_data_in_q_tdata_1;
+
+ assign adc_data_in_i_tready_0 = adc_data_in_i_tready[0];
+ assign adc_data_in_i_tvalid[0] = adc_data_in_i_tvalid_0;
+ assign adc_data_in_q_tready_0 = adc_data_in_q_tready[0];
+ assign adc_data_in_q_tvalid[0] = adc_data_in_q_tvalid_0;
+ assign adc_data_in_i_tready_1 = adc_data_in_i_tready[1];
+ assign adc_data_in_i_tvalid[1] = adc_data_in_i_tvalid_1;
+ assign adc_data_in_q_tready_1 = adc_data_in_q_tready[1];
+ assign adc_data_in_q_tvalid[1] = adc_data_in_q_tvalid_1;
+
+ // ADC Data from the RFDC arrives here as 8 SPC with separate I and Q
+ // streams. It leaves the adc_100m_bd as 4 SPC with I and Q packed into a
+ // single 128 bit word.
+ genvar adc_num;
+ generate
+ for (adc_num=0; adc_num < (NUM_ADC_CHANNELS); adc_num = adc_num + 1)
+ begin : adc_gen
+ adc_400m_bd adc_400m_bd_gen (
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .data_clk (data_clk),
+ .enable_data_to_fir_rclk (adc_enable_data_rclk),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .rfdc_adc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .swap_iq_2x (invert_adc_iq_rclk2 [adc_num]),
+ .adc_q_data_in_tvalid (adc_data_in_q_tvalid[adc_num]),
+ .adc_q_data_in_tready (adc_data_in_q_tready[adc_num]),
+ .adc_q_data_in_tdata (adc_data_in_q_tdata [adc_num]),
+ .adc_i_data_in_tvalid (adc_data_in_i_tvalid[adc_num]),
+ .adc_i_data_in_tready (adc_data_in_i_tready[adc_num]),
+ .adc_i_data_in_tdata (adc_data_in_i_tdata [adc_num]),
+ .adc_data_out_tvalid (adc_data_out_tvalid [adc_num]),
+ .adc_data_out_tdata (adc_data_out_tdata [adc_num])
+ );
+ end
+ endgenerate
+
+ // Data is released to the user as 4 SPC, separate streams for each channel.
+ assign adc_data_out_tdata_0 = adc_data_out_tdata[0];
+ assign adc_data_out_tdata_1 = adc_data_out_tdata[1];
+
+ // There is no tready going to the ADC (one has to be always ready for ADC
+ // data), but it is still a component of the axi_status vector as a generic
+ // AXI stream status. Report 1'b1 to the status vector consistent with being
+ // always ready
+ assign adc_data_out_tready[0] = 1'b1;
+ assign adc_data_out_tvalid_0 = adc_data_out_tvalid[0];
+ assign adc_data_out_tready[1] = 1'b1;
+ assign adc_data_out_tvalid_1 = adc_data_out_tvalid[1];
+
+ //---------------------------------------------------------------------------
+ // DAC Pre-Processing
+ //---------------------------------------------------------------------------
+
+ // Data comes from the user as 4 SPC, separate streams for each channel.
+ assign dac_data_in_tdata_preswap[0] = dac_data_in_tdata_0;
+ assign dac_data_in_tdata_preswap[1] = dac_data_in_tdata_1;
+
+ assign dac_data_in_tready_0 = dac_data_in_tready[0];
+ assign dac_data_in_tvalid[0] = dac_data_in_tvalid_0;
+ assign dac_data_in_tready_1 = dac_data_in_tready[1];
+ assign dac_data_in_tvalid[1] = dac_data_in_tvalid_1;
+
+ // Optionally swap IQ data positions in the vector. First cross the swap
+ // vector over to the data_clk domain.
+ synchronizer #(
+ .WIDTH (8),
+ .STAGES (2),
+ .INITIAL_VAL (0),
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_invert_dac_iq (
+ .clk (data_clk),
+ .rst (1'b0),
+ .in (invert_dac_iq_rclk2),
+ .out (invert_dac_iq_dclk)
+ );
+
+ genvar dac_num;
+ generate
+ for (dac_num=0; dac_num < (NUM_DAC_CHANNELS); dac_num = dac_num + 1)
+ begin : dac_swap_gen
+ //IO and Q0 swap
+ assign dac_data_in_tdata[dac_num][15:00] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][31:16]) : (dac_data_in_tdata_preswap[dac_num][15:0]);
+ assign dac_data_in_tdata[dac_num][31:16] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][15:00]) : (dac_data_in_tdata_preswap[dac_num][31:16]);
+
+ //I1 and Q1 swap
+ assign dac_data_in_tdata[dac_num][47:32] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][63:48]) : (dac_data_in_tdata_preswap[dac_num][47:32]);
+ assign dac_data_in_tdata[dac_num][63:48] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][47:32]) : (dac_data_in_tdata_preswap[dac_num][63:48]);
+
+ //I2 and Q2 swap
+ assign dac_data_in_tdata[dac_num][79:64] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][95:80]) : (dac_data_in_tdata_preswap[dac_num][79:64]);
+ assign dac_data_in_tdata[dac_num][95:80] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][79:64]) : (dac_data_in_tdata_preswap[dac_num][95:80]);
+
+ //I3 and Q3 swap
+ assign dac_data_in_tdata[dac_num][111:96] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][127:112]) : (dac_data_in_tdata_preswap[dac_num][111:96]);
+ assign dac_data_in_tdata[dac_num][127:112] = invert_dac_iq_dclk[dac_num] ?
+ (dac_data_in_tdata_preswap[dac_num][111:96]) : (dac_data_in_tdata_preswap[dac_num][127:112]);
+
+ end
+ endgenerate
+
+ // These streams are then interpolated by dac_400m_bd, and form a single
+ // stream per channel, 8 SPC, packed: MSB [Sample7Q, Sample7I, ... ,
+ // Sample0Q, Sample0I] LSB.
+ generate
+ for (dac_num=0; dac_num < (NUM_DAC_CHANNELS); dac_num = dac_num + 1)
+ begin : dac_gen
+ dac_400m_bd dac_400m_bd_gen (
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_dclk2x (dac_data_in_resetn_dclk2x),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .dac_data_in_tdata (dac_data_in_tdata [dac_num]),
+ .dac_data_in_tready (dac_data_in_tready [dac_num]),
+ .dac_data_in_tvalid (dac_data_in_tvalid [dac_num]),
+ .dac_data_out_tdata (dac_data_out_tdata [dac_num]),
+ .dac_data_out_tready (dac_data_out_tready[dac_num]),
+ .dac_data_out_tvalid (dac_data_out_tvalid[dac_num]),
+ .data_clk (data_clk),
+ .data_clk_2x (data_clk_2x),
+ .rfdc_clk (rfdc_clk)
+ );
+ end
+ endgenerate
+
+ // Data is released to the RFDC as 8 SPC, separate streams per channel (I/Q
+ // together).
+ assign dac_data_out_tdata_0 = dac_data_out_tdata[0];
+ assign dac_data_out_tdata_1 = dac_data_out_tdata[1];
+
+ assign dac_data_out_tready[0] = dac_data_out_tready_0;
+ assign dac_data_out_tvalid_0 = dac_data_out_tvalid[0];
+ assign dac_data_out_tready[1] = dac_data_out_tready_1;
+ assign dac_data_out_tvalid_1 = dac_data_out_tvalid[1];
+
+
+ //---------------------------------------------------------------------------
+ // Version
+ //---------------------------------------------------------------------------
+
+ // Version metadata, constants come from auto-generated
+ // versioning_regs_regmap_utils.vh
+ assign version_info = build_component_versions(
+ RF_CORE_400M_VERSION_LAST_MODIFIED_TIME,
+ build_version(
+ RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MAJOR,
+ RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MINOR,
+ RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_BUILD
+ ),
+ build_version(
+ RF_CORE_400M_CURRENT_VERSION_MAJOR,
+ RF_CORE_400M_CURRENT_VERSION_MINOR,
+ RF_CORE_400M_CURRENT_VERSION_BUILD
+ )
+ );
+
+endmodule
+
+`default_nettype wire
+
+//XmlParse xml_on
+//<regmap name="VERSIONING_REGS_REGMAP">
+// <group name="VERSIONING_CONSTANTS">
+// <enumeratedtype name="RF_CORE_400M_VERSION" showhex="true">
+// <info>
+// 400 MHz RF core.{BR/}
+// For guidance on when to update these revision numbers,
+// please refer to the register map documentation accordingly:
+// <li> Current version: @.VERSIONING_REGS_REGMAP..CURRENT_VERSION
+// <li> Oldest compatible version: @.VERSIONING_REGS_REGMAP..OLDEST_COMPATIBLE_VERSION
+// <li> Version last modified: @.VERSIONING_REGS_REGMAP..VERSION_LAST_MODIFIED
+// </info>
+// <value name="RF_CORE_400M_CURRENT_VERSION_MAJOR" integer="1"/>
+// <value name="RF_CORE_400M_CURRENT_VERSION_MINOR" integer="0"/>
+// <value name="RF_CORE_400M_CURRENT_VERSION_BUILD" integer="0"/>
+// <value name="RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MAJOR" integer="1"/>
+// <value name="RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_MINOR" integer="0"/>
+// <value name="RF_CORE_400M_OLDEST_COMPATIBLE_VERSION_BUILD" integer="0"/>
+// <value name="RF_CORE_400M_VERSION_LAST_MODIFIED_TIME" integer="0x20102617"/>
+// </enumeratedtype>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/rf/common/PkgRf.vhd b/fpga/usrp3/top/x400/rf/common/PkgRf.vhd
new file mode 100644
index 000000000..9b31a7a02
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/PkgRf.vhd
@@ -0,0 +1,220 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: PkgRf
+--
+-- Description:
+--
+-- This package has some type definition and functions used in the RF data
+-- chain.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+package PkgRf is
+
+ -- DDC sample data out width.
+ constant kDdcDataOutWidth : natural := 17;
+ -- Each sample is padded in MSB with 7 extra bits of zero to byte align.
+ constant kDdcDataWordWidth : natural := kDdcDataOutWidth+7;
+ -- DUC sample data out width.
+ constant kDucDataOutWidth : natural := 18;
+ -- Each sample is padded in MSB with 6 extra bits of zero to byte align.
+ constant kDucDataWordWidth : natural := kDucDataOutWidth+6;
+ -- Saturated data output width.
+ constant kSatDataWidth : natural := 16;
+ -- ADC sample resolution.
+ constant kAdcSampleRes : natural := 16;
+
+ subtype Sample18_t is signed(17 downto 0);
+ subtype Sample17_t is signed(16 downto 0);
+ subtype Sample16_t is signed(15 downto 0);
+ subtype Sample16slv_t is std_logic_vector(15 downto 0);
+
+ type Samples16_t is array(natural range<>) of Sample16_t;
+ type Samples17_t is array(natural range<>) of Sample17_t;
+ type Samples18_t is array(natural range<>) of Sample18_t;
+
+ -- These constants have the largest and smallest 18-bit, 17-bit, and 16-bit
+ -- signed values.
+ constant kLargest18 : Sample18_t := to_signed(2**17 - 1, 18);
+ constant kSmallest18 : Sample18_t := to_signed(-2**17, 18);
+ constant kLargest17 : Sample17_t := to_signed(2**16 - 1, 17);
+ constant kSmallest17 : Sample17_t := to_signed(-2**16, 17);
+ constant kLargest16 : Sample16_t := to_signed(2**15 - 1, 16);
+ constant kSmallest16 : Sample16_t := to_signed(-2**15, 16);
+
+ function Saturate(s : signed ) return Sample16_t;
+ function to_stdlogicvector(d : Samples16_t) return std_logic_vector;
+ function to_Samples16(d : std_logic_vector) return Samples16_t;
+ function to_Samples17(d : std_logic_vector) return Samples17_t;
+ function to_Samples18(d : std_logic_vector) return Samples18_t;
+ -- Shift the ADC sample to the left by 1 bit.
+ function Gain2x(d : std_logic_vector) return std_logic_vector;
+ function Gain2x(s : Samples16_t) return Samples16_t;
+ --synopsys translate_off
+ function tb_saturate(s: std_logic_vector) return Sample16slv_t;
+ --synopsys translate_on
+
+end package PkgRf;
+
+
+package body PkgRf is
+
+ -- Function to saturate any signed number greater then 16 bits.
+ -- A saturated 16-bit data is returned.
+ function Saturate ( s : signed) return Sample16_t is
+ begin
+ if s > kLargest16 then
+ return kLargest16;
+ elsif s < kSmallest16 then
+ return kSmallest16;
+ else
+ return resize(s, 16);
+ end if;
+ end function Saturate;
+
+ -- This function will convert 16 bit signed array into a single
+ -- std_logic_vector.
+ function to_stdlogicvector(d : Samples16_t) return std_logic_vector is
+ -- This alias is used to normalize the input vector to [d'length-1 downto 0]
+ alias normalD : Samples16_t(d'length-1 downto 0) is d;
+ variable rval : std_logic_vector(d'length * 16 - 1 downto 0);
+ constant dataWidth : natural := Sample16_t'length;
+ begin
+ for i in normalD'range loop
+ rval(i*dataWidth + dataWidth-1 downto i*dataWidth)
+ := std_logic_vector(normalD(i));
+ end loop;
+ return rval;
+ end function to_stdlogicvector;
+
+ -- This function will convert a std_logic_vector into an array of 18 bit
+ -- signed array. The input std_logic_vector has data packed in 24 bits. But
+ -- only 18 bits has valid data and remaining 6 MSB bits are padded with
+ -- zeros.
+ function to_Samples18(d : std_logic_vector) return Samples18_t is
+ -- This alias is used to normalize the input vector to [d'length-1 downto 0]
+ alias normalD : std_logic_vector(d'length-1 downto 0) is d;
+ variable rval : Samples18_t(d'length / kDucDataWordWidth - 1 downto 0);
+ begin
+ --synopsys translate_off
+ assert (((d'length) mod kDucDataWordWidth) = 0)
+ report "Input to the function to_Samples18 must be a multiple of kDucDataWordWidth"
+ severity error;
+ --synopsys translate_on
+ for i in rval'range loop
+ rval(i) := Sample18_t(normalD(i*kDucDataWordWidth + Sample18_t'length-1
+ downto i*kDucDataWordWidth));
+ end loop;
+ return rval;
+ end function to_Samples18;
+
+ -- This function will convert a std_logic_vector into an array of 16 bit
+ -- signed array. The input std_logic_vector has data packed in 16 bits. But
+ -- only 15 bits has valid data and the uper two bits only have the signed
+ -- bit.
+ function to_Samples16(d : std_logic_vector) return Samples16_t is
+ -- This alias is used to normalize the input vector to [d'length-1 downto 0]
+ alias normalD : std_logic_vector(d'length-1 downto 0) is d;
+ variable rval : Samples16_t(d'length / kAdcSampleRes - 1 downto 0);
+ begin
+ --synopsys translate_off
+ assert (((d'length) mod kAdcSampleRes) = 0)
+ report "Input to the function to_Samples16 must be a multiple of kAdcSampleRes"
+ severity error;
+ --synopsys translate_on
+ for i in rval'range loop
+ rval(i) := Sample16_t(normalD(i*kAdcSampleRes + Sample16_t'length-1
+ downto i*kAdcSampleRes));
+ end loop;
+ return rval;
+ end function to_Samples16;
+
+ -- This function will convert a std_logic_vector into an array of 19 bit
+ -- signed array. The input std_logic_vector has data packed in 24 bits. But
+ -- only 17 bits has valid data and remaining 7 MSB bits are padded with
+ -- zeros.
+ function to_Samples17(d : std_logic_vector) return Samples17_t is
+ -- This alias is used to normalize the input vector to [d'length-1 downto 0]
+ alias normalD : std_logic_vector(d'length-1 downto 0) is d;
+ variable rval : Samples17_t(d'length / kDdcDataWordWidth - 1 downto 0);
+ begin
+ --synopsys translate_off
+ assert (((d'length) mod kDdcDataWordWidth) = 0)
+ report "Input to the function to_Samples17 must be a multiple of kDdcDataWordWidth"
+ severity error;
+ --synopsys translate_on
+ for i in rval'range loop
+ rval(i) := Sample17_t(normalD(i*kDdcDataWordWidth + Sample17_t'length-1
+ downto i*kDdcDataWordWidth));
+ end loop;
+ return rval;
+ end function to_Samples17;
+
+ -- Function to shift the sample to the left by one bit and effectively
+ -- multiply by 2.
+ function Gain2x(s : Samples16_t) return Samples16_t is
+ variable rval : Samples16_t(s'range);
+ begin
+ for i in rval'range loop
+ rval(i) := s(i)(kAdcSampleRes-2 downto 0) & '0';
+ end loop;
+ return rval;
+ end function Gain2x;
+
+ function Gain2x (d : std_logic_vector) return std_logic_vector is
+ begin
+ return to_stdlogicvector(Gain2x(to_Samples16(d)));
+ end function;
+
+ --synopsys translate_off
+ ---------------------------------------------------------------
+ -- Function below this comment is used only for testbench.
+ ---------------------------------------------------------------
+ -- This function does saturation of a signed number in std_logic_vector data
+ -- type. The current implementation supports only 17 or 18 bit signed
+ -- number.
+ function tb_saturate(s: std_logic_vector) return Sample16slv_t is
+ -- This alias is used to normalize the input vector to [s'length-1 downto 0]
+ alias normalS : std_logic_vector(s'length-1 downto 0) is s;
+ variable rval : Sample16slv_t;
+ constant len : integer := s'length;
+ begin
+
+ -- If 2 MSBs = 00, output <= input without MSB, e.g. positive number < 1
+ -- If 2 MSBs = 01, output <= 0.111111111111111, e.g. positive number >= 1
+ -- If 2 MSBs = 10, output <= 1.000000000000000, e.g. negative number < -1
+ -- If 2 MSBs = 11, output <= input without MSB, e.g. negative number >= -1
+ if len = kDdcDataOutWidth then
+ if normalS(len-1 downto len-2) = "01" then
+ rval := "0111111111111111";
+ elsif normalS(len-1 downto len-2) = "10" then
+ rval := "1000000000000000";
+ else
+ rval := normalS(len-2 downto 0);
+ end if;
+
+ -- If 3 MSBs = 000, output <= input without MSB, e.g. positive number < 1
+ -- If 3 MSBs = 0x1/01x, output <= 0.111111111111111, e.g. positive number >= 1
+ -- If 3 MSBs = 1x0/10x, output <= 1.000000000000000, e.g. negative number < -1
+ -- If 3 MSBs = 111, output <= input without MSB, e.g. negative number >= -1
+ else -- len = kDucDataOutWidth
+ if normalS(len-1) = '0' and normalS(len-2 downto len-3) /= "00" then
+ rval := "0111111111111111";
+ elsif (normalS(len-1 downto len-3) = "000") or
+ (normalS(len-1 downto len-3) = "111") then
+ rval := normalS(len-3 downto 0);
+ else
+ rval := "1000000000000000";
+ end if;
+ end if;
+ return rval;
+ end function tb_saturate;
+ --synopsys translate_on
+
+end package body;
diff --git a/fpga/usrp3/top/x400/rf/common/axis_mux.vhd b/fpga/usrp3/top/x400/rf/common/axis_mux.vhd
new file mode 100644
index 000000000..f0ead246f
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/axis_mux.vhd
@@ -0,0 +1,98 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: axis_mux
+--
+-- Description:
+--
+-- This module implements a data mux for a single AXIS bus. When
+-- mux_select='0' m_axis_tdata comes from s_axis_tdata. mux_select='1'
+-- chooses GPIO as the output data.
+--
+-- This module IS NOT useful for crossing clock domain boundaries s_axis_aclk
+-- and m_axis_mclk must be connected to the same clock.
+--
+-- This mux is intended for muxing in constant calibration data from gpio.
+-- gpio and mux_select are expected to be asynchronous to s_axis_aclk, but
+-- this module includes no synchronization logic. When mux_select or gpio
+-- change, m_axis_tvalid and m_axis_tdata are undefined in the first few
+-- clock cycles. You must wait for bad axis cycles to flush through the
+-- remainder of the pipeline before performing calibration and again after
+-- exiting calibration mode.
+--
+-- kAxiWidth must be an integer multiple of kGpioWidth. A concurrent assert
+-- statement checks this assumption and should produce a synthesis warning if
+-- that requirement is not met.
+--
+-- Parameters:
+--
+-- kGpioWidth : GPIO width.
+-- kAxiWidth : AXI bus width. Must be an integer multiple of kGpioWidth
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+
+entity axis_mux is
+ generic (
+ kGpioWidth : natural := 32;
+ kAxiWidth : natural := 256
+ );
+ port(
+ gpio : in std_logic_vector(kGpioWidth-1 downto 0);
+ mux_select : in std_logic;
+
+ -- s_axis_aclk MUST be the same as m_axis_aclk.
+ -- Declaring an unused clock allows the BD tool to identify the
+ -- synchronicity of the slave AXIS port signals.
+ s_axis_aclk : in std_logic;
+ s_axis_tdata : in std_logic_vector(kAxiWidth - 1 downto 0);
+ s_axis_tvalid : in std_logic;
+ s_axis_tready : out std_logic;
+ m_axis_aclk : in std_logic;
+ m_axis_tvalid : out std_logic;
+ m_axis_tdata : out std_logic_vector(kAxiWidth - 1 downto 0)
+ );
+end entity axis_mux;
+
+architecture RTL of axis_mux is
+
+ constant kWordSize : natural := gpio'length;
+ constant kWordCount : natural := kAxiWidth / kWordSize;
+
+ subtype AxiData_t is std_logic_vector(kAxiWidth - 1 downto 0);
+
+ impure function ConcatenatedData return AxiData_t is
+ variable rval : AxiData_t;
+ begin
+ for i in 0 to kWordCount - 1 loop
+ rval(i*kWordSize + kWordSize - 1 downto i*kWordSize) := gpio;
+ end loop;
+ return rval;
+ end function ConcatenatedData;
+
+begin
+
+ assert kWordSize * kWordCount = kAxiWidth
+ report "m_axis_tdata'length is not an integer multiple of gpio'length"
+ severity failure;
+
+ MuxOutputRegister:
+ process (m_axis_aclk) is
+ begin
+ if rising_edge(m_axis_aclk) then
+ if mux_select='1' then
+ m_axis_tdata <= ConcatenatedData;
+ m_axis_tvalid <= '1';
+ else
+ m_axis_tdata <= s_axis_tdata;
+ m_axis_tvalid <= s_axis_tvalid;
+ end if;
+ end if;
+ end process MuxOutputRegister;
+
+ s_axis_tready <= '1';
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/common/capture_sysref.v b/fpga/usrp3/top/x400/rf/common/capture_sysref.v
new file mode 100644
index 000000000..0beaa3b5c
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/capture_sysref.v
@@ -0,0 +1,50 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: capture_sysref
+//
+// Description:
+//
+// Capture SYSREF and transfer it to the higher clock domain. Module incurs
+// in 2 pll_ref_clk cycles + 1 rfdc_clk cycle of delay.
+//
+
+module capture_sysref (
+ // Clocks
+ input wire pll_ref_clk,
+ input wire rfdc_clk,
+
+ // SYSREF input and control
+ input wire sysref_in, // Single-ended SYSREF (previously buffered)
+ input wire enable_rclk, // Enables SYSREF output in the rfdc_clk domain.
+
+ // Captured SYSREF outputs
+ output wire sysref_out_pclk, // Debug output (Domain: pll_ref_clk).
+ output wire sysref_out_rclk // RFDC output (Domain: rfdc_clk).
+);
+
+ reg sysref_pclk_ms = 1'b0, sysref_pclk = 1'b0, sysref_rclk = 1'b0;
+
+ // Capture SYSREF synchronously with the pll_ref_clk, but double-sync it just
+ // in case static timing isn't met so as not to destroy downstream logic.
+ always @ (posedge pll_ref_clk) begin
+ sysref_pclk_ms <= sysref_in;
+ sysref_pclk <= sysref_pclk_ms;
+ end
+
+ assign sysref_out_pclk = sysref_pclk;
+
+ // Transfer to faster clock which is edge-aligned with the pll_ref_clk.
+ always @ (posedge rfdc_clk) begin
+ if (enable_rclk) begin
+ sysref_rclk <= sysref_pclk;
+ end else begin
+ sysref_rclk <= 1'b0;
+ end
+ end
+
+ assign sysref_out_rclk = sysref_rclk;
+
+endmodule
diff --git a/fpga/usrp3/top/x400/rf/common/clock_gates.vhd b/fpga/usrp3/top/x400/rf/common/clock_gates.vhd
new file mode 100644
index 000000000..c4df28d8f
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/clock_gates.vhd
@@ -0,0 +1,300 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: clock_gates
+--
+-- Description:
+--
+-- Gate propagation of DataClk and RfdcClk instances until the PLL lock
+-- status signal is stable and software has acknowledged it by asserting the
+-- pertinent controls.
+--
+-- RfdcClks are used on other Xilinx IP components in the Board Design, and
+-- Vivado fails to detect their frequency correctly their buffer is
+-- explicitly instantiated in the Block Design. Therefore, we only generate
+-- the buffer enable signals for these clocks within this component.
+--
+-- Since DataClk are only used in other Custom IP blocks within the Block
+-- design, it is possible to instantiate the clock buffers within this block
+-- for without running into IP generation failures.
+--
+-- Parameters:
+--
+-- kReliableClkPeriodNs: Clock period (ns) for ReliableClk.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.ALL;
+ use IEEE.numeric_std.ALL;
+
+library UNISIM;
+ use UNISIM.Vcomponents.ALL;
+
+library WORK;
+use WORK.PkgRFDC_REGS_REGMAP.all;
+
+
+entity clock_gates is
+ generic (
+ kReliableClkPeriodNs : integer := 25
+ );
+ port (
+ -- MMCM reset
+ -- This clock will be asserted via AXI access before any clocking
+ -- configuration done, signals coming into this component will not change
+ -- immediately after this reset is de-asserted.
+ rPllReset_n : in std_logic;
+
+ aPllLocked : in std_logic;
+
+ -- Input Clocks (from MMCM)
+ ReliableClk : in std_logic;
+ DataClk1xPll : in std_logic;
+ DataClk2xPll : in std_logic;
+
+ -- Buffered Clock Outputs (to design)
+ DataClk1x : out std_logic;
+ DataClk2x : out std_logic;
+
+ -- Buffers for these signals must be instantiated on Block design for clock
+ -- rates to be identified. The Utility Buffers instantiated on the Block
+ -- Design require signals to be of type std_logic_vector.
+ aEnableRfBufg1x : out std_logic_vector(0 downto 0);
+ aEnableRfBufg2x : out std_logic_vector(0 downto 0);
+
+ -- PLL Status Signals
+ rPllLocked : out std_logic;
+
+ -- Window Interface
+ rSafeToEnableGatedClks : in std_logic;
+ rGatedBaseClksValid : out std_logic;
+
+ -- AXI GPIO interface
+ rSoftwareControl : in std_logic_vector(31 downto 0);
+ rSoftwareStatus : out std_logic_vector(31 downto 0)
+ );
+end clock_gates;
+
+architecture STRUCT of clock_gates is
+
+ component sync_wrapper
+ generic (
+ WIDTH : integer := 1;
+ STAGES : integer := 2;
+ INITIAL_VAL : integer := 0;
+ FALSE_PATH_TO_IN : integer := 1);
+ port (
+ clk : in std_logic;
+ rst : in std_logic;
+ signal_in : in std_logic_vector((WIDTH-1) downto 0);
+ signal_out : out std_logic_vector((WIDTH-1) downto 0));
+ end component;
+
+ component BUFGCE
+ generic(
+ CE_TYPE : string);
+ port (
+ O : out std_ulogic;
+ CE : in std_ulogic;
+ I : in std_ulogic);
+ end component;
+
+ -- UltraScale MMCM max lock time = 100 us / 25 ns = 4,000 clk cycles. If the
+ -- division kPllLockTimeNs / kReliableClkPeriodNs does not evaluate to an
+ -- integer, Vivado could either round up or down. In case they round down, we
+ -- add '1' to the result to ensure we have the full lock time accounted for.
+ -- In this case, it is better to count 1 more than necessary than kill the
+ -- process prematurely.
+ constant kPllLockTimeNs : integer := 100000;
+ constant kMaxPllLockCount : integer := kPllLockTimeNs / kReliableClkPeriodNs + 1;
+ signal rLockedFilterCount : integer range 0 to kMaxPllLockCount-1 := kMaxPllLockCount-1;
+
+ signal rClearDataClkUnlockedSticky : std_logic;
+
+ -----------------------------------------------------------------------------
+ -- PLL locked signals
+ -----------------------------------------------------------------------------
+
+ -- Synchronizer signals
+ signal aPllLockedLcl : std_logic_vector(0 downto 0);
+ signal rPllLockedDs : std_logic_vector(0 downto 0) := (others => '0');
+
+ -- Lock status indicators
+ signal rPllLockedLcl : std_logic := '0';
+ signal rPllUnlockedSticky : std_logic := '0';
+
+ -- Safe BUFG enable signals
+ signal rEnableDataClk1x,
+ rEnableDataClk2x,
+ rEnableRfdcClk1x,
+ rEnableRfdcClk2x : std_logic;
+
+ signal rEnableDataBufg1x : std_logic := '0';
+ signal rEnableDataBufg2x : std_logic := '0';
+ signal rEnableRfdcBufg1xLcl : std_logic := '0';
+ signal rEnableRfdcBufg2xLcl : std_logic := '0';
+
+ -- Active high version of reset required for synchronizer blocks.
+ signal rPllReset : std_logic;
+
+ -- Since these signals control sensitive components (clock enables), we apply
+ -- a dont_touch attribute to preserve the signals through both synthesis and
+ -- P&R. Implementation of "dont_touch" has been confirmed after P&R.
+ attribute dont_touch : string;
+ attribute dont_touch of rEnableDataBufg1x : signal is "TRUE";
+ attribute dont_touch of rEnableDataBufg2x : signal is "TRUE";
+ attribute dont_touch of aEnableRfBufg1x : signal is "TRUE";
+ attribute dont_touch of aEnableRfBufg2x : signal is "TRUE";
+
+ attribute X_INTERFACE_INFO : string;
+ attribute X_INTERFACE_PARAMETER : string;
+
+ attribute X_INTERFACE_INFO of DataClk1xPll : signal is
+ "xilinx.com:signal:clock:1.0 DataClk1xPll CLK";
+ attribute X_INTERFACE_INFO of DataClk2xPll : signal is
+ "xilinx.com:signal:clock:1.0 DataClk2xPll CLK";
+
+begin
+
+ rPllReset <= not rPllReset_n;
+
+ -- Assert rGatedBaseClksValid once the PLL has been locked for the specified
+ -- time.
+ rGatedBaseClksValid <= rPllLockedLcl;
+
+ DataClkEnables : process(ReliableClk)
+ begin
+ if rising_edge(ReliableClk) then
+ if rPllReset_n = '0' then
+ rEnableDataBufg1x <= '0';
+ rEnableDataBufg2x <= '0';
+ rEnableRfdcBufg1xLcl <= '0';
+ rEnableRfdcBufg2xLcl <= '0';
+ else
+ rEnableDataBufg1x <=
+ rSafeToEnableGatedClks and
+ rEnableDataClk1x and
+ (not rPllUnlockedSticky);
+
+ rEnableDataBufg2x <=
+ rSafeToEnableGatedClks and
+ rEnableDataClk2x and
+ (not rPllUnlockedSticky);
+
+ rEnableRfdcBufg1xLcl <=
+ rSafeToEnableGatedClks and
+ rEnableRfdcClk1x and
+ (not rPllUnlockedSticky);
+
+ rEnableRfdcBufg2xLcl <=
+ rSafeToEnableGatedClks and
+ rEnableRfdcClk2x and
+ (not rPllUnlockedSticky);
+ end if;
+ end if;
+ end process DataClkEnables;
+
+ aEnableRfBufg1x(0) <= rEnableRfdcBufg1xLcl;
+ aEnableRfBufg2x(0) <= rEnableRfdcBufg2xLcl;
+
+ DataClk1xSafeBufg: BUFGCE
+ generic map(
+ CE_TYPE => "ASYNC"
+ )
+ port map (
+ I => DataClk1xPll,
+ CE => rEnableDataBufg1x,
+ O => DataClk1x
+ );
+
+ DataClk2xSafeBufg: BUFGCE
+ generic map(
+ CE_TYPE => "ASYNC"
+ )
+ port map (
+ I => DataClk2xPll,
+ CE => rEnableDataBufg2x,
+ O => DataClk2x
+ );
+
+
+ -----------------------------------------------------------------------------
+ -- Create PLL Lock Signal
+ -----------------------------------------------------------------------------
+ -- Double-sync the incoming aPllLocked signal from the PLL.
+
+ aPllLockedLcl(0) <= aPllLocked;
+
+ DataClkPllLockedDS: sync_wrapper
+ generic map (
+ WIDTH => 1,
+ STAGES => open,
+ INITIAL_VAL => open,
+ FALSE_PATH_TO_IN => open)
+ port map (
+ clk => ReliableClk,
+ rst => rPllReset,
+ signal_in => aPllLockedLcl,
+ signal_out => rPllLockedDs
+ );
+
+ -- Filter the Lock signal. Assert a lock when the PLL lock signal has been
+ -- asserted for kPllLockTimeNs
+ --
+ -- !!! SAFE COUNTER STARTUP !!!
+ -- rLockedFilterCount cannot start incrementing until rPllReset_n is
+ -- de-asserted. Once rPllReset_n is de-asserted through a AXI access, input
+ -- values for the registers in this state machine will not change until the
+ -- MMCM locks and the double synchronizer reflects a locked status, making
+ -- this start-up safe.
+ PllLockFilter: process (ReliableClk)
+ begin
+ if rising_edge(ReliableClk) then
+ if rPllReset_n = '0' then
+ rLockedFilterCount <= kMaxPllLockCount-1;
+ rPllLockedLcl <= '0';
+ else
+ if rPllLockedDs(0) = '1' then
+ if rLockedFilterCount = 0 then
+ rPllLockedLcl <= '1';
+ else
+ rPllLockedLcl <= '0';
+ rLockedFilterCount <= rLockedFilterCount - 1;
+ end if;
+ else
+ rLockedFilterCount <= kMaxPllLockCount-1;
+ rPllLockedLcl <= '0';
+ end if;
+ end if;
+ end if;
+ end process PllLockFilter;
+
+ -- Sticky bit to hold '1' if PLL ever comes unlocked
+ PllStickyBit: process (ReliableClk)
+ begin
+ if rising_edge(ReliableClk) then
+ if (not rPllReset_n or rClearDataClkUnlockedSticky) = '1' then
+ rPllUnlockedSticky <= '0';
+ else
+ if rPllLockedLcl = '1' and rPllLockedDs(0) = '0' then
+ rPllUnlockedSticky <= '1';
+ end if;
+ end if;
+ end if;
+ end process;
+
+ rPllLocked <= rPllLockedLcl;
+
+ -- AXI transaction decoding
+ rClearDataClkUnlockedSticky <= rSoftwareControl(kCLEAR_DATA_CLK_UNLOCKED);
+ rEnableDataClk1x <= rSoftwareControl(kENABLE_DATA_CLK);
+ rEnableDataClk2x <= rSoftwareControl(kENABLE_DATA_CLK_2X);
+ rEnableRfdcClk1x <= rSoftwareControl(kENABLE_RF_CLK);
+ rEnableRfdcClk2x <= rSoftwareControl(kENABLE_RF_CLK_2X);
+
+ rSoftwareStatus(kDATA_CLK_PLL_LOCKED) <= rPllLockedLcl;
+ rSoftwareStatus(kDATA_CLK_PLL_UNLOCKED_STICKY) <= rPllUnlockedSticky;
+
+end STRUCT;
diff --git a/fpga/usrp3/top/x400/rf/common/gpio_to_axis_mux.vhd b/fpga/usrp3/top/x400/rf/common/gpio_to_axis_mux.vhd
new file mode 100644
index 000000000..4a72cedf6
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/gpio_to_axis_mux.vhd
@@ -0,0 +1,147 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: gpio_to_axis_mux
+--
+-- Description:
+--
+-- This module either drives the AXIS outputs with the corresponding AXIS
+-- slave inputs, or it drives the output AXIS with data provided by the GPIO
+-- lines. This allows the calibration process to drive a constant value to
+-- the DAC's. Although every AXIS interface has its own clock, all the clocks
+-- must be connected to the same source. Independent clock inputs allows the
+-- block design editor to automatically detect the clock domain of the
+-- corresponding interface.
+--
+-- kAxiWidth must be an integer multiple of kGpioWidth. A concurrent assert
+-- statement in axis_mux checks this assumption and should produce a
+-- synthesis warning if that requirement is not met.
+--
+-- Parameters:
+--
+-- kGpioWidth : GPIO width.
+-- kAxiWidth : AXI bus width. Must be an integer multiple of kGpioWidth
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+
+entity gpio_to_axis_mux is
+ generic (
+ kGpioWidth : natural := 32;
+ kAxiWidth : natural := 256
+ );
+ port(
+ gpio : in std_logic_vector(kGpioWidth-1 downto 0);
+
+ -- mux_select(n) chooses the data source for AXIS interface n.
+ -- '0' chooses s_axis_tdata_n. '1' chooses gpio as the data source.
+ -- The only used bits are 0, 1, 4, 5. The remaining bits are reserved for
+ -- future expansion.
+ mux_select : in std_logic_vector(7 downto 0);
+
+ s_axis_0_aclk : in std_logic;
+ s_axis_tdata_0 : in std_logic_vector(kAxiWidth - 1 downto 0);
+ s_axis_tvalid_0 : in std_logic;
+ s_axis_tready_0 : out std_logic;
+ m_axis_0_aclk : in std_logic;
+ m_axis_tvalid_0 : out std_logic;
+ m_axis_tdata_0 : out std_logic_vector(kAxiWidth - 1 downto 0);
+
+ s_axis_1_aclk : in std_logic;
+ s_axis_tdata_1 : in std_logic_vector(kAxiWidth - 1 downto 0);
+ s_axis_tvalid_1 : in std_logic;
+ s_axis_tready_1 : out std_logic;
+ m_axis_1_aclk : in std_logic;
+ m_axis_tvalid_1 : out std_logic;
+ m_axis_tdata_1 : out std_logic_vector(kAxiWidth - 1 downto 0);
+
+ s_axis_2_aclk : in std_logic;
+ s_axis_tdata_2 : in std_logic_vector(kAxiWidth - 1 downto 0);
+ s_axis_tvalid_2 : in std_logic;
+ s_axis_tready_2 : out std_logic;
+ m_axis_2_aclk : in std_logic;
+ m_axis_tvalid_2 : out std_logic;
+ m_axis_tdata_2 : out std_logic_vector(kAxiWidth - 1 downto 0);
+
+ s_axis_3_aclk : in std_logic;
+ s_axis_tdata_3 : in std_logic_vector(kAxiWidth - 1 downto 0);
+ s_axis_tvalid_3 : in std_logic;
+ s_axis_tready_3 : out std_logic;
+ m_axis_3_aclk : in std_logic;
+ m_axis_tvalid_3 : out std_logic;
+ m_axis_tdata_3 : out std_logic_vector(kAxiWidth - 1 downto 0)
+ );
+end entity;
+
+architecture RTL of gpio_to_axis_mux is
+
+begin
+
+ axis_mux0: entity work.axis_mux (RTL)
+ generic map (
+ kGpioWidth => kGpioWidth,
+ kAxiWidth => kAxiWidth)
+ port map (
+ gpio => gpio,
+ mux_select => mux_select(0),
+ s_axis_aclk => s_axis_0_aclk,
+ s_axis_tdata => s_axis_tdata_0,
+ s_axis_tvalid => s_axis_tvalid_0,
+ s_axis_tready => s_axis_tready_0,
+ m_axis_aclk => m_axis_0_aclk,
+ m_axis_tvalid => m_axis_tvalid_0,
+ m_axis_tdata => m_axis_tdata_0
+ );
+
+ axis_mux1: entity work.axis_mux (RTL)
+ generic map (
+ kGpioWidth => kGpioWidth,
+ kAxiWidth => kAxiWidth)
+ port map (
+ gpio => gpio,
+ mux_select => mux_select(1),
+ s_axis_aclk => s_axis_1_aclk,
+ s_axis_tdata => s_axis_tdata_1,
+ s_axis_tvalid => s_axis_tvalid_1,
+ s_axis_tready => s_axis_tready_1,
+ m_axis_aclk => m_axis_1_aclk,
+ m_axis_tvalid => m_axis_tvalid_1,
+ m_axis_tdata => m_axis_tdata_1
+ );
+
+ axis_mux2: entity work.axis_mux (RTL)
+ generic map (
+ kGpioWidth => kGpioWidth,
+ kAxiWidth => kAxiWidth)
+ port map (
+ gpio => gpio,
+ mux_select => mux_select(4),
+ s_axis_aclk => s_axis_2_aclk,
+ s_axis_tdata => s_axis_tdata_2,
+ s_axis_tvalid => s_axis_tvalid_2,
+ s_axis_tready => s_axis_tready_2,
+ m_axis_aclk => m_axis_2_aclk,
+ m_axis_tvalid => m_axis_tvalid_2,
+ m_axis_tdata => m_axis_tdata_2
+ );
+
+ axis_mux3: entity work.axis_mux (RTL)
+ generic map (
+ kGpioWidth => kGpioWidth,
+ kAxiWidth => kAxiWidth)
+ port map (
+ gpio => gpio,
+ mux_select => mux_select(5),
+ s_axis_aclk => s_axis_3_aclk,
+ s_axis_tdata => s_axis_tdata_3,
+ s_axis_tvalid => s_axis_tvalid_3,
+ s_axis_tready => s_axis_tready_3,
+ m_axis_aclk => m_axis_3_aclk,
+ m_axis_tvalid => m_axis_tvalid_3,
+ m_axis_tdata => m_axis_tdata_3
+ );
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/common/rf_nco_reset.vhd b/fpga/usrp3/top/x400/rf/common/rf_nco_reset.vhd
new file mode 100644
index 000000000..d891a8722
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/rf_nco_reset.vhd
@@ -0,0 +1,228 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: rf_nco_reset
+--
+-- Description:
+--
+-- This entity has the logic needed to synchronously reset the NCO inside the
+-- RF section.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity rf_nco_reset is
+ port(
+ -- AXI-lite clock used for RFDC configuration.
+ ConfigClk : in std_logic;
+
+ -- Radio clock used in the converter data path.
+ DataClk : in std_logic;
+
+ -- PL SYSREF
+ dSysref : in std_logic;
+
+ --Strobe dNcoResetEn for one DataClk cycle to initiate NCO reset.
+ dStartNcoReset : in std_logic;
+
+ ---------------------------------------------------------------------------
+ -- NCO reset controls and status
+ ---------------------------------------------------------------------------
+ -- Port naming convention:
+ -- cDac<Tile Number><Converter Number><signal name>
+ -- cAdc<Tile Number><Converter Number><signal name>
+
+ -----------------------------------
+ -- DAC Tile 228
+ -----------------------------------
+ -- DAC common NCO update controls and status.
+ cDac0xNcoUpdateBusy : in std_logic_vector(1 downto 0);
+ cDac0xNcoUpdateReq : out std_logic := '0';
+ cDac0xSysrefIntGating : out std_logic := '0';
+ cDac0xSysrefIntReenable : out std_logic := '0';
+
+ -----------------------------------
+ -- DAC Tile 229
+ -----------------------------------
+ -- DAC common NCO update controls and status.
+ cDac1xNcoUpdateBusy : in std_logic;
+ cDac1xNcoUpdateReq : out std_logic := '0';
+
+ -----------------------------------
+ --ADC Tile 224
+ -----------------------------------
+ -- ADC common NCO update controls and status.
+ cAdc0xNcoUpdateBusy : in std_logic;
+ cAdc0xNcoUpdateReq : out std_logic := '0';
+
+ -----------------------------------
+ --ADC Tile 226
+ -----------------------------------
+ -- ADC common NCO update controls and status.
+ cAdc2xNcoUpdateBusy : in std_logic;
+ cAdc2xNcoUpdateReq : out std_logic := '0';
+
+ -- NCO reset can be initiated only when cNcoPhaseRst is set to '1' and
+ -- cNcoUpdateEn = 0x20. The FSM in this entity will set these values when
+ -- an NCO reset is initiated during synchronization. These ports are common
+ -- for all the converters. So, we will fan these signals out to each
+ -- converter outside this entity.
+ cNcoPhaseRst : out std_logic := '1';
+ cNcoUpdateEn : out std_logic_vector(5 downto 0) := "100000";
+
+ -- NCO reset status back to the user.
+ dNcoResetDone : out std_logic := '0'
+ );
+end rf_nco_reset;
+
+architecture RTL of rf_nco_reset is
+
+ -- State machine to sequence NCO reset across different RFDC tiles.
+ type ResetState_t is (Idle, ReqGating, CheckGating, CheckUpdateDone,
+ CheckResetDone, ResetDone);
+ signal cResetState : ResetState_t := Idle;
+
+ signal dNcoResetDone_ms, cNcoResetDone : std_logic := '0';
+ signal dStartNcoResetReg, cStartNcoReset_ms, cStartNcoReset : std_logic := '0';
+ signal cSysref_ms, cSysref, cSysrefDlyd : std_logic := '0';
+ signal cSysrefIntGating, dSysrefIntGating_ms,
+ dSysrefIntGating : std_logic := '0';
+begin
+
+ -- NCO start signal from the user is a one DataClk cycle strobe. In this
+ -- process, we register the NCO start request from the user. This NCO start
+ -- request register is cleared after the NCO reset sequence is initiated. We
+ -- used the signal used to gate SYSREF to clear this register.
+ RegNcoStart: process(DataClk)
+ begin
+ if rising_edge(DataClk) then
+ dSysrefIntGating_ms <= cSysrefIntGating;
+ dSysrefIntGating <= dSysrefIntGating_ms;
+ if dSysrefIntGating = '1' then
+ dStartNcoResetReg <= '0';
+ elsif dStartNcoReset = '1' then
+ dStartNcoResetReg <= '1';
+ end if;
+ end if;
+ end process RegNcoStart;
+
+ -- Irrespective of when NCO reset strobe is issued by the user, we need to
+ -- initiate NCO reset only on the rising edge of SYSREF. This is because, we
+ -- have to complete the reset within a SYSREF period.
+ ConfigClkCross: process(ConfigClk)
+ begin
+ if rising_edge(ConfigClk) then
+ cSysref_ms <= dSysref;
+ cSysref <= cSysref_ms;
+ cSysrefDlyd <= cSysref;
+ cStartNcoReset_ms <= dStartNcoResetReg;
+ cStartNcoReset <= cStartNcoReset_ms;
+ end if;
+ end process ConfigClkCross;
+
+ -- These signals can be set to a constant value as NCO phase reset is only
+ -- initiated by *NcoUpdateReq signal.
+ cNcoPhaseRst <= '1';
+ cNcoUpdateEn <= "100000";
+
+ -- ! STATE MACHINE STARTUP !
+ -- The state machine starts in Idle state and does not change state until
+ -- cStartNcoReset is set to '1'. cStartNcoReset signal and cSysref are based
+ -- of ConfigClock so changing state from Idle cannot go metastable. State
+ -- machine to initiate NCO reset on all enabled RFDC tiles. This state
+ -- machine was written based of the information provided in "NCO frequency
+ -- hopping" section in PG269 (v2.2). We use multi-mode for NCO reset.
+ ResetFsm: process(ConfigClk)
+ begin
+ if rising_edge(ConfigClk) then
+ cResetState <= Idle;
+ cNcoResetDone <= '0';
+ cDac0xNcoUpdateReq <= '0';
+ cSysrefIntGating <= '0';
+ cDac0xSysrefIntReenable <= '0';
+ cDac1xNcoUpdateReq <= '0';
+ cAdc0xNcoUpdateReq <= '0';
+ cAdc2xNcoUpdateReq <= '0';
+ case cResetState is
+ -- Stay in this state until NCO reset sequence is initiated. NCO reset
+ -- is initiated only on the rising edge of SYSREF.
+ when Idle =>
+ if cSysref = '1' and cSysrefDlyd = '0' and cStartNcoReset = '1' then
+ cResetState <= ReqGating;
+ cSysrefIntGating <= '1';
+ end if;
+
+ -- When NCO reset is initiated, gate the RFDC internal SYSREF. To gate
+ -- internal SYSREF set cSysrefIntGating to '1'. To request NCO reset
+ -- strobe cDac0xNcoUpdateReq for one ConfigClk period. At this point,
+ -- we can only request NCO reset for RF-DAC tile 228.
+ when ReqGating =>
+ cResetState <= CheckGating;
+ cDac0xNcoUpdateReq <= '1';
+ cSysrefIntGating <= '1';
+
+ -- Since we are gating SYSREF inside RFDC, we need to wait until SYSREF
+ -- is gated internally. RFDC sets cDac0xNcoUpdateBusy[0] to '1' when
+ -- SYSREF is gated. cDac0xNcoUpdateBusy[1] is also set to '1' to
+ -- indicate that NCO reset is still in progress. After the SYSREF is
+ -- gated request NCO reset on all other converter tiles.
+ when CheckGating =>
+ cSysrefIntGating <= '1';
+ cResetState <= CheckGating;
+ if cDac0xNcoUpdateBusy = "11" then
+ cResetState <= CheckUpdateDone;
+ cDac1xNcoUpdateReq <= '1';
+ cAdc0xNcoUpdateReq <= '1';
+ cAdc2xNcoUpdateReq <= '1';
+ end if;
+
+ -- In this state, we check if the RFDC block is ready for NCO reset.
+ -- This check is done using the *Busy signal from RFDC. Once RFDC is
+ -- ready for NCO reset, disable internal SYSREF gating.
+ when CheckUpdateDone =>
+ cSysrefIntGating <= '1';
+ cResetState <= CheckUpdateDone;
+ if cDac0xNcoUpdateBusy = "10" and cAdc0xNcoUpdateBusy = '0' and
+ cAdc2xNcoUpdateBusy = '0' and cDac1xNcoUpdateBusy = '0' and
+ cSysref = '1' and cSysrefDlyd = '0' then
+ cDac0xSysrefIntReenable <= '1';
+ cResetState <= CheckResetDone;
+ end if;
+
+ -- NCO reset is done when cDac0xNcoUpdateBusy[1] is set to '0'. RFDC is
+ -- programmed from software to reset the NCO on a SYSREF rising edge.
+ when CheckResetDone =>
+ cSysrefIntGating <= '1';
+ cResetState <= CheckResetDone;
+ if cDac0xNcoUpdateBusy = "00" then
+ cResetState <= ResetDone;
+ end if;
+
+ -- Wait in this state until another NCO reset request is issued.
+ when ResetDone =>
+ cNcoResetDone <= '1';
+ cResetState <= ResetDone;
+ if cSysref = '1' and cSysrefDlyd = '0' and cStartNcoReset = '1' then
+ cResetState <= ReqGating;
+ cSysrefIntGating <= '1';
+ end if;
+ end case;
+ end if;
+ end process ResetFsm;
+
+ cDac0xSysrefIntGating <= cSysrefIntGating;
+
+ -- Move the NCO reset done status to DataClk domain.
+ DataClkCrossing: process(DataClk)
+ begin
+ if rising_edge(DataClk) then
+ dNcoResetDone_ms <= cNcoResetDone;
+ dNcoResetDone <= dNcoResetDone_ms;
+ end if;
+ end process DataClkCrossing;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/common/rf_reset.vhd b/fpga/usrp3/top/x400/rf/common/rf_reset.vhd
new file mode 100644
index 000000000..c1ef34d3c
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/rf_reset.vhd
@@ -0,0 +1,216 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: rf_reset
+--
+-- Description:
+--
+-- Control RFDC, ADC, and DAC resets.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity rf_reset is
+ port(
+ -- Clocks used in the data path.
+ DataClk : in std_logic;
+ PllRefClk : in std_logic;
+ RfClk : in std_logic;
+ RfClk2x : in std_logic;
+ DataClk2x : in std_logic;
+
+ -- Master resets from the Radio.
+ dTimedReset : in std_logic;
+ dSwReset : in std_logic;
+
+ -- Resets outputs.
+ dReset_n : out std_logic := '0';
+ d2Reset_n : out std_logic := '0';
+ r2Reset_n : out std_logic := '0';
+ rAxiReset_n : out std_logic := '0';
+ rReset_n : out std_logic := '0'
+ );
+end rf_reset;
+
+
+architecture RTL of rf_reset is
+
+ -- POR value for all resets are active high or low.
+ signal dResetPulseDly : std_logic_vector(2 downto 0) := "111";
+ signal dResetPulseStretch : std_logic := '1';
+ signal pResetPulseStretch : std_logic_vector(1 downto 0) := "11";
+ signal pResetPulse_n : std_logic := '0';
+ signal pAxiReset_n : std_logic := '0';
+
+
+begin
+
+ -----------------------------------------------------------------------------
+ -- Clock Phase Diagram
+ -----------------------------------------------------------------------------
+ -- Before we look into the details of the clock alignment, here is the clock
+ -- frequencies of all the synchronous clocks that is used in the design.
+ -- PllRefClk is the reference clock for the FPGA PLL and all other clocks are
+ -- derived from PllRefClk. PllRefClk for X410 is ~62.5 MHz
+ -- PllRefClk = ~62.5 MHz (Sample clock/48. This is the X410 configuration and
+ -- could be different for other x4xx variants.)
+ -- DataClk = PllRefClk*2
+ -- DataClkx2 = PllRefClk*4
+ -- RfClk = PllRefClk*3
+ -- RfClkx2 = PllRefClk*6
+ -- DataClk = PllRefClk*4 for legacy mode. In legacy mode, we will not use
+ -- DataClkx2 as the clock frequency will be too high to close timing.
+ -- Five clocks with five different frequencies, all related and occasionally
+ -- aligned. Rising edge of all clocks are aligned to the rising edge of
+ -- PllRefClk. We will use the rising edge of PllRefClk as the reference to
+ -- assert synchronous reset for all clock domains. The synchronous reset
+ -- pulse is in the DataClk domain. As we can see from the timing diagram, the
+ -- DataClk rising edge is not always aligned to the rising edge of all the
+ -- other clocks. But, it is guaranteed that the DataClk will be aligned to
+ -- all the other clock on the rising edge of PLL reference clock. In case 1,
+ -- the synchronous reset pulse is on the DataClk edge where the data clock is
+ -- not aligned to RfClk. We stretch the pulse from DataClk domain and send
+ -- the reset out on the rising edge of PllRefClk where all the clocks rising
+ -- edge is aligned. In case 2, the synchronous reset is received on the
+ -- DataClk cycle where all the clocks are aligned. This is because, in
+ -- case 2, the synchronous reset is received on the rising edge of PllRefClk.
+ -- For case 1 and case 2, all the output resets are asserted only on the
+ -- PllRefClk rising edge to guarantee a known relationship between the resets
+ -- in different clock domains.
+ --
+ -- Alignment * * *
+ -- ___________ ___________ ___________ ___________ ___________
+ -- PllRefClk __| |___________| |___________| |___________| |___________| |
+ -- _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _
+ -- RfClk2x __| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_| |_
+ -- ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___ ___
+ -- RfClk __| |___| |___| |___| |___| |___| |___| |___| |___| |___| |___| |___| |___| |___|
+ -- __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __ __
+ -- DataClk2x __| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__| |__|
+ -- _____ _____ _____ _____ _____ _____ _____ _____ _____
+ -- DataClk __| |_____| |_____| |_____| |_____| |_____| |_____| |_____| |_____| |_____|
+ -- . : : : :
+ -- --------- Case 1 ---------.-- : : : :
+ -- ^ : : ^ :
+ -- Reset Strobe --> | : Aligned reset strobe -->| :
+ -- ____________ : : : :
+ -- dResetPulse________| |______________________________________ : :
+ -- : _____________________________________________________________________________
+ -- dResetPulseStretch ______________________| :
+ -- : ________________________________________________
+ -- pResetPulseStretch ____________________________________________| : : |___
+ -- _________________________________________________________________________ :
+ -- pResetPulse_n : |________________________________
+ -- : : : :
+ -- --------- Case 2 ----------- : : : :
+ -- ^ : ^ :
+ -- Reset Strobe --> | : | <-- Aligned reset strobe
+ -- ____________ : : :
+ -- dResetPulse(0) ________| |______________________________________________________________________________
+ -- _______________________________________________________________________________
+ -- dResetPulseStretch ______________________| :
+ -- ________________________________________________________
+ -- pResetPulseStretch ____________________________________________| :
+ -- _________________________________________________________________________
+ -- pResetPulse_n |________________________________
+ -- --------------------------------------------------------------------------
+
+ -----------------------------------------------------------------------------
+ -- Implementation
+ -----------------------------------------------------------------------------
+
+ -- Since the dTimedReset is asserted only for one DataClk cycle, we need to
+ -- stretch the strobe to four DataClk cycles, so the strobe is wide enough to
+ -- be sampled by PllRefClk which is four times the DataClk period. Pulse
+ -- stretch is done for 4 DataClk periods to support the legacy mode. We also
+ -- do a logical OR on resets from software. Software resets are from the
+ -- ConfigClock domain which is a slower clock than the PllRefClk. So, we
+ -- don't have to stretch the software reset.
+ PulseStretch: process(DataClk)
+ begin
+ if rising_edge(DataClk) then
+ dResetPulseDly <= dResetPulseDly(1 downto 0) & (dTimedReset or dSwReset);
+ dResetPulseStretch <= '0';
+ if (dResetPulseDly /= "000") or dTimedReset = '1' or dSwReset = '1' then
+ dResetPulseStretch <= '1';
+ end if;
+ end if;
+ end process PulseStretch;
+
+ -- Strobe reset pulse for 2 PllRefClk period to make sure we have the reset
+ -- asserted for longer period. The FIR filter is the only design that
+ -- requires reset to be asserted for 2 clock cycles. This requirement is
+ -- satisfied with one PllRefClk period. RFDC does not have any AXI stream
+ -- reset time requirement. We will reset all designs for two PllRefClk period
+ -- just to be on the safer side. The same strategy is used for DAC resets as
+ -- well.
+ ResetOut: process(PllRefClk)
+ begin
+ if rising_edge(PllRefClk) then
+ pResetPulseStretch <= pResetPulseStretch(0) & dResetPulseStretch;
+ pResetPulse_n <= not (pResetPulseStretch(1) or pResetPulseStretch(0));
+ end if;
+ end process ResetOut;
+
+ -- We are using PllRefClk as the reference and issuing resets to all the
+ -- other clock domains. We are not trying to align all the resets in
+ -- different clock domains. We are making sure that all resets will be
+ -- asserted with respect to each other at the same time from run to run.
+ DataClkReset: process(DataClk)
+ begin
+ if rising_edge(DataClk) then
+ dReset_n <= pResetPulse_n;
+ end if;
+ end process DataClkReset;
+
+ DataClk2xReset: process(DataClk2x)
+ begin
+ if rising_edge(DataClk2x) then
+ d2Reset_n <= pResetPulse_n;
+ end if;
+ end process DataClk2xReset;
+
+ Rfclk2xReset: process(RfClk2x)
+ begin
+ if rising_edge(RfClk2x) then
+ r2Reset_n <= pResetPulse_n;
+ end if;
+ end process Rfclk2xReset;
+
+ RfclkReset: process(RfClk)
+ begin
+ if rising_edge(RfClk) then
+ rReset_n <= pResetPulse_n;
+ end if;
+ end process RfclkReset;
+
+ -------------------------------------
+ -- RF Resets
+ -------------------------------------
+ -- RFDC resets are asserted only once and it should be done using the reset
+ -- from software. This is because we want the RFDC AXI-S interface in reset
+ -- until the RfClk is stable. The only way to know if the RfClk is stable is
+ -- by reading the lock status of sample clock PLL and MMCM used to generate
+ -- all clocks in the signal path. dSwReset is a software reset while is
+ -- asserted for a longer period of time and it does not require any pulse
+ -- stretch.
+
+ RfdcReset: process(PllRefClk)
+ begin
+ if rising_edge(PllRefClk) then
+ pAxiReset_n <= not dSwReset;
+ end if;
+ end process RfdcReset;
+
+ RfclkAxiReset: process(RfClk)
+ begin
+ if rising_edge(RfClk) then
+ rAxiReset_n <= pAxiReset_n;
+ end if;
+ end process RfclkAxiReset;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/common/rf_reset_controller.vhd b/fpga/usrp3/top/x400/rf/common/rf_reset_controller.vhd
new file mode 100644
index 000000000..d69228a80
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/rf_reset_controller.vhd
@@ -0,0 +1,208 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: rf_reset_controller
+--
+-- Description:
+--
+-- Control RFDC, ADC, and DAC resets.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library WORK;
+ use WORK.PkgRFDC_REGS_REGMAP.all;
+
+entity rf_reset_controller is
+ port(
+ -- Clocks
+ -- Config clock is async to all the others.
+ ConfigClk : in std_logic;
+ DataClk : in std_logic;
+ PllRefClk : in std_logic;
+ RfClk : in std_logic;
+ RfClk2x : in std_logic;
+ DataClk2x : in std_logic;
+
+ -- Master resets from the Radio
+ dAdcResetPulse : in std_logic;
+ dDacResetPulse : in std_logic;
+
+ -- ADC Resets
+ dAdcDataOutReset_n : out std_logic;
+ r2AdcFirReset_n : out std_logic;
+ rAdcRfdcAxiReset_n : out std_logic;
+ rAdcEnableData : out std_logic;
+ rAdcGearboxReset_n : out std_logic;
+
+ -- DAC Resets
+ dDacDataInReset_n : out std_logic;
+ r2DacFirReset_n : out std_logic;
+ d2DacFirReset_n : out std_logic;
+ rDacRfdcAxiReset_n : out std_logic;
+ rDacGearboxReset_n : out std_logic;
+
+ -- SW Control and Status
+ -- Control to initiate resets to RFDC and decimation block including the
+ -- gearboxes. The reset status is a sticky status of both ADC and DAC.
+ cSoftwareControl : in std_logic_vector(31 downto 0);
+ cSoftwareStatus : out std_logic_vector(31 downto 0)
+ );
+end rf_reset_controller;
+
+
+architecture RTL of rf_reset_controller is
+
+ -- POR value for all resets are high.
+ signal cTriggerAdcReset : std_logic := '1';
+ signal cTriggerAdcResetDlyd : std_logic := '1';
+ signal cTriggerDacReset : std_logic := '1';
+ signal cTriggerDacResetDlyd : std_logic := '1';
+
+ signal dTriggerAdcReset_ms : std_logic := '1';
+ signal dTriggerAdcReset : std_logic := '1';
+ signal dTriggerDacReset_ms : std_logic := '1';
+ signal dTriggerDacReset : std_logic := '1';
+
+ -- POR value of all reset done signals are set to low.
+ signal cTriggerAdcResetDone_ms : std_logic := '0';
+ signal cTriggerAdcResetDone : std_logic := '0';
+ signal cAdcResetDoneSticky : std_logic := '0';
+ signal cTriggerDacResetDone_ms : std_logic := '0';
+ signal cTriggerDacResetDone : std_logic := '0';
+ signal cDacResetDoneSticky : std_logic := '0';
+
+ attribute ASYNC_REG : string;
+ attribute ASYNC_REG of dTriggerAdcReset : signal is "TRUE";
+ attribute ASYNC_REG of dTriggerDacReset : signal is "TRUE";
+ attribute ASYNC_REG of cTriggerAdcResetDone : signal is "TRUE";
+ attribute ASYNC_REG of cTriggerDacResetDone : signal is "TRUE";
+ attribute ASYNC_REG of dTriggerAdcReset_ms : signal is "TRUE";
+ attribute ASYNC_REG of dTriggerDacReset_ms : signal is "TRUE";
+ attribute ASYNC_REG of cTriggerAdcResetDone_ms : signal is "TRUE";
+ attribute ASYNC_REG of cTriggerDacResetDone_ms : signal is "TRUE";
+
+begin
+
+ -- rAdcEnableData is set to '1' as we don't control the flow of RX data.
+ rAdcEnableData <= '1';
+
+ cTriggerAdcReset <= cSoftwareControl(kADC_RESET);
+ cTriggerDacReset <= cSoftwareControl(kDAC_RESET);
+
+ cSoftwareStatus <= (
+ kADC_SEQ_DONE => cAdcResetDoneSticky,
+ kDAC_SEQ_DONE => cDacResetDoneSticky,
+ others => '0'
+ );
+
+ -----------------------------------------------------------------------------
+ -- High-Level Resets Using ConfigClk
+ -----------------------------------------------------------------------------
+ -- Pass the master FSM reset around to the other clock domains and then
+ -- return them back to the ConfigClk domain. This is also a handy way to
+ -- prove all your clocks are toggling to some extent.
+ -----------------------------------------------------------------------------
+
+ SeqResetDataClk : process(DataClk)
+ begin
+ if rising_edge(DataClk) then
+ -- double-syncs have no sync reset!
+ dTriggerAdcReset_ms <= cTriggerAdcReset;
+ dTriggerAdcReset <= dTriggerAdcReset_ms;
+ dTriggerDacReset_ms <= cTriggerDacReset;
+ dTriggerDacReset <= dTriggerDacReset_ms;
+ end if;
+ end process;
+
+ -----------------------------------------------------------------------------
+ -- Reset Sequence Done Status
+ -----------------------------------------------------------------------------
+ -- Now back to ConfigClk! We provide the status for all software controlled
+ -- resets. We move the signal from ConfigClk to DataClk domain and move it
+ -- back to ConfigClk domain. This just proves that DataClk is toggling and
+ -- the reset requested by software is sampled in the DataClk.
+ -----------------------------------------------------------------------------
+
+ SeqResetDone : process(ConfigClk)
+ begin
+ if rising_edge(ConfigClk) then
+ -- double-syncs have no sync reset!
+ cTriggerAdcResetDone_ms <= dTriggerAdcReset;
+ cTriggerAdcResetDone <= cTriggerAdcResetDone_ms;
+ cTriggerDacResetDone_ms <= dTriggerDacReset;
+ cTriggerDacResetDone <= cTriggerDacResetDone_ms;
+ end if;
+ end process;
+
+ -- ADC reset done
+ SwAdcResetDone: process(ConfigClk)
+ begin
+ if rising_edge(ConfigClk) then
+ cTriggerAdcResetDlyd <= cTriggerAdcReset;
+ -- De-assert reset status on the rising edge of SW ADC reset.
+ if cTriggerAdcReset = '1' and cTriggerAdcResetDlyd = '0' then
+ cAdcResetDoneSticky <= '0';
+ -- Assert and hold the ADC reset status on ADC reset strobe.
+ elsif cTriggerAdcResetDone = '1' then
+ cAdcResetDoneSticky <= '1';
+ end if;
+ end if;
+ end process SwAdcResetDone;
+
+ -- DAC reset done
+ SwDacResetDone: process(ConfigClk)
+ begin
+ if rising_edge(ConfigClk) then
+ cTriggerDacResetDlyd <= cTriggerDacReset;
+ -- De-assert reset status on the rising edge of SW DAC reset.
+ if cTriggerDacReset = '1' and cTriggerDacResetDlyd = '0' then
+ cDacResetDoneSticky <= '0';
+ -- Assert and hold the DAC reset status on DAC reset strobe.
+ elsif cTriggerDacResetDone = '1' then
+ cDacResetDoneSticky <= '1';
+ end if;
+ end if;
+ end process SwDacResetDone;
+
+ -----------------------------------------------------------------------------
+ -- rf_reset Instances
+ -----------------------------------------------------------------------------
+
+ AdcResets: entity work.rf_reset (RTL)
+ port map (
+ DataClk => DataClk,
+ PllRefClk => PllRefClk,
+ RfClk => RfClk,
+ RfClk2x => RfClk2x,
+ DataClk2x => DataClk2x,
+ dTimedReset => dAdcResetPulse,
+ dSwReset => dTriggerAdcReset,
+ dReset_n => dAdcDataOutReset_n,
+ d2Reset_n => open,
+ r2Reset_n => r2AdcFirReset_n,
+ rAxiReset_n => rAdcRfdcAxiReset_n,
+ rReset_n => rAdcGearboxReset_n
+ );
+
+ DacResets: entity work.rf_reset (RTL)
+ port map (
+ DataClk => DataClk,
+ PllRefClk => PllRefClk,
+ RfClk => RfClk,
+ RfClk2x => RfClk2x,
+ DataClk2x => DataClk2x,
+ dTimedReset => dDacResetPulse,
+ dSwReset => dTriggerDacReset,
+ dReset_n => dDacDataInReset_n,
+ d2Reset_n => d2DacFirReset_n,
+ r2Reset_n => r2DacFirReset_n,
+ rAxiReset_n => rDacRfdcAxiReset_n,
+ rReset_n => rDacGearboxReset_n
+ );
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/common/scale_2x.vhd b/fpga/usrp3/top/x400/rf/common/scale_2x.vhd
new file mode 100644
index 000000000..919ae9474
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/scale_2x.vhd
@@ -0,0 +1,51 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: scale_2x
+--
+-- Description:
+--
+-- This block does the scaling of IQ data by 2. The data from the mixer is
+-- 1/2 the full scale and the upper two bits will only have the signed bits,
+-- so it is okay to multiply the data by 2 and resize it back to 16 bits.
+--
+-- Parameters:
+--
+-- kDataWidth: Data width, should be a multiple of 16 bits.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library WORK;
+ use WORK.PkgRf.all;
+
+entity scale_2x is
+ generic(
+ kDataWidth : integer range 1 to 256 := 32
+ );
+ port(
+ -- [..Q1,I1,Q0,I0] (I in LSBs). Each I and Q data is 16 bits wide, but
+ -- since the data is only 1/2 full scale. Useful information is only
+ -- in the lower 15 bits, with upper two bits used as a signed bit.
+ cDataIn : in std_logic_vector(kDataWidth-1 downto 0);
+ cDataValidIn : in std_logic;
+
+ -- [..Q1,I1,Q0,I0] (I in LSBs). 16 bit output with a gain of 2x.
+ cDataOut : out std_logic_vector(kDataWidth-1 downto 0);
+ cDataValidOut : out std_logic
+ );
+end scale_2x;
+
+architecture RTL of scale_2x is
+
+begin
+
+ -- Scale the date by 2 by shifting the data to the left by 1 bit.
+ cDataOut <= Gain2x(cDataIn);
+ cDataValidOut <= cDataValidIn;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/common/sync_wrapper.v b/fpga/usrp3/top/x400/rf/common/sync_wrapper.v
new file mode 100644
index 000000000..797d19d5f
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/common/sync_wrapper.v
@@ -0,0 +1,43 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: sync_wrapper
+//
+// Description:
+//
+// As the original synchronizer component has port signal names that are
+// incompatible with VHDL (in, out), this modules provides an an interface to
+// instantiate the synchronizer block in VHDL.
+//
+
+`default_nettype none
+
+module sync_wrapper #(
+ parameter WIDTH = 1,
+ parameter STAGES = 2,
+ parameter INITIAL_VAL = 0,
+ parameter FALSE_PATH_TO_IN = 1
+)(
+ input wire clk,
+ input wire rst,
+ input wire [WIDTH-1:0] signal_in,
+ output wire [WIDTH-1:0] signal_out
+);
+
+synchronizer #(
+ .WIDTH (WIDTH),
+ .STAGES (STAGES),
+ .INITIAL_VAL (INITIAL_VAL),
+ .FALSE_PATH_TO_IN (FALSE_PATH_TO_IN)
+) synchronizer_i (
+ .clk (clk),
+ .rst (rst),
+ .in (signal_in),
+ .out (signal_out)
+);
+
+endmodule //sync_wrapper
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x1.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x1.vhd
new file mode 100644
index 000000000..f5c58ccf5
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x1.vhd
@@ -0,0 +1,186 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_adc_gearbox_2x1
+--
+-- Description:
+--
+-- Self-checking testbench for adc_gearbox_2x1.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_adc_gearbox_2x1 is
+end tb_adc_gearbox_2x1;
+
+
+architecture RTL of tb_adc_gearbox_2x1 is
+
+ component adc_gearbox_2x1
+ port (
+ clk1x : in std_logic;
+ reset_n_1x : in std_logic;
+ adc_q_in_1x : in std_logic_vector(31 downto 0);
+ adc_i_in_1x : in std_logic_vector(31 downto 0);
+ valid_in_1x : in std_logic;
+ enable_1x : in std_logic;
+ clk2x : in std_logic;
+ swap_iq_2x : in std_logic;
+ adc_out_2x : out std_logic_vector(31 downto 0);
+ valid_out_2x : out std_logic);
+ end component;
+
+ signal cDataCheckNxtLo, cDataCheckLo : std_logic_vector(31 downto 0);
+ signal cDataCheckNxtHi, cDataCheckHi1, cDataCheckHi2 : std_logic_vector(31 downto 0);
+
+ signal adc_i_in_1x : std_logic_vector(31 downto 0);
+ signal adc_out_2x : std_logic_vector(31 downto 0);
+ signal adc_q_in_1x : std_logic_vector(31 downto 0);
+ signal enable_1x : std_logic;
+ signal reset_n_1x : std_logic;
+ signal swap_iq_2x : std_logic;
+ signal valid_in_1x : std_logic;
+ signal valid_out_2x : std_logic;
+
+ signal StopSim : boolean;
+ constant kPer : time := 10 ns;
+
+ signal Clk : std_logic := '1';
+ signal Clk2x : std_logic := '1';
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ Clk <= not Clk after kPer/2 when not StopSim else '0';
+ Clk2x <= not Clk2x after kPer/4 when not StopSim else '0';
+
+ dut: adc_gearbox_2x1
+ port map (
+ clk1x => Clk,
+ reset_n_1x => reset_n_1x,
+ adc_q_in_1x => adc_q_in_1x,
+ adc_i_in_1x => adc_i_in_1x,
+ valid_in_1x => valid_in_1x,
+ enable_1x => enable_1x,
+ clk2x => Clk2x,
+ swap_iq_2x => swap_iq_2x,
+ adc_out_2x => adc_out_2x,
+ valid_out_2x => valid_out_2x
+ );
+
+ main: process
+ begin
+ swap_iq_2x <= '0';
+ valid_in_1x <= '0';
+ enable_1x <= '0';
+ reset_n_1x <= '0';
+ ClkWait(5);
+ reset_n_1x <= '1';
+ ClkWait(5);
+
+ -- Ensure the outputs are quiet.
+ ClkWait(20);
+ assert valid_out_2x'stable(kPer*20) and valid_out_2x = '0'
+ report "valid not stable at de-asserted at startup"
+ severity error;
+ assert adc_out_2x'stable(kPer*20) and (adc_out_2x = x"00000000")
+ report "data not stable at zero at startup"
+ severity error;
+
+ -- Valid asserted, Enable asserted, Enable de-asserted, Valid de-asserted.
+
+ ClkWait(10);
+ valid_in_1x <= '1';
+ ClkWait(10);
+ enable_1x <= '1';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '1'
+ report "valid not stable at asserted"
+ severity error;
+
+ ClkWait(10);
+ enable_1x <= '0';
+ ClkWait(10);
+ valid_in_1x <= '0';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '0'
+ report "valid not stable at de-asserted"
+ severity error;
+
+ -- Enable asserted, Valid asserted, Enable de-asserted, Valid de-asserted.
+
+ ClkWait(10);
+ enable_1x <= '1';
+ ClkWait(10);
+ valid_in_1x <= '1';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '1'
+ report "valid not stable at asserted"
+ severity error;
+
+ ClkWait(10);
+ enable_1x <= '0';
+ ClkWait(10);
+ valid_in_1x <= '0';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '0'
+ report "valid not stable at de-asserted"
+ severity error;
+
+ StopSim <= true;
+ wait;
+ end process;
+
+
+ driver: process(Clk)
+ variable tempQdata : integer := 1;
+ variable tempIdata : integer := 128;
+ begin
+ if rising_edge(Clk) then
+ adc_q_in_1x <= std_logic_vector(to_unsigned(tempQdata+1,16)) & std_logic_vector(to_unsigned(tempQdata, 16));
+ adc_i_in_1x <= std_logic_vector(to_unsigned(tempIdata+1,16)) & std_logic_vector(to_unsigned(tempIdata, 16));
+ cDataCheckNxtLo <= std_logic_vector(to_unsigned(tempQdata,16)) & std_logic_vector(to_unsigned(tempIdata, 16));
+ cDataCheckNxtHi <= std_logic_vector(to_unsigned(tempQdata+1,16)) & std_logic_vector(to_unsigned(tempIdata+1,16));
+ tempQdata := tempQdata+2;
+ tempIdata := tempIdata+2;
+ end if;
+ end process;
+
+
+ checker: process(Clk2x)
+ variable tempout : integer := 1;
+ variable ExpectedData : std_logic_vector(31 downto 0) := (others => '0');
+ begin
+ if falling_edge(Clk2x) then
+ if Clk = '1' then
+ ExpectedData := cDataCheckLo;
+ else
+ ExpectedData := cDataCheckHi2;
+ end if;
+ if valid_out_2x = '1' then
+ assert adc_out_2x = ExpectedData
+ report "ADC data out mismatch from expected"
+ severity error;
+ tempout := tempout +1;
+ end if;
+ cDataCheckLo <= cDataCheckNxtLo;
+ cDataCheckHi1 <= cDataCheckNxtHi;
+ cDataCheckHi2 <= cDataCheckHi1;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x4.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x4.vhd
new file mode 100644
index 000000000..b36f7de54
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_2x4.vhd
@@ -0,0 +1,197 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_adc_gearbox_2x4
+--
+-- Description:
+--
+-- Self-checking testbench for the gearbox that expands the data width from 2
+-- SPC to 4 SPC.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_adc_gearbox_2x4 is
+end tb_adc_gearbox_2x4;
+
+
+architecture RTL of tb_adc_gearbox_2x4 is
+
+ component adc_gearbox_2x4
+ port (
+ Clk1x : in std_logic;
+ Clk3x : in std_logic;
+ ac1Reset_n : in std_logic;
+ ac3Reset_n : in std_logic;
+ c3DataIn : in std_logic_vector(95 downto 0);
+ c3DataValidIn : in std_logic;
+ c1DataOut : out std_logic_vector(191 downto 0);
+ c1DataValidOut : out std_logic);
+ end component;
+
+ signal aTestReset : boolean;
+
+ signal ac1Reset_n : std_logic := '1';
+ signal ac3Reset_n : std_logic := '1';
+ signal c3DataIn : std_logic_vector( 95 downto 0) := (others => '0');
+ signal c3DataValidIn : std_logic := '0';
+ signal c1ExpectedData : std_logic_vector(191 downto 0) := (others => '0');
+ signal c1DataOut : std_logic_vector(191 downto 0) := (others => '0');
+ signal c1DataValidOut : std_logic;
+
+ signal StopSim : boolean;
+ constant kPer : time := 12 ns;
+
+ signal Clk1x : std_logic := '1';
+ signal Clk3x : std_logic := '1';
+
+ procedure Clk3xWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk3x);
+ end loop;
+ end procedure Clk3xWait;
+
+ procedure Clk1xWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk1x);
+ end loop;
+ end procedure Clk1xWait;
+
+begin
+
+ Clk1x <= not Clk1x after kPer/2 when not StopSim else '0';
+ Clk3x <= not Clk3x after kPer/6 when not StopSim else '0';
+
+ dut: adc_gearbox_2x4
+ port map (
+ Clk1x => Clk1x,
+ Clk3x => Clk3x,
+ ac1Reset_n => ac1Reset_n,
+ ac3Reset_n => ac3Reset_n,
+ c3DataIn => c3DataIn,
+ c3DataValidIn => c3DataValidIn,
+ c1DataOut => c1DataOut,
+ c1DataValidOut => c1DataValidOut
+ );
+
+ main: process
+ procedure PhaseTest(WaitCycles : positive := 1) is
+ begin
+ -- Stop data generation by asserting this reset.
+ aTestReset <= true;
+ Clk1xWait;
+ ac1Reset_n <= '0';
+ ac3Reset_n <= '0';
+ Clk1xWait;
+ ac1Reset_n <= '1';
+ ac3Reset_n <= '1';
+
+ -- This wait is in Clk3x domain. This is used to change phase in which
+ -- data valid is asserted with respect to Clk3x and Clk1x rising edge.
+ -- Wait an additional 12 Clk3x cycles for the output data valid to be
+ -- de-asserted.
+ Clk3xWait(WaitCycles+12);
+
+ -- De-asserting test reset will start data generation.
+ aTestReset <= false;
+
+ -- Wait for a random time before we stop the test.
+ Clk3xWait(1000);
+ end procedure;
+
+ begin
+ -- Change phase between Clk1x and Clk3x. See details in the DUT.
+ -- The wait in each phase test is used to move the de-assertion of data
+ -- generation logic reset. By doing this, we can change data valid
+ -- assertion phase between Clk3x and Clk1x.
+ -- p0.
+ PhaseTest(1);
+
+ -- p1
+ PhaseTest(2);
+
+ -- p2.
+ PhaseTest(6);
+
+ -- Stop simulation
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to generate data to the DUT.
+ driver: process(Clk3x, aTestReset)
+ variable tempQdata : integer := 1;
+ variable tempIdata : integer := 128;
+ variable dataCount : integer := 0;
+ begin
+ if aTestReset then
+ tempQdata := 1;
+ tempIdata := 128;
+ dataCount := 0;
+ c3DataIn <= (others => '0');
+ c3DataValidIn <= '0';
+ elsif rising_edge(Clk3x) then
+
+ if dataCount < 2 then
+ c3DataIn <= "0000000" & std_logic_vector(to_unsigned(tempQdata+1,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempIdata+1,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempQdata+0,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempIdata+0,17));
+ dataCount := dataCount + 1;
+ c3DataValidIn <= '1';
+ tempQdata := tempQdata +2;
+ tempIdata := tempIdata +2;
+ elsif dataCount = 2 then
+ c3DataIn <= (others => '0');
+ dataCount := 0;
+ c3DataValidIn <= '0';
+ end if;
+
+ end if;
+ end process;
+
+ -- Process to generate expected data that is used to verify the DUT output.
+ expected_data: process(Clk1x)
+ variable tempQdata : integer := 1;
+ variable tempIdata : integer := 128;
+ begin
+ if rising_edge(Clk1x) then
+
+ if aTestReset and c1DataValidOut = '0' then
+ tempQdata := 1;
+ tempIdata := 128;
+ elsif c1DataValidOut = '1' then
+ tempQdata := tempQdata+4;
+ tempIdata := tempIdata+4;
+ end if;
+ c1ExpectedData <= "0000000" & std_logic_vector(to_unsigned(tempQdata+3,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempIdata+3,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempQdata+2,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempIdata+2,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempQdata+1,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempIdata+1,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempQdata+0,17)) &
+ "0000000" & std_logic_vector(to_unsigned(tempIdata+0,17));
+
+ end if;
+ end process;
+
+ -- Process to continuously check output data from the DUT.
+ checker: process(Clk1x)
+ begin
+ if falling_edge(Clk1x) then
+ if c1DataValidOut = '1' then
+ assert c1DataOut = c1ExpectedData
+ report "ADC data out mismatch from expected"
+ severity error;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_8x4.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_8x4.vhd
new file mode 100644
index 000000000..88e520a6b
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_adc_gearbox_8x4.vhd
@@ -0,0 +1,206 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_adc_gearbox_8x4
+--
+-- Description:
+--
+-- Self-checking testbench for adc_gearbox_8x4.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_adc_gearbox_8x4 is
+end tb_adc_gearbox_8x4;
+
+
+architecture RTL of tb_adc_gearbox_8x4 is
+
+ component adc_gearbox_8x4
+ port (
+ clk1x : in std_logic;
+ reset_n_1x : in std_logic;
+ adc_q_in_1x : in std_logic_vector(127 downto 0);
+ adc_i_in_1x : in std_logic_vector(127 downto 0);
+ valid_in_1x : in std_logic;
+ enable_1x : in std_logic;
+ clk2x : in std_logic;
+ swap_iq_2x : in std_logic;
+ adc_out_2x : out std_logic_vector(127 downto 0);
+ valid_out_2x : out std_logic);
+ end component;
+
+ signal cDataCheckNxtLo, cDataCheckLo: std_logic_vector(127 downto 0);
+ signal cDataCheckNxtHi : std_logic_vector(127 downto 0);
+ signal cDataCheckHi1, cDataCheckHi2: std_logic_vector(127 downto 0);
+
+ signal adc_i_in_1x : std_logic_vector(127 downto 0);
+ signal adc_out_2x : std_logic_vector(127 downto 0);
+ signal adc_q_in_1x : std_logic_vector(127 downto 0);
+ signal enable_1x : std_logic;
+ signal reset_n_1x : std_logic;
+ signal swap_iq_2x : std_logic;
+ signal valid_in_1x : std_logic;
+ signal valid_out_2x : std_logic;
+
+ signal StopSim : boolean;
+ constant kPer : time := 10 ns;
+
+ signal Clk : std_logic := '1';
+ signal Clk2x : std_logic := '1';
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ Clk <= not Clk after kPer/2 when not StopSim else '0';
+ Clk2x <= not Clk2x after kPer/4 when not StopSim else '0';
+
+ dut: adc_gearbox_8x4
+ port map (
+ clk1x => Clk,
+ reset_n_1x => reset_n_1x,
+ adc_q_in_1x => adc_q_in_1x,
+ adc_i_in_1x => adc_i_in_1x,
+ valid_in_1x => valid_in_1x,
+ enable_1x => enable_1x,
+ clk2x => Clk2x,
+ swap_iq_2x => swap_iq_2x,
+ adc_out_2x => adc_out_2x,
+ valid_out_2x => valid_out_2x
+ );
+
+ main: process
+ begin
+ swap_iq_2x <= '0';
+ valid_in_1x <= '0';
+ enable_1x <= '0';
+ reset_n_1x <= '0';
+ ClkWait(5);
+ reset_n_1x <= '1';
+ ClkWait(5);
+
+ -- Ensure the outputs are quiet.
+ ClkWait(20);
+ assert valid_out_2x'stable(kPer*20) and valid_out_2x = '0'
+ report "valid not stable at de-asserted at startup"
+ severity error;
+ assert adc_out_2x'stable(kPer*20) and (adc_out_2x = std_logic_vector(to_unsigned(0,128)))
+ report "data not stable at zero at startup"
+ severity error;
+
+ -- Valid asserted, Enable asserted, Enable de-asserted, Valid de-asserted.
+
+ ClkWait(10);
+ valid_in_1x <= '1';
+ ClkWait(10);
+ enable_1x <= '1';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '1'
+ report "valid not stable at asserted"
+ severity error;
+
+ ClkWait(10);
+ enable_1x <= '0';
+ ClkWait(10);
+ valid_in_1x <= '0';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '0'
+ report "valid not stable at de-asserted"
+ severity error;
+
+ -- Enable asserted, Valid asserted, Enable de-asserted, Valid de-asserted.
+
+ ClkWait(10);
+ enable_1x <= '1';
+ ClkWait(10);
+ valid_in_1x <= '1';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '1'
+ report "valid not stable at asserted"
+ severity error;
+
+ ClkWait(10);
+ enable_1x <= '0';
+ ClkWait(10);
+ valid_in_1x <= '0';
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '0'
+ report "valid not stable at de-asserted"
+ severity error;
+
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to generate input data to DUT and expected output data.
+ driver: process(Clk)
+ variable tempQdata : integer := 1;
+ variable tempIdata : integer := 128;
+ variable qData8spc : std_logic_vector(127 downto 0);
+ variable iData8spc : std_logic_vector(127 downto 0);
+ begin
+ if rising_edge(Clk) then
+ qdata8Spc := std_logic_vector(to_unsigned(tempQdata+7,16)) & std_logic_vector(to_unsigned(tempQdata+6,16)) &
+ std_logic_vector(to_unsigned(tempQdata+5,16)) & std_logic_vector(to_unsigned(tempQdata+4,16)) &
+ std_logic_vector(to_unsigned(tempQdata+3,16)) & std_logic_vector(to_unsigned(tempQdata+2,16)) &
+ std_logic_vector(to_unsigned(tempQdata+1,16)) & std_logic_vector(to_unsigned(tempQdata ,16));
+ adc_q_in_1x <= qData8Spc;
+
+ iData8spc := std_logic_vector(to_unsigned(tempIdata+7,16)) & std_logic_vector(to_unsigned(tempIdata+6,16)) &
+ std_logic_vector(to_unsigned(tempIdata+5,16)) & std_logic_vector(to_unsigned(tempIdata+4,16)) &
+ std_logic_vector(to_unsigned(tempIdata+3,16)) & std_logic_vector(to_unsigned(tempIdata+2,16)) &
+ std_logic_vector(to_unsigned(tempIdata+1,16)) & std_logic_vector(to_unsigned(tempIdata ,16));
+ adc_i_in_1x <= iData8Spc;
+
+
+ cDataCheckNxtLo <= qData8spc( 63 downto 48) & iData8spc( 63 downto 48) &
+ qData8spc( 47 downto 32) & iData8spc( 47 downto 32) &
+ qData8spc( 31 downto 16) & iData8spc( 31 downto 16) &
+ qData8spc( 15 downto 0) & iData8spc( 15 downto 0);
+ cDataCheckNxtHi <= qData8spc(127 downto 112) & iData8spc(127 downto 112) &
+ qData8spc(111 downto 96) & iData8spc(111 downto 96) &
+ qData8spc( 95 downto 80) & iData8spc( 95 downto 80) &
+ qData8spc( 79 downto 64) & iData8spc( 79 downto 64);
+ tempQdata := tempQdata+8;
+ tempIdata := tempIdata+8;
+ end if;
+ end process;
+
+ -- Process to check DUT output with expected data.
+ checker: process(Clk2x)
+ variable tempout : integer := 1;
+ variable ExpectedData : std_logic_vector(127 downto 0) := (others => '0');
+ begin
+ if falling_edge(Clk2x) then
+ if Clk = '1' then
+ ExpectedData := cDataCheckLo;
+ else
+ ExpectedData := cDataCheckHi2;
+ end if;
+ if valid_out_2x = '1' then
+ assert adc_out_2x = ExpectedData
+ report "ADC data out mismatch from expected"
+ severity error;
+ tempout := tempout +1;
+ end if;
+ cDataCheckLo <= cDataCheckNxtLo;
+ cDataCheckHi1 <= cDataCheckNxtHi;
+ cDataCheckHi2 <= cDataCheckHi1;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_capture_sysref.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_capture_sysref.vhd
new file mode 100644
index 000000000..eb5cbcf08
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_capture_sysref.vhd
@@ -0,0 +1,119 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_capture_sysref
+--
+-- Description:
+--
+-- Self-checking testbench for tb_capture_sysref.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_capture_sysref is
+end tb_capture_sysref;
+
+
+architecture RTL of tb_capture_sysref is
+
+ component capture_sysref
+ port (
+ pll_ref_clk : in std_logic;
+ rfdc_clk : in std_logic;
+ sysref_in : in std_logic;
+ enable_rclk : in std_logic;
+ sysref_out_pclk : out std_logic;
+ sysref_out_rclk : out std_logic);
+ end component;
+
+ signal enable_rclk : std_logic := '0';
+ signal sysref_out_pclk : std_logic := '0';
+ signal sysref_out_rclk : std_logic := '0';
+ signal sysref_in : std_logic := '0';
+
+ signal SysrefDly, SysrefDlyDly, rSysref : std_logic := '0';
+
+ signal StopSim : boolean;
+ constant kPerPRC : time := 30 ns;
+ constant kPerRF : time := 10 ns;
+
+ signal PllRefClk : std_logic := '1';
+ signal RfdcClk : std_logic := '1';
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(PllRefClk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ PllRefClk <= not PllRefClk after kPerPRC/2 when not StopSim else '0';
+ RfdcClk <= not RfdcClk after kPerRF/2 when not StopSim else '0';
+
+ dut: capture_sysref
+ port map (
+ pll_ref_clk => PllRefClk,
+ rfdc_clk => RfdcClk,
+ sysref_in => sysref_in,
+ enable_rclk => enable_rclk,
+ sysref_out_pclk => sysref_out_pclk,
+ sysref_out_rclk => sysref_out_rclk
+ );
+
+ main: process
+ begin
+ enable_rclk <= '1';
+ ClkWait(100);
+ wait until falling_edge(sysref_out_rclk);
+ ClkWait;
+ wait until falling_edge(RfdcClk);
+ enable_rclk <= '0';
+ ClkWait(100);
+ wait until falling_edge(RfdcClk);
+ enable_rclk <= '1';
+ ClkWait(100);
+
+ StopSim <= true;
+ wait;
+ end process;
+
+ sysref: process(PllRefClk)
+ variable count : integer := 1;
+ begin
+ if rising_edge(PllRefClk) then
+ count := count +1;
+ if count = 10 then
+ sysref_in <= not sysref_in;
+ count := 1;
+ end if;
+ end if;
+ end process;
+
+ checker_pll_ref_clk: process(PllRefClk)
+ begin
+ if falling_edge(PllRefClk) then
+ SysrefDly <= sysref_in;
+ SysrefDlyDly <= SysrefDly;
+ assert SysrefDlyDly = sysref_out_pclk
+ report "SYSREF incorrectly captured in the PllRefClk domain"
+ severity error;
+ end if;
+ end process;
+
+ checker_rfdc_clk: process(RfdcClk)
+ begin
+ if falling_edge(RfdcClk) then
+ rSysref <= sysref_out_pclk;
+ assert (rSysref = sysref_out_rclk) or (enable_rclk = '0')
+ report "SYSREF incorrectly captured in the RfdcClk domain."
+ severity error;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_12x8.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_12x8.vhd
new file mode 100644
index 000000000..cde766cbd
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_12x8.vhd
@@ -0,0 +1,197 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_dac_gearbox_12x8
+--
+-- Description:
+--
+-- Self-checking testbench for a gearbox that decreases the SPCs from 12 to
+-- 8.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_dac_gearbox_12x8 is
+end tb_dac_gearbox_12x8;
+
+
+architecture RTL of tb_dac_gearbox_12x8 is
+
+ signal TestStart : boolean;
+
+ signal ac1Reset_n : std_logic := '0';
+ signal arReset_n : std_logic := '0';
+ signal c1DataIn : std_logic_vector(383 downto 0) := (others => '0');
+ signal c1DataValidIn : std_logic := '0';
+ signal rDataOut : std_logic_vector(255 downto 0);
+ signal rReadyForOutput : std_logic := '1';
+ signal rDataValidOut : std_logic;
+ signal rDataToCheck, rDataToCheckDly0, rDataToCheckDly1, rDataToCheckDly2,
+ rDataToCheckDly3, rDataToCheckDly4
+ : std_logic_vector(255 downto 0) := (others => '0');
+
+ signal StopSim : boolean;
+ constant kPer : time := 12 ns;
+
+ signal Clk1x: std_logic := '1';
+ signal RfClk: std_logic := '1';
+
+ procedure RfClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(RfClk);
+ end loop;
+ end procedure RfClkWait;
+
+ procedure Clk1xWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk1x);
+ end loop;
+ end procedure Clk1xWait;
+
+begin
+
+ Clk1x <= not Clk1x after kPer/4 when not StopSim else '0';
+ RfClk <= not RfClk after kPer/6 when not StopSim else '0';
+
+ dut: entity WORK.dac_gearbox_12x8 (RTL)
+ port map (
+ Clk1x => Clk1x,
+ RfClk => RfClk,
+ ac1Reset_n => ac1Reset_n,
+ arReset_n => arReset_n,
+ c1DataIn => c1DataIn,
+ c1DataValidIn => c1DataValidIn,
+ rDataOut => rDataOut,
+ rReadyForOutput => rReadyForOutput,
+ rDataValidOut => rDataValidOut
+ );
+
+ main: process
+ -- Procedure to start and stop data generation.
+ -- WaitCycles : This is a wait in Clk1x cycle. This is used to shift data
+ -- valid assertion. Depending on the Clk1x cycle, data valid
+ -- will be asserted either when both RfClk and Clk1x are phase
+ -- aligned or when both clocks are not phase aligned.
+ procedure PhaseTest(WaitCycles : positive := 1) is
+ begin
+ for i in 0 to 31 loop
+ -- Wait for certain RfClk cycles before starting the test.
+ Clk1xWait(WaitCycles);
+ TestStart <= true;
+ -- Random wait
+ Clk1xWait(1000+i);
+ TestStart <= false;
+ -- wait for few clock cycles for the output data valid to de-assert.
+ Clk1xWait(10);
+ end loop;
+ end procedure;
+
+ begin
+ ac1Reset_n <= '0';
+ arReset_n <= '0';
+ TestStart <= false;
+ Clk1xWait(5);
+ ac1Reset_n <= '1';
+ arReset_n <= '1';
+ rReadyForOutput <= '1';
+
+ -- RfClk and Clk1x are phase aligned
+ PhaseTest(1);
+
+ -- RfClk and Clk1x are phase aligned
+ PhaseTest(2);
+
+ -- RfClk and Clk1x are not phase aligned
+ PhaseTest(3);
+
+ -- Stop data input to the DUT and wait for few clock cycles for the output
+ -- data valid to be de-asserted.
+ TestStart <= false;
+ RfClkWait(10);
+
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to generate input data.
+ driver: process(Clk1x)
+ variable qDataIn : unsigned(15 downto 0) := x"0001";
+ variable iDataIn : unsigned(15 downto 0) := x"0080";
+ begin
+ if rising_edge(Clk1x) then
+ c1DataValidIn <= '0';
+ if TestStart then
+ c1DataValidIn <= '1';
+ c1DataIn <= std_logic_vector((qDataIn+11) & (iDataIn+11) &
+ (qDataIn+10) & (iDataIn+10) &
+ (qDataIn+9) & (iDataIn+9) &
+ (qDataIn+8) & (iDataIn+8) &
+ (qDataIn+7) & (iDataIn+7) &
+ (qDataIn+6) & (iDataIn+6) &
+ (qDataIn+5) & (iDataIn+5) &
+ (qDataIn+4) & (iDataIn+4) &
+ (qDataIn+3) & (iDataIn+3) &
+ (qDataIn+2) & (iDataIn+2) &
+ (qDataIn+1) & (iDataIn+1) &
+ (qDataIn+0) & (iDataIn+0));
+ qDataIn := qDataIn+12;
+ iDataIn := iDataIn+12;
+
+ else
+ c1DataValidIn <= '0';
+ qDataIn := x"0001";
+ iDataIn := x"0080";
+ end if;
+ end if;
+ end process;
+
+ -- Process to generate expected output data.
+ ExpectedData: process(RfClk)
+ variable qDataOut : unsigned(15 downto 0) := x"0001";
+ variable iDataOut : unsigned(15 downto 0) := x"0080";
+ begin
+ if rising_edge(RfClk) then
+ if TestStart then
+ rDataToCheck <= std_logic_vector((qDataOut+7) & (iDataOut+7) &
+ (qDataOut+6) & (iDataOut+6) &
+ (qDataOut+5) & (iDataOut+5) &
+ (qDataOut+4) & (iDataOut+4) &
+ (qDataOut+3) & (iDataOut+3) &
+ (qDataOut+2) & (iDataOut+2) &
+ (qDataOut+1) & (iDataOut+1) &
+ (qDataOut+0) & (iDataOut+0));
+
+ -- Data output that has to be verified.
+ qDataOut := qDataOut+8;
+ iDataOut := iDataOut+8;
+ else
+ qDataOut := x"0001";
+ iDataOut := x"0080";
+ end if;
+ rDataToCheckDly0 <= rDataToCheck;
+ rDataToCheckDly1 <= rDataToCheckDly0;
+ rDataToCheckDly2 <= rDataToCheckDly1;
+ rDataToCheckDly3 <= rDataToCheckDly2;
+ rDataToCheckDly4 <= rDataToCheckDly3;
+ end if;
+ end process;
+
+ -- Process to check output data with expected data.
+ checker: process(RfClk)
+ begin
+ if falling_edge(RfClk) then
+ if rDataValidOut = '1' then
+ assert rDataOut = rDataToCheckDly4
+ report "DAC data out mismatch from expected"
+ severity error;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_4x2.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_4x2.vhd
new file mode 100644
index 000000000..45fe9e150
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_4x2.vhd
@@ -0,0 +1,168 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_dac_gearbox_4x2
+--
+-- Description:
+--
+-- Self-checking testbench used to test the gearbox that reduces a 4 SPC data
+-- into a 2 SPC data.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_dac_gearbox_4x2 is
+end tb_dac_gearbox_4x2;
+
+
+architecture RTL of tb_dac_gearbox_4x2 is
+
+ component dac_gearbox_4x2
+ port (
+ clk1x : in std_logic;
+ reset_n_1x : in std_logic;
+ data_in_1x : in std_logic_vector(127 downto 0);
+ valid_in_1x : in std_logic;
+ ready_out_1x : out std_logic;
+ clk2x : in std_logic;
+ data_out_2x : out std_logic_vector(63 downto 0);
+ valid_out_2x : out std_logic);
+ end component;
+
+ signal TestStart : boolean;
+
+ signal data_in_1x : std_logic_vector(127 downto 0);
+ signal data_out_2x : std_logic_vector(63 downto 0);
+ signal ready_out_1x : std_logic;
+ signal reset_n_1x : std_logic;
+ signal valid_in_1x : std_logic;
+ signal valid_out_2x : std_logic;
+
+ signal StopSim : boolean;
+ constant kPer : time := 10 ns;
+
+ signal Clk: std_logic := '1';
+ signal Clk2x: std_logic := '1';
+
+ signal c2DataToCheck, c2DataToCheckDly0, c2DataToCheckDly1, c2DataToCheckDly2
+ : std_logic_vector(63 downto 0) := (others => '0');
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ Clk <= not Clk after kPer/2 when not StopSim else '0';
+ Clk2x <= not Clk2x after kPer/4 when not StopSim else '0';
+
+ dut: dac_gearbox_4x2
+ port map (
+ clk1x => Clk,
+ reset_n_1x => reset_n_1x,
+ data_in_1x => data_in_1x,
+ valid_in_1x => valid_in_1x,
+ ready_out_1x => ready_out_1x,
+ clk2x => Clk2x,
+ data_out_2x => data_out_2x,
+ valid_out_2x => valid_out_2x
+ );
+
+ main: process
+ begin
+ reset_n_1x <= '0';
+ TestStart <= false;
+ ClkWait(5);
+ reset_n_1x <= '1';
+ ClkWait(5);
+
+ -- Ensure the outputs are quiet.
+ ClkWait(20);
+ assert valid_out_2x'stable(kPer*20) and valid_out_2x = '0'
+ report "valid not stable at de-asserted at startup"
+ severity error;
+ assert data_out_2x'stable(kPer*20) and (data_out_2x = x"0000000000000000")
+ report "data not stable at zero at startup"
+ severity error;
+
+ -- Valid asserted, Enable asserted, Enable de-asserted, Valid de-asserted.
+
+ ClkWait(10);
+ TestStart <= true;
+
+ ClkWait(110);
+ assert valid_out_2x'stable(kPer*100) and valid_out_2x = '1'
+ report "valid not stable at asserted"
+ severity error;
+
+ TestStart <= false;
+ ClkWait(10);
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to generate input data to DUT.
+ driver: process(Clk)
+ variable tempQdata : integer := 1;
+ variable tempIdata : integer := 128;
+ begin
+ if rising_edge(Clk) then
+ valid_in_1x <= '0';
+ if TestStart then
+ valid_in_1x <= '1';
+ data_in_1x <= std_logic_vector(to_unsigned(tempQdata+3,16)) & std_logic_vector(to_unsigned(tempIdata+3,16)) &
+ std_logic_vector(to_unsigned(tempQdata+2,16)) & std_logic_vector(to_unsigned(tempIdata+2,16)) &
+ std_logic_vector(to_unsigned(tempQdata+1,16)) & std_logic_vector(to_unsigned(tempIdata+1,16)) &
+ std_logic_vector(to_unsigned(tempQdata+0,16)) & std_logic_vector(to_unsigned(tempIdata+0,16));
+ tempQdata := tempQdata+4;
+ tempIdata := tempIdata+4;
+ end if;
+ end if;
+ end process;
+
+ -- Process to generate expected data out of the DUT.
+ ExpectedData: process(Clk2x)
+ variable qDataOut : unsigned(15 downto 0) := x"0001";
+ variable iDataOut : unsigned(15 downto 0) := x"0080";
+ begin
+ if rising_edge(Clk2x) then
+ if TestStart then
+ c2DataToCheck <= std_logic_vector((qDataOut+1) & (iDataOut+1) &
+ (qDataOut+0) & (iDataOut+0));
+
+ qDataOut := qDataOut+2;
+ iDataOut := iDataOut+2;
+ else
+ qDataOut := x"0001";
+ iDataOut := x"0080";
+ end if;
+ c2DataToCheckDly0 <= c2DataToCheck;
+ c2DataToCheckDly1 <= c2DataToCheckDly0;
+ c2DataToCheckDly2 <= c2DataToCheckDly1;
+ end if;
+ end process;
+
+ -- Process to check DUT output data with expected data.
+ checker: process(Clk2x)
+ begin
+ if falling_edge(Clk2x) then
+ if valid_out_2x = '1' then
+ assert data_out_2x = c2DataToCheckDly2
+ report "DAC data out mismatch from expected"
+ severity error;
+ end if;
+ assert ready_out_1x = '1'
+ report "Ready for output is not asserted"
+ severity error;
+
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_6x12.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_6x12.vhd
new file mode 100644
index 000000000..950ed8db1
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_dac_gearbox_6x12.vhd
@@ -0,0 +1,187 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_dac_gearbox_6x12
+--
+-- Description:
+--
+-- Self-checking testbench used to test the gearbox that expands a 6 SPC data
+-- into a 12 SPC data.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_dac_gearbox_6x12 is
+end tb_dac_gearbox_6x12;
+
+
+architecture RTL of tb_dac_gearbox_6x12 is
+
+ signal TestStart : boolean;
+
+ signal ac1Reset_n : std_logic;
+ signal ac2Reset_n : std_logic;
+ signal c1DataOut : std_logic_vector(383 downto 0);
+ signal c1DataValidOut : std_logic;
+ signal c2DataIn : std_logic_vector(191 downto 0) := (others => '0');
+ signal c2DataValidIn : std_logic := '0';
+ signal InPhase : boolean := false;
+
+ signal c1DataToCheck, c1DataToCheckDly0, c1DataToCheckDly1, c1DataToCheckDly2
+ : std_logic_vector(383 downto 0) := (others => '0');
+
+ signal StopSim : boolean;
+ constant kPer : time := 12 ns;
+
+ signal Clk1x: std_logic := '1';
+ signal Clk2x: std_logic := '1';
+
+ procedure Clk2xWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk2x);
+ end loop;
+ end procedure Clk2xWait;
+
+begin
+
+ Clk1x <= not Clk1x after kPer/4 when not StopSim else '0';
+ Clk2x <= not Clk2x after kPer/8 when not StopSim else '0';
+
+ dut: entity WORK.dac_gearbox_6x12 (RTL)
+ port map (
+ Clk1x => Clk1x,
+ Clk2x => Clk2x,
+ ac1Reset_n => ac1Reset_n,
+ ac2Reset_n => ac2Reset_n,
+ c2DataIn => c2DataIn,
+ c2DataValidIn => c2DataValidIn,
+ c1DataOut => c1DataOut,
+ c1DataValidOut => c1DataValidOut
+ );
+
+
+ main: process
+
+ -- Procedure to start and stop data generation.
+ -- WaitCycles : This is a wait in Clk2x cycle. This is used to shift data
+ -- valid assertion. Depending on the Clk2x cycle, data valid
+ -- will be asserted either when both Clk1x and Clk2x are phase
+ -- aligned or when both clocks are not phase aligned.
+ -- Phase : This input is used in the logic that is used to check
+ -- output data with expected data. If data valid was asserted
+ -- when both clocks were phase aligned, then this input is
+ -- set to true and vice versa.
+ procedure PhaseTest(WaitCycles : positive := 1;
+ Phase : boolean := false) is
+ begin
+ -- Wait for certain Clk2x cycles before starting the test.
+ Clk2xWait(WaitCycles);
+ InPhase <= Phase;
+ TestStart <= true;
+ Clk2xWait(1000); -- Random wait.
+ TestStart <= false;
+ -- wait for few clock cycles for the output data valid to de-assert.
+ Clk2xWait(10);
+ end procedure;
+
+ begin
+
+ -- Assert and de-assert reset.
+ ac1Reset_n <= '0';
+ ac2Reset_n <= '0';
+ TestStart <= false;
+ Clk2xWait(5);
+ ac1Reset_n <= '1';
+ ac2Reset_n <= '1';
+
+ PhaseTest(1, true);
+ PhaseTest(3, false);
+ PhaseTest(5, true);
+
+ -- Stop data input to the DUT and wait for few clock cycles for the output
+ -- data valid to be de-asserted.
+ TestStart <= false;
+ Clk2xWait(10);
+
+ StopSim <= true;
+ wait;
+ end process;
+
+ driver: process(Clk2x)
+ variable tempQdata : unsigned(15 downto 0) := x"0001";
+ variable tempIdata : unsigned(15 downto 0) := x"0080";
+ begin
+ if rising_edge(Clk2x) then
+ c2DataValidIn <= '0';
+ if TestStart then
+ c2DataValidIn <= '1';
+ c2DataIn <= std_logic_vector((tempQdata+5) & (tempIdata+5) &
+ (tempQdata+4) & (tempIdata+4) &
+ (tempQdata+3) & (tempIdata+3) &
+ (tempQdata+2) & (tempIdata+2) &
+ (tempQdata+1) & (tempIdata+1) &
+ (tempQdata+0) & (tempIdata+0));
+ tempQdata := tempQdata +6;
+ tempIdata := tempIdata +6;
+ else
+ c2DataValidIn <= '0';
+ tempQdata := x"0001";
+ tempIdata := x"0080";
+ end if;
+ end if;
+ end process;
+
+ -- Process to generate expected data out of the DUT.
+ ExpectedData: process(Clk1x)
+ variable qDataOut : unsigned(15 downto 0) := x"0001";
+ variable iDataOut : unsigned(15 downto 0) := x"0080";
+ begin
+ if rising_edge(Clk1x) then
+ if TestStart then
+ c1DataToCheck <= std_logic_vector((qDataOut+11) & (iDataOut+11) &
+ (qDataOut+10) & (iDataOut+10) &
+ (qDataOut+9) & (iDataOut+9) &
+ (qDataOut+8) & (iDataOut+8) &
+ (qDataOut+7) & (iDataOut+7) &
+ (qDataOut+6) & (iDataOut+6) &
+ (qDataOut+5) & (iDataOut+5) &
+ (qDataOut+4) & (iDataOut+4) &
+ (qDataOut+3) & (iDataOut+3) &
+ (qDataOut+2) & (iDataOut+2) &
+ (qDataOut+1) & (iDataOut+1) &
+ (qDataOut+0) & (iDataOut+0));
+
+ qDataOut := qDataOut+12;
+ iDataOut := iDataOut+12;
+ else
+ qDataOut := x"0001";
+ iDataOut := x"0080";
+ end if;
+ c1DataToCheckDly0 <= c1DataToCheck;
+ c1DataToCheckDly1 <= c1DataToCheckDly0;
+ c1DataToCheckDly2 <= c1DataToCheckDly1;
+ end if;
+ end process;
+
+ -- Process to check output data with expected data.
+ checker: process(Clk1x)
+ begin
+ if falling_edge(Clk1x) then
+ if c1DataValidOut = '1' and InPhase then
+ assert c1DataOut = c1DataToCheckDly1
+ report "ADC data out mismatch from expected"
+ severity warning;
+ elsif c1DataValidOut = '1' and (not InPhase) then
+ assert c1DataOut = c1DataToCheckDly2
+ report "ADC data out mismatch from expected"
+ severity warning;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_ddc_400m_saturate.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_ddc_400m_saturate.vhd
new file mode 100644
index 000000000..37f570d87
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_ddc_400m_saturate.vhd
@@ -0,0 +1,125 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_ddc_400m_saturate
+--
+-- Description:
+--
+-- Self-checking testbench used to check the saturation logic needed in DDC.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library WORK;
+ use WORK.PkgRf.all;
+
+entity tb_ddc_400m_saturate is
+end tb_ddc_400m_saturate;
+
+
+architecture RTL of tb_ddc_400m_saturate is
+
+ component ddc_400m_saturate
+ port (
+ Clk : in std_logic;
+ cDataIn : in std_logic_vector(191 downto 0);
+ cDataValidIn : in std_logic;
+ cDataOut : out std_logic_vector(127 downto 0);
+ cDataValidOut : out std_logic);
+ end component;
+
+ signal TestStart : boolean := false;
+
+ signal cDataIn : std_logic_vector(191 downto 0);
+ signal cDataOut : std_logic_vector(127 downto 0);
+ signal cDataValidIn : std_logic;
+ signal cDataValidOut : std_logic;
+
+ signal StopSim : boolean;
+ constant kPer : time := 10 ns;
+ constant kSamplesPerClock : integer := 8;
+
+ signal Clk: std_logic := '1';
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ Clk <= not Clk after kPer/2 when not StopSim else '0';
+
+ dut: ddc_400m_saturate
+ port map (
+ Clk => Clk,
+ cDataIn => cDataIn,
+ cDataValidIn => cDataValidIn,
+ cDataOut => cDataOut,
+ cDataValidOut => cDataValidOut);
+
+ main: process
+ begin
+
+ ClkWait;
+ TestStart <= false;
+ ClkWait;
+ TestStart <= true;
+
+ -- This wait is needed to sweep through the entire range of 17 bits signed
+ -- value. Since we operate the saturation logic with 8 samples per cycle,
+ -- we need to wait for 2^kDdcDataOutWidth/8. We are adding an extra 10
+ -- clock cycles wait just as a buffer for the DUT latency.
+ ClkWait(2**kDdcDataOutWidth/kSamplesPerClock + 10);
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to generate 17-bit signed data.
+ DataGen: process(Clk)
+ variable Sample : Sample17_t := kSmallest17;
+ begin
+ if falling_edge(Clk) then
+ if TestStart then
+ cDataValidIn <= '1';
+ cDataIn <= "0000000" & std_logic_vector(Sample+kSamplesPerClock-1) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-2) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-3) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-4) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-5) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-6) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-7) &
+ "0000000" & std_logic_vector(Sample+kSamplesPerClock-8);
+ Sample := Sample +8;
+ end if;
+ end if;
+ end process;
+
+ -- Check if saturation and data packing is done correctly.
+ DataCheck: process(Clk)
+ variable Sample : Sample17_t := kSmallest17;
+ variable ExpectedData : std_logic_vector(15 downto 0);
+
+ begin
+ if falling_edge(Clk) then
+ if cDataValidOut then
+ for i in 1 to 8 loop
+ ExpectedData := tb_saturate(std_logic_vector(Sample));
+ assert cDataOut(kSatDataWidth*i-1 downto kSatDataWidth*(i-1)) = ExpectedData
+ report "Saturation data out mismatch in index : " & to_string(i) & LF &
+ "Expected data is : " & to_hstring(ExpectedData) & LF &
+ "Received data is : " & to_hstring(cDataOut(kSatDataWidth*i-1 downto kSatDataWidth*(i-1)))
+ severity error;
+ Sample := Sample+1;
+ end loop;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_duc_400m_saturate.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_duc_400m_saturate.vhd
new file mode 100644
index 000000000..e3117bdd6
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_duc_400m_saturate.vhd
@@ -0,0 +1,133 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_duc_400m_saturate
+--
+-- Description:
+--
+-- Self-checking testbench used to check the saturation logic needed in DDC.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library WORK;
+ use WORK.PkgRf.all;
+
+entity tb_duc_400m_saturate is
+end tb_duc_400m_saturate;
+
+
+architecture RTL of tb_duc_400m_saturate is
+
+ component duc_400m_saturate
+ port (
+ Clk : in std_logic;
+ cDataIn : in std_logic_vector(287 downto 0);
+ cDataValidIn : in std_logic;
+ cReadyForInput : out std_logic;
+ cDataOut : out std_logic_vector(191 downto 0);
+ cDataValidOut : out std_logic := '0');
+ end component;
+
+ signal TestStart : boolean := false;
+
+ signal cDataIn : std_logic_vector(287 downto 0);
+ signal cDataOut : std_logic_vector(191 downto 0);
+ signal cDataValidIn : std_logic;
+ signal cDataValidOut : std_logic;
+
+ signal StopSim : boolean;
+ constant kPer : time := 10 ns;
+ constant kSamplesPerClock : integer := 12;
+
+ signal Clk: std_logic := '1';
+
+ procedure ClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(Clk);
+ end loop;
+ end procedure ClkWait;
+
+begin
+
+ Clk <= not Clk after kPer/2 when not StopSim else '0';
+
+
+ -- cReadyForInput is a constant in the design and is not being tested.
+ dut: duc_400m_saturate
+ port map (
+ Clk => Clk,
+ cDataIn => cDataIn,
+ cDataValidIn => cDataValidIn,
+ cReadyForInput => open,
+ cDataOut => cDataOut,
+ cDataValidOut => cDataValidOut);
+
+ main: process
+ begin
+
+ ClkWait;
+ TestStart <= false;
+ ClkWait;
+ TestStart <= true;
+
+ -- This wait is needed to sweep through the entire range of 18 bits signed
+ -- value. Since we operate the saturation logic with 12 samples per cycle,
+ -- we need to wait for 2^kDucDataOutWidth/12. We are adding an extra 10
+ -- clock cycles wait just as a buffer for the DUT latency.
+ ClkWait(2**kDucDataOutWidth/kSamplesPerClock + 10);
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to generate 18-bit signed data.
+ DataGen: process(Clk)
+ variable Sample : Sample18_t := kSmallest18;
+ begin
+ if falling_edge(Clk) then
+ if TestStart then
+ cDataValidIn <= '1';
+ cDataIn <= "000000" & std_logic_vector(Sample+kSamplesPerClock-1) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-2) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-3) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-4) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-5) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-6) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-7) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-8) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-9) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-10) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-11) &
+ "000000" & std_logic_vector(Sample+kSamplesPerClock-12);
+ Sample := Sample +12;
+ end if;
+ end if;
+ end process;
+
+ -- Check if saturation and data packing is done correctly.
+ DataCheck: process(Clk)
+ variable Sample : Sample18_t := kSmallest18;
+ variable ExpectedData : std_logic_vector(15 downto 0);
+
+ begin
+ if falling_edge(Clk) then
+ if cDataValidOut then
+ for i in 1 to 12 loop
+ ExpectedData := tb_saturate(std_logic_vector(Sample));
+ assert cDataOut(kSatDataWidth*i-1 downto kSatDataWidth*(i-1)) = ExpectedData
+ report "Saturation data out mismatch in index : " & to_string(i) & LF &
+ "Expected data is : " & to_hstring(ExpectedData) & LF &
+ "Received data is : " & to_hstring(cDataOut(kSatDataWidth*i-1 downto kSatDataWidth*(i-1)))
+ severity error;
+ Sample := Sample+1;
+ end loop;
+ end if;
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_rf_nco_reset.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_rf_nco_reset.vhd
new file mode 100644
index 000000000..066dd5f4b
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_rf_nco_reset.vhd
@@ -0,0 +1,281 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_rf_nco_reset
+--
+-- Description:
+--
+-- Self-checking testbench for NCO reset sequencing.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+entity tb_rf_nco_reset is
+end tb_rf_nco_reset;
+
+
+architecture RTL of tb_rf_nco_reset is
+
+ signal cAdc0xNcoUpdateReq : std_logic;
+ signal cAdc2xNcoUpdateReq : std_logic;
+ signal cDac0xNcoUpdateReq : std_logic;
+ signal cDac0xSysrefIntGating : std_logic;
+ signal cDac0xSysrefIntReenable : std_logic;
+ signal cDac1xNcoUpdateReq : std_logic;
+ signal cNcoPhaseRst : std_logic;
+ signal cNcoUpdateEn : std_logic_vector(5 downto 0);
+ signal dNcoResetDone : std_logic;
+
+ signal cDac0xNcoUpdateBusy : std_logic_vector(1 downto 0) := "00";
+ signal dStartNcoReset : std_logic := '0';
+ signal cAdc0xNcoUpdateBusy : std_logic := '0';
+ signal cAdc2xNcoUpdateBusy : std_logic := '0';
+ signal cDac1xNcoUpdateBusy : std_logic := '0';
+
+ signal cSysref_ms, cSysref : std_logic := '0';
+ signal cSysrefDlyd : std_logic_vector(1 downto 0) := "00";
+ signal cDac0xSysrefIntGatingDlyd : std_logic := '0';
+ signal cNcoPhaseRstDlyd : std_logic_vector(2 downto 0) := "000";
+
+ signal cWrCount : integer := 0;
+ type RfdcNcoState_t is (Idle, GateSysref, UpdateReq, CheckUpdate,
+ SysrefEn, WaitForSysref, ResetDone);
+ signal cRfdcNcoState : RfdcNcoState_t := Idle;
+
+ signal StopSim : boolean;
+ constant kConfigClkPer : time := 25 ns;
+ -- SYSREF period is 2.5 MHz.
+ constant kSysrefPer : time := 400 ns;
+ -- DataClk period is 125 MHz and generated from the same clocking chip that
+ -- generated SYSREF and are related.
+ constant kDataClkPer : time := kSysrefPer/50;
+
+ signal ConfigClk : std_logic := '0';
+ signal DataClk : std_logic := '0';
+ signal dSysref : std_logic := '0';
+
+ procedure DataClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(DataClk);
+ end loop;
+ end procedure DataClkWait;
+
+ procedure ConfigClkWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(ConfigClk);
+ end loop;
+ end procedure ConfigClkWait;
+
+ procedure SysrefWait(X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(dSysref);
+ end loop;
+ end procedure SysrefWait;
+
+begin
+
+ ConfigClk <= not ConfigClk after kConfigClkPer/2 when not StopSim else '0';
+ DataClk <= not DataClk after kDataClkPer/2 when not StopSim else '0';
+ dSysref <= not dSysref after kSysrefPer/2 when not StopSim else '0';
+
+ -- Both cNcoPhaseRst and cNcoUpdateEn are constants in the DUT.
+ dut: entity WORK.rf_nco_reset (RTL)
+ port map (
+ ConfigClk => ConfigClk,
+ DataClk => DataClk,
+ dSysref => dSysref,
+ dStartNcoReset => dStartNcoReset,
+ cDac0xNcoUpdateBusy => cDac0xNcoUpdateBusy,
+ cDac0xNcoUpdateReq => cDac0xNcoUpdateReq,
+ cDac0xSysrefIntGating => cDac0xSysrefIntGating,
+ cDac0xSysrefIntReenable => cDac0xSysrefIntReenable,
+ cDac1xNcoUpdateBusy => cDac1xNcoUpdateBusy,
+ cDac1xNcoUpdateReq => cDac1xNcoUpdateReq,
+ cAdc0xNcoUpdateBusy => cAdc0xNcoUpdateBusy,
+ cAdc0xNcoUpdateReq => cAdc0xNcoUpdateReq,
+ cAdc2xNcoUpdateBusy => cAdc2xNcoUpdateBusy,
+ cAdc2xNcoUpdateReq => cAdc2xNcoUpdateReq,
+ cNcoPhaseRst => cNcoPhaseRst,
+ cNcoUpdateEn => cNcoUpdateEn,
+ dNcoResetDone => dNcoResetDone
+ );
+
+ main: process
+
+ -- Procedure to sweep the entire SYSREF period.
+ -- When we strobe dStartNcoReset for one DataClk cycle. NCO reset sequence
+ -- is initiated. In this procedure, we sweep the dStartNcoReset strobe the
+ -- entire SYSREF cycle.
+ procedure SysrefSweep is
+ constant kSysrefInRfCycles : integer := kSysrefPer/kDataClkPer;
+ begin
+ for i in 1 to kSysrefInRfCycles loop
+ wait until cDac0xSysrefIntGating = '0' for 1 us;
+ assert cDac0xSysrefIntGating = '0'
+ report "NCO phase reset does not de-assert"
+ severity error;
+ SysrefWait;
+ DataClkWait(i);
+ dStartNcoReset <= '0';
+ DataClkWait;
+ dStartNcoReset <= '1';
+ DataClkWait;
+ dStartNcoReset <= '0';
+ -- Wait for a minimum of 3 SYSREF period. 1 SYSREF edge is used to
+ -- initiate NCO reset, 1 SYSREF edge is used to re-enable SYSREF and 1
+ -- SYSREF edge is used by RFDC to reset all NCOs.
+ SysrefWait(3);
+ end loop;
+ end procedure;
+
+ begin
+
+ -- Strobe dStartNcoReset across entire SYSREF period.
+ SysrefSweep;
+ -- Wait for a minimum of 3 SYSREF cycles to make sure NCO reset is complete.
+ SysrefWait(3);
+
+ StopSim <= true;
+ wait;
+ end process;
+
+ -- Process to mimic RFDC NCO reset
+ -- This state machine is based of "NCO frequency hopping" section in PG269
+ -- (v2.2). Refer to multi-mode subsection for more details.
+ MimicRfdc: process(ConfigClk)
+ begin
+ if falling_edge(ConfigClk) then
+ cRfdcNcoState <= Idle;
+ case cRfdcNcoState is
+
+ -- Wait until SYSREF internal gating is asserted.
+ when Idle =>
+ cWrCount <= 0;
+ if cDac0xSysrefIntGating = '1' then
+ cRfdcNcoState <= GateSysref;
+ end if;
+
+ -- Change cDac0xNcoUpdateBusy to "11" to indicate SYSREF is gated
+ -- internally when NCO update is requested on DAC tile 228.
+ -- cDac0xNcoUpdateBusy(0) is set to '1', the SYSREF is gated and
+ -- cDac0xNcoUpdateBusy(1) is set to '1', to indicate the NCO reset
+ -- process has started, but not complete.
+ when GateSysref =>
+ cRfdcNcoState <= GateSysref;
+ if cDac0xNcoUpdateReq = '1' then
+ cRfdcNcoState <= UpdateReq;
+ cDac0xNcoUpdateBusy <= "11";
+ end if;
+
+ -- If NCO reset is requested on other tiles, assert NCO update busy on
+ -- other tiles as well.
+ when UpdateReq =>
+ cRfdcNcoState <= CheckUpdate;
+ cDac1xNcoUpdateBusy <= cDac1xNcoUpdateReq;
+ cAdc0xNcoUpdateBusy <= cAdc0xNcoUpdateReq;
+ cAdc2xNcoUpdateBusy <= cAdc2xNcoUpdateReq;
+
+ -- It takes 5 clock cycles to update each RFDC internal registers with
+ -- the used request change. In rf_nco_reset entity, we only want to
+ -- reset the NCO, which is a single bit. So, it should take only 5
+ -- ConfigClk for the update. When the internal register is updated, set
+ -- cDac0xNcoUpdateBusy(0) to '0'.
+ when CheckUpdate =>
+ cRfdcNcoState <= CheckUpdate;
+ if cWrCount > 4 then
+ cRfdcNcoState <= SysrefEn;
+ cDac0xNcoUpdateBusy <= "10"; --Indicates that SYSREF is gated.
+ cDac1xNcoUpdateBusy <= '0';
+ cAdc0xNcoUpdateBusy <= '0';
+ cAdc2xNcoUpdateBusy <= '0';
+ end if;
+ cWrCount <= cWrCount + 1;
+
+ -- Wait until internal SYSREF gating is disabled.
+ when SysrefEn =>
+ cWrCount <= 0;
+ cRfdcNcoState <= SysrefEn;
+ if cDac0xSysrefIntReenable = '1' then
+ if cSysrefDlyd(0) = '0' and cSysref = '1' then
+ cDac0xNcoUpdateBusy <= "00"; --Indicates that NCO reset is complete.
+ cRfdcNcoState <= ResetDone;
+ else
+ cRfdcNcoState <= WaitForSysref;
+ end if;
+ end if;
+
+ -- NCO reset is done on the rising edge of SYSREF. When NCO reset is
+ -- complete, set cDac0xNcoUpdateBusy(1) to '0'.
+ when WaitForSysref =>
+ cRfdcNcoState <= WaitForSysref;
+ if cSysrefDlyd(0) = '0' and cSysref = '1' then
+ cDac0xNcoUpdateBusy <= "00"; --Indicates that NCO reset is complete.
+ cRfdcNcoState <= ResetDone;
+ end if;
+
+ -- Wait in this state, until the next NCO reset is requested.
+ when ResetDone =>
+ cRfdcNcoState <= ResetDone;
+ if cDac0xSysrefIntGating = '1' then
+ cRfdcNcoState <= GateSysref;
+ end if;
+ end case;
+ end if;
+ end process;
+
+ -- SYSREF clock crossing from DataClk to ConfigClk and some pipelines.
+ ConfigClkSysref: process(ConfigClk)
+ begin
+ if rising_edge(ConfigClk) then
+ cSysref_ms <= dSysref;
+ cSysref <= cSysref_ms;
+ cSysrefDlyd <= cSysrefDlyd(cSysrefDlyd'high-1) & cSysref;
+ cDac0xSysrefIntGatingDlyd <= cDac0xSysrefIntGating;
+ cNcoPhaseRstDlyd <= cNcoPhaseRstDlyd(cNcoPhaseRstDlyd'high downto 1)
+ & cDac0xNcoUpdateBusy(1);
+ end if;
+ end process;
+
+ -- Assertions
+ process(ConfigClk)
+ begin
+ if falling_edge(ConfigClk) then
+
+ --Check if cNcoPhaseRst is a constant of '1'.
+ assert cNcoPhaseRst = '1'
+ report "NCO phase reset signal should be constant."
+ severity error;
+ -- Check if cNcoUpdateEn is a constant of "100000".
+ assert cNcoUpdateEn = "100000"
+ report "NCO phase reset signal should be constant."
+ severity error;
+ -- Check if NCO reset was requested on the rising edge of SYSREF.
+ if cDac0xSysrefIntGating = '1' and cDac0xSysrefIntGatingDlyd = '0' then
+ assert cSysrefDlyd = "01"
+ report "NCO reset did not start on SYSREF rising edge"
+ severity error;
+ end if;
+
+ -- We wait for couple of clock cycles after NCO done signal is toggled in
+ -- from the RFDC. RFDC uses cDac0xNcoUpdateBusy(1) to indicate NCO reset
+ -- process is done. It is important to wait a minimum of three clock
+ -- cycles before this check is done. This wait is needed for clock
+ -- crossing.
+ if cNcoPhaseRstDlyd(2) = '1' and cNcoPhaseRstDlyd(1) = '0' then
+ assert dNcoResetDone = '1'
+ report "NCO Reset done should have been asserted after NCO " &
+ "reset request is de-asserted"
+ severity error;
+ end if;
+
+ end if;
+ end process;
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rf/testbench/tb_rf_reset_controller.vhd b/fpga/usrp3/top/x400/rf/testbench/tb_rf_reset_controller.vhd
new file mode 100644
index 000000000..31a98fd67
--- /dev/null
+++ b/fpga/usrp3/top/x400/rf/testbench/tb_rf_reset_controller.vhd
@@ -0,0 +1,436 @@
+--
+-- Copyright 2021 Ettus Research, a National Instruments Brand
+--
+-- SPDX-License-Identifier: LGPL-3.0-or-later
+--
+-- Module: tb_rf_reset_controller
+--
+-- Description:
+--
+-- Testbench for rf_reset_controller.
+--
+
+library IEEE;
+ use IEEE.std_logic_1164.all;
+ use IEEE.numeric_std.all;
+
+library WORK;
+ use WORK.PkgRFDC_REGS_REGMAP.all;
+
+entity tb_rf_reset_controller is
+end tb_rf_reset_controller;
+
+
+architecture RTL of tb_rf_reset_controller is
+
+ component rf_reset_controller
+ port (
+ ConfigClk : in std_logic;
+ DataClk : in std_logic;
+ PllRefClk : in std_logic;
+ RfClk : in std_logic;
+ RfClk2x : in std_logic;
+ DataClk2x : in std_logic;
+ dAdcResetPulse : in std_logic;
+ dDacResetPulse : in std_logic;
+ dAdcDataOutReset_n : out std_logic;
+ r2AdcFirReset_n : out std_logic;
+ rAdcRfdcAxiReset_n : out std_logic;
+ rAdcEnableData : out std_logic;
+ rAdcGearboxReset_n : out std_logic;
+ dDacDataInReset_n : out std_logic;
+ r2DacFirReset_n : out std_logic;
+ d2DacFirReset_n : out std_logic;
+ rDacRfdcAxiReset_n : out std_logic;
+ rDacGearboxReset_n : out std_logic;
+ cSoftwareControl : in std_logic_vector(31 downto 0);
+ cSoftwareStatus : out std_logic_vector(31 downto 0));
+ end component;
+
+ signal cSoftwareStatus : std_logic_vector(31 downto 0);
+ signal r2AdcFirReset_n : std_logic;
+ signal r2DacFirReset_n : std_logic;
+ signal rAdcGearboxReset_n : std_logic;
+ signal rDacGearboxReset_n : std_logic;
+
+ signal cSoftwareControl : std_logic_vector(31 downto 0) := (others => '0');
+ signal dAdcResetPulse : std_logic := '0';
+ signal dDacResetPulse : std_logic := '0';
+
+ constant kSwReset : std_logic := '0';
+ constant kTimedReset : std_logic := '1';
+
+ -- All constants mentioned below are number of the particular clock cycles
+ -- PllRefClk period. For example, kDataClkCycles is the total number of
+ -- DataClk cycles in the PllRefClk period.
+ constant kDataClkCycles : integer := 2;
+ constant kDataClk2xCycles : integer := 4;
+ constant kRfClkCycles : integer := 3;
+ constant kRfClk2xCycles : integer := 6;
+ constant kConfigPer : time := 25 ns;
+ -- Make sure the PllRefClk period is a least common multiple of all the other
+ -- derived clock.
+ constant kPllRefClkPer : time := 12 ns;
+ constant kDataClkPer : time := kPllRefClkPer/2;
+ constant kDataClk2xPer : time := kPllRefClkPer/4;
+ constant kRfClkPer : time := kPllRefClkPer/3;
+ constant kRfClk2xPer : time := kPllRefClkPer/6;
+
+ signal pReset : boolean := false;
+ signal dCount : integer := 0;
+ signal d2Count : integer := 0;
+ signal rCount : integer := 0;
+ signal r2Count : integer := 0;
+
+ signal StopSim : boolean;
+ signal ConfigClk : std_logic := '1';
+ signal RfClk : std_logic := '1';
+ signal RfClk2x : std_logic := '1';
+ signal DataClk : std_logic := '1';
+ signal DataClk2x : std_logic := '1';
+ signal PllRefClk : std_logic := '1';
+
+ signal dAdcDataOutReset_n : std_logic := '0';
+ signal dAdcDataOutResetDlyd_n : std_logic := '0';
+ signal dDacDataInReset_n : std_logic := '0';
+ signal dDacDataInResetDlyd_n : std_logic := '0';
+ signal d2DacFirReset_n : std_logic := '0';
+ signal d2DacFirResetDlyd_n : std_logic := '0';
+ signal rAdcRfdcAxiReset_n : std_logic := '0';
+ signal rAdcRfdcAxiResetDlyd_n : std_logic := '0';
+ signal rDacRfdcAxiReset_n : std_logic := '0';
+ signal rDacRfdcAxiResetDlyd_n : std_logic := '0';
+ signal r2AdcFirResetDlyd_n : std_logic := '0';
+ signal r2DacFirResetDlyd_n : std_logic := '0';
+
+ signal ExpectedSwAdcResetDone : std_logic := '0';
+ signal ExpectedAdcReset : std_logic := '0';
+ signal ExpectedSwDacResetDone : std_logic := '0';
+ signal ExpectedDacReset : std_logic := '0';
+ signal ExpectedAxiAdcResetOut : std_logic := '0';
+ signal ExpectedAxiDacResetOut : std_logic := '0';
+
+ -- Make sure the wait time for reset done check is at least 10 ConfigClk
+ -- cycles to account for all clock domain crossings. We also have some status
+ -- check in the testbench which requires the wait to be additional ConfigClk
+ -- cycles. This wait is in ConfigClk period.
+ constant kResetDoneWait : positive := 10;
+
+ procedure ClkWait(signal clk : in std_logic; X : positive := 1) is
+ begin
+ for i in 1 to X loop
+ wait until rising_edge(clk);
+ end loop;
+ end procedure ClkWait;
+
+ -- Check phase alignment of reset. We want to make sure the reset is asserted
+ -- on the 1st rising clock edge after the rising edge of PllRefClk.
+ procedure CheckAlignment(
+ signal Clk : in std_logic; -- Synchronous reset clock
+ signal Reset_n : in std_logic; -- Synchronous reset
+ signal ResetDlyd_n : inout std_logic; -- Delayed synchronous reset
+ signal PhaseCount : in integer; -- Phase count used to check alignment
+ Message : string) is -- Assertion message
+ begin
+
+ -- Check if reset is asserted on the 1st Clk after the rising edge of
+ -- PllRefClk.
+ if falling_edge(Clk) then
+ ResetDlyd_n <= Reset_n;
+ if Reset_n = '0' and ResetDlyd_n = '1' then
+ assert PhaseCount = 1
+ report Message & " reset is not asserted in the expected time" severity error;
+ end if;
+ end if;
+ end procedure CheckAlignment;
+
+ -- Procedure to generate phase counter that is used to check the alignment of
+ -- phase of all clocks related to PllRefClk.
+ procedure PhaseCounter(
+ signal Clk : in std_logic; -- Clock related to PllRefClk
+ signal Reset : in boolean; -- Reset synchronous to PllRefClk
+ signal PhaseCount : inout integer; -- Phase count of Clk with respect to PllRefClk
+ ClockCycles : integer) is -- Number of Clk clock cycles in PllRefClk period
+ begin
+ if rising_edge(Clk) then
+ if Reset or PhaseCount = ClockCycles-1 then
+ PhaseCount <= 0;
+ else
+ PhaseCount <= PhaseCount+1;
+ end if;
+ end if;
+ end procedure PhaseCounter;
+
+ procedure CheckExpectedValue(
+ signal Clk : in std_logic;
+ signal Actual : in std_logic;
+ signal Expected : in std_logic;
+ Message : string) is
+ begin
+ if falling_edge(Clk) then
+ -- Check if the actual value is as expected.
+ assert std_match(Actual, Expected)
+ report Message & " not as expected" & LF
+ & "Expected = " & std_logic'image(Expected) & LF
+ & "Actual = " & std_logic'image(Actual) severity error;
+ end if;
+ end procedure CheckExpectedValue;
+begin
+
+ ConfigClk <= not ConfigClk after kConfigPer/2 when not StopSim else '0';
+ RfClk <= not RfClk after kRfClkPer/2 when not StopSim else '0';
+ RfClk2x <= not RfClk2x after kRfClk2xPer/2 when not StopSim else '0';
+ DataClk <= not DataClk after kDataClkPer/2 when not StopSim else '0';
+ DataClk2x <= not DataClk2x after kDataClk2xPer/2 when not StopSim else '0';
+ PllRefClk <= not PllRefClk after kPllRefClkPer/2 when not StopSim else '0';
+
+ -- rAdcEnableData is a constant and is not tested.
+ dut: rf_reset_controller
+ port map (
+ ConfigClk => ConfigClk,
+ DataClk => DataClk,
+ PllRefClk => PllRefClk,
+ RfClk => RfClk,
+ RfClk2x => RfClk2x,
+ DataClk2x => DataClk2x,
+ dAdcResetPulse => dAdcResetPulse,
+ dDacResetPulse => dDacResetPulse,
+ dAdcDataOutReset_n => dAdcDataOutReset_n,
+ r2AdcFirReset_n => r2AdcFirReset_n,
+ rAdcRfdcAxiReset_n => rAdcRfdcAxiReset_n,
+ rAdcEnableData => open,
+ rAdcGearboxReset_n => rAdcGearboxReset_n,
+ dDacDataInReset_n => dDacDataInReset_n,
+ r2DacFirReset_n => r2DacFirReset_n,
+ d2DacFirReset_n => d2DacFirReset_n,
+ rDacRfdcAxiReset_n => rDacRfdcAxiReset_n,
+ rDacGearboxReset_n => rDacGearboxReset_n,
+ cSoftwareControl => cSoftwareControl,
+ cSoftwareStatus => cSoftwareStatus
+ );
+
+ main: process
+
+ -- Procedure to generate software reset and expected DUR reset output.
+ procedure StrobeReset(
+ signal TimedReset : out std_logic; -- SW Reset control
+ signal ExpectedResetOut : out std_logic; -- Expected reset values
+ signal ExpectedAxiResetOut : out std_logic; -- Expected reset values
+ signal SwResetStatus : out std_logic; -- Expected SW reset status
+ SwReset : integer; -- SW Reset control
+ ResetType : std_logic; -- 0 = SW reset, 1 = UHD timed reset
+ ResetWait : positive := 1) is -- Wait time for test iteration
+ begin
+ if ResetType = kSwReset then
+ -- Assert software reset control on the rising edge of ConfigClk. Also
+ -- change the expected status to don't care as the status will change
+ -- only after few ConfigClk period.
+ ClkWait(ConfigClk);
+ TimedReset <= '0';
+ cSoftwareControl(SwReset) <= '1';
+ SwResetStatus <= '-';
+ ExpectedResetOut <= '-';
+ ExpectedAxiResetOut <= '-';
+ ClkWait(ConfigClk, 1);
+ SwResetStatus <= '0';
+ -- Wait for additional ConfigClk before changing the expected reset
+ -- value to '0'. This wait is needed to account for pipeline and clock
+ -- crossing delays.
+ ClkWait(ConfigClk, 1);
+ -- Changed expected reset output to '0' (active low).
+ ExpectedResetOut <= '0';
+ ExpectedAxiResetOut <= '0';
+ ClkWait(ConfigClk,1);
+ -- SW reset status should be asserted after 3 ConfigClk periods. This
+ -- wait is needed to account for pipeline and clock crossings.
+ SwResetStatus <= '1';
+ -- De-assert software reset
+ ClkWait(ConfigClk,2);
+ cSoftwareControl(SwReset) <= '0';
+ -- Change the expected reset outputs to don't care as it will take few
+ -- PllRefClk cycles and ConfigClk to DataClock crossing.
+ ExpectedAxiResetOut <= '-';
+ ClkWait(ConfigClk,1);
+ ExpectedAxiResetOut <= '1';
+ -- After few ConfigClk cycles, all reset outputs should be de-asserted.
+ ClkWait(ConfigClk,1);
+ ExpectedResetOut <= '-';
+ ClkWait(ConfigClk,2);
+ ExpectedResetOut <= '1';
+ -- Wait for ResetWait time before exiting the test iteration.
+ ClkWait(ConfigClk,ResetWait);
+ else -- Timed command.
+ ClkWait(DataClk,ResetWait);
+ TimedReset <= '1';
+ -- RFDC should not be asserted with timed reset.
+ ExpectedAxiResetOut <= '1';
+ -- Strobe the reset pulse only for one DataClk period.
+ ClkWait(DataClk,1);
+ TimedReset <= '0';
+ ClkWait(PllRefClk,2);
+ ExpectedResetOut <= '-';
+ -- Wait for 3 PllRefClk to account for pipeline delays.
+ ClkWait(PllRefClk,1);
+ ExpectedResetOut <= '0';
+ ClkWait(PllRefClk,2);
+ ExpectedResetOut <= '-';
+ -- Reset should be asserted only for two PllRefClk cycles.
+ ClkWait(PllRefClk,2);
+ ExpectedResetOut <= '1';
+ ClkWait(DataClk,ResetWait); -- Wait between test.
+ end if;
+ end procedure StrobeReset;
+
+ begin
+ -- Expected power on reset values.
+ ExpectedAdcReset <= '0';
+ ExpectedAxiAdcResetOut <= '0';
+ ExpectedDacReset <= '0';
+ ExpectedAxiDacResetOut <= '0';
+
+ ClkWait(ConfigClk,1);
+ ClkWait(RfClk,1);
+ ExpectedAxiAdcResetOut <= '1';
+ ExpectedAxiDacResetOut <= '1';
+ ClkWait(ConfigClk,1);
+ ExpectedAdcReset <= '-';
+ ExpectedDacReset <= '-';
+ ClkWait(ConfigClk,1);
+ ExpectedAdcReset <= '1';
+ ExpectedDacReset <= '1';
+ ClkWait(ConfigClk,5);
+ -- This reset is for simulation to have a common reference to check for
+ -- clock alignment.
+ ClkWait(PllRefClk,1);
+ pReset <= true;
+ ClkWait(PllRefClk,1);
+ pReset <= false;
+ ClkWait(PllRefClk,1);
+
+ ---------------------------------------------------------------------------
+ -- Test resets from software
+ ---------------------------------------------------------------------------
+
+ -----------------------------------
+ -- ADC
+ -----------------------------------
+
+ StrobeReset(dAdcResetPulse, ExpectedAdcReset, ExpectedAxiAdcResetOut,
+ ExpectedSwAdcResetDone, kADC_RESET, kSwReset, kResetDoneWait);
+
+ -- Align reset to the rising edge of PllRefClk
+ ClkWait(PllRefClk,1);
+ StrobeReset(dAdcResetPulse, ExpectedAdcReset, ExpectedAxiAdcResetOut,
+ ExpectedSwAdcResetDone, kADC_RESET, kTimedReset, kResetDoneWait);
+ StrobeReset(dAdcResetPulse, ExpectedAdcReset, ExpectedAxiAdcResetOut,
+ ExpectedSwAdcResetDone, kADC_RESET, kSwReset, kResetDoneWait);
+
+ -- Align reset to the falling edge of PllRefClk.
+ ClkWait(PllRefClk,1);
+ ClkWait(DataClk,1);
+ StrobeReset(dAdcResetPulse, ExpectedAdcReset, ExpectedAxiAdcResetOut,
+ ExpectedSwAdcResetDone, kADC_RESET, kTimedReset, kResetDoneWait);
+
+ -----------------------------------
+ -- DAC
+ -----------------------------------
+
+ StrobeReset(dDacResetPulse, ExpectedDacReset, ExpectedAxiDacResetOut,
+ ExpectedSwDacResetDone, kDAC_RESET, kSwReset, kResetDoneWait);
+
+ -- Align reset to the rising edge of PllRefClk.
+ ClkWait(PllRefClk,1);
+ StrobeReset(dDacResetPulse, ExpectedDacReset, ExpectedAxiDacResetOut,
+ ExpectedSwDacResetDone, kDAC_RESET, kTimedReset, kResetDoneWait);
+ StrobeReset(dDacResetPulse, ExpectedDacReset, ExpectedAxiDacResetOut,
+ ExpectedSwDacResetDone, kDAC_RESET, kSwReset, kResetDoneWait);
+
+ -- Align reset to the falling edge of PllRefClk.
+ ClkWait(PllRefClk,1);
+ ClkWait(DataClk,1);
+ StrobeReset(dDacResetPulse, ExpectedDacReset, ExpectedAxiDacResetOut,
+ ExpectedSwDacResetDone, kDAC_RESET, kTimedReset, kResetDoneWait);
+
+ StopSim <= true;
+ wait;
+ end process main;
+
+ -----------------------------------------------------------------------------
+ -- Reset from software and UHD timed command
+ -----------------------------------------------------------------------------
+ -- Check if the correct resets are getting asserted when UHD timed reset or
+ -- software reset is asserted. Except for RFDC AXI-S reset all other resets
+ -- should be strobed for UHD timed reset.
+ -----------------------------------------------------------------------------
+
+ -- Check if the reset done status is getting asserted as expected.
+ CheckExpectedValue(ConfigClk, cSoftwareStatus(kADC_SEQ_DONE),
+ ExpectedSwAdcResetDone, "ADC reset done status");
+ CheckExpectedValue(ConfigClk, cSoftwareStatus(kDAC_SEQ_DONE),
+ ExpectedSwDacResetDone, "DAC reset done status");
+
+ -- Check if resets state in DataClk is as expected.
+ CheckExpectedValue(DataClk, dAdcDataOutReset_n, ExpectedAdcReset,
+ "ADC data out reset");
+ CheckExpectedValue(DataClk, dDacDataInReset_n, ExpectedDacReset,
+ "DAC data out reset");
+
+ -- Check if resets state in DataClk2x is as expected.
+ CheckExpectedValue(DataClk2x, d2DacFirReset_n, ExpectedDacReset,
+ "400M interpolator reset");
+
+ ---- Check if resets state in RfClk2x is as expected.
+ CheckExpectedValue(RfClk2x, r2AdcFirReset_n, ExpectedAdcReset,
+ "ADC re-sampler reset");
+ CheckExpectedValue(RfClk2x, r2DacFirReset_n, ExpectedDacReset,
+ "DAC re-sampler reset");
+
+ ---- Check if resets state in RfClk is as expected.
+ CheckExpectedValue(RfClk, rAdcRfdcAxiReset_n, ExpectedAxiAdcResetOut,
+ "ADC RFDC AXI-S interface reset");
+ CheckExpectedValue(RfClk, rDacRfdcAxiReset_n, ExpectedAxiDacResetOut,
+ "DAC RFDC AXI-S interface reset");
+ CheckExpectedValue(RfClk, rAdcGearboxReset_n, ExpectedAdcReset,
+ "ADC gearbox reset");
+ CheckExpectedValue(RfClk, rDacGearboxReset_n, ExpectedDacReset,
+ "DAC gearbox reset");
+
+
+ -----------------------------------------------------------------------------
+ -- Reset alignment checks for resets
+ -----------------------------------------------------------------------------
+
+ -----------------------------------
+ -- Clock counter
+ -----------------------------------
+ -- We use counters to check the phase of all the derived clocks with respect
+ -- to PllRefClk. Each counter will rollover at the rising edge of PllRefClk.
+ -----------------------------------
+ PhaseCounter(DataClk, pReset, dCount, kDataClkCycles);
+ PhaseCounter(DataClk2x, pReset, d2Count, kDataClk2xCycles);
+ PhaseCounter(RfClk, pReset, rCount, kRfClkCycles);
+ PhaseCounter(RfClk2x, pReset, r2Count, kRfClk2xCycles);
+
+ -- Check for DataClk based synchronous reset alignment to PllRefClk.
+ CheckAlignment(DataClk, dAdcDataOutReset_n, dAdcDataOutResetDlyd_n, dCount,
+ "ADC data out");
+ CheckAlignment(DataClk, dDacDataInReset_n, dDacDataInResetDlyd_n, dCount,
+ "DAC data in");
+
+ -- Check for DataClk2x based synchronous reset alignment to PllRefClk.
+ CheckAlignment(DataClk2x, d2DacFirReset_n, d2DacFirResetDlyd_n, d2Count,
+ "400M DAC FIR Filter");
+
+ -- Check for RfClk based synchronous reset alignment to PllRefClk.
+ CheckAlignment(RfClk, rAdcRfdcAxiReset_n, rAdcRfdcAxiResetDlyd_n, rCount,
+ "ADC RFDC reset ");
+ CheckAlignment(RfClk, rDacRfdcAxiReset_n, rDacRfdcAxiResetDlyd_n, rCount,
+ "DAC RFDC reset ");
+
+ -- Check for RfClk2x based synchronous reset alignment to PllRefClk.
+ CheckAlignment(RfClk2x, r2AdcFirReset_n, r2AdcFirResetDlyd_n, r2Count,
+ "ADC decimation filter reset ");
+ CheckAlignment(RfClk2x, r2DacFirReset_n, r2DacFirResetDlyd_n, r2Count,
+ "DAC interpolation filter reset ");
+
+end RTL;
diff --git a/fpga/usrp3/top/x400/rfdc_timing_control.v b/fpga/usrp3/top/x400/rfdc_timing_control.v
new file mode 100644
index 000000000..f1f1cfad1
--- /dev/null
+++ b/fpga/usrp3/top/x400/rfdc_timing_control.v
@@ -0,0 +1,289 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rfdc_timing_control
+//
+// Description:
+//
+// This module handles timed register writes for the RFDC, such as NCO reset
+// control. It takes the CtrlPort master from each radio block and splits it
+// into a CtrlPort bus for the associated daughter board and another CtrlPort
+// bus for the RFDC timing control. Timed commands on the RF timing bus are
+// handled by the ctrlport_timer module.
+//
+// Parameters:
+//
+// NUM_DBOARDS : Number of daughter boards to support
+//
+
+`default_nettype none
+
+
+module rfdc_timing_control #(
+ parameter NUM_DBOARDS = 2
+) (
+ // Clocks and resets
+ input wire clk,
+ input wire rst,
+
+ // Time
+ input wire [63:0] time_now,
+ input wire time_now_stb,
+ input wire [ 3:0] time_ignore_bits,
+
+ // CtrlPort Slave (from RFNoC Radio Block)
+ input wire [ 1*NUM_DBOARDS-1:0] s_ctrlport_req_wr,
+ input wire [ 1*NUM_DBOARDS-1:0] s_ctrlport_req_rd,
+ input wire [ 20*NUM_DBOARDS-1:0] s_ctrlport_req_addr,
+ input wire [ 32*NUM_DBOARDS-1:0] s_ctrlport_req_data,
+ input wire [ 4*NUM_DBOARDS-1:0] s_ctrlport_req_byte_en,
+ input wire [ 1*NUM_DBOARDS-1:0] s_ctrlport_req_has_time,
+ input wire [ 64*NUM_DBOARDS-1:0] s_ctrlport_req_time,
+ output wire [ 1*NUM_DBOARDS-1:0] s_ctrlport_resp_ack,
+ output wire [ 2*NUM_DBOARDS-1:0] s_ctrlport_resp_status,
+ output wire [ 32*NUM_DBOARDS-1:0] s_ctrlport_resp_data,
+
+ // CtrlPort Master (to Daughter Boards)
+ output wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_req_wr,
+ output wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_req_rd,
+ output wire [ 20*NUM_DBOARDS-1:0] m_ctrlport_req_addr,
+ output wire [ 32*NUM_DBOARDS-1:0] m_ctrlport_req_data,
+ output wire [ 4*NUM_DBOARDS-1:0] m_ctrlport_req_byte_en,
+ output wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_req_has_time,
+ output wire [ 64*NUM_DBOARDS-1:0] m_ctrlport_req_time,
+ input wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_resp_ack,
+ input wire [ 2*NUM_DBOARDS-1:0] m_ctrlport_resp_status,
+ input wire [ 32*NUM_DBOARDS-1:0] m_ctrlport_resp_data,
+
+ // RF Reset Control
+ output reg start_nco_reset,
+ input wire nco_reset_done,
+ output reg adc_reset_pulse,
+ output reg dac_reset_pulse
+);
+
+ `include "regmap/radio_ctrlport_regmap_utils.vh"
+ `include "regmap/rfdc_timing_regmap_utils.vh"
+
+ // Reset registers
+ reg [NUM_DBOARDS-1:0] reg_nco_reset_start = 0;
+ reg [NUM_DBOARDS-1:0] reg_adc_reset_pulse = 0;
+ reg [NUM_DBOARDS-1:0] reg_dac_reset_pulse = 0;
+
+ genvar db;
+ generate
+ for (db = 0; db < NUM_DBOARDS; db = db+1) begin : gen_db_ctrlport
+
+ //-----------------------------------------------------------------------
+ // CtrlPort Splitter
+ //-----------------------------------------------------------------------
+
+ wire [ 1-1:0] rf_ctrlport_req_wr;
+ wire [ 1-1:0] rf_ctrlport_req_rd;
+ wire [ 20-1:0] rf_ctrlport_req_addr;
+ wire [ 32-1:0] rf_ctrlport_req_data;
+ wire [ 4-1:0] rf_ctrlport_req_byte_en;
+ wire [ 1-1:0] rf_ctrlport_req_has_time;
+ wire [ 64-1:0] rf_ctrlport_req_time;
+ wire [ 1-1:0] rf_ctrlport_resp_ack;
+ wire [ 2-1:0] rf_ctrlport_resp_status;
+ wire [ 32-1:0] rf_ctrlport_resp_data;
+
+ localparam [31:0] RFDC_TIMING_WINDOW_SIZE_W = $clog2(RFDC_TIMING_WINDOW_SIZE);
+ localparam [31:0] DB_WINDOW_SIZE_W = $clog2(DB_WINDOW_SIZE);
+
+ ctrlport_decoder_param #(
+ .NUM_SLAVES (2),
+ .PORT_BASE ({ RFDC_TIMING_WINDOW[19:0], DB_WINDOW[19:0] }),
+ .PORT_ADDR_W ({ RFDC_TIMING_WINDOW_SIZE_W, DB_WINDOW_SIZE_W })
+ ) ctrlport_decoder_param_i (
+ .ctrlport_clk (clk),
+ .ctrlport_rst (rst),
+ .s_ctrlport_req_wr (s_ctrlport_req_wr [ 1*db+: 1]),
+ .s_ctrlport_req_rd (s_ctrlport_req_rd [ 1*db+: 1]),
+ .s_ctrlport_req_addr (s_ctrlport_req_addr [20*db+:20]),
+ .s_ctrlport_req_data (s_ctrlport_req_data [32*db+:32]),
+ .s_ctrlport_req_byte_en (s_ctrlport_req_byte_en [ 4*db+: 4]),
+ .s_ctrlport_req_has_time (s_ctrlport_req_has_time [ 1*db+: 1]),
+ .s_ctrlport_req_time (s_ctrlport_req_time [64*db+:64]),
+ .s_ctrlport_resp_ack (s_ctrlport_resp_ack [ 1*db+: 1]),
+ .s_ctrlport_resp_status (s_ctrlport_resp_status [ 2*db+: 2]),
+ .s_ctrlport_resp_data (s_ctrlport_resp_data [32*db+:32]),
+ .m_ctrlport_req_wr ({ rf_ctrlport_req_wr, m_ctrlport_req_wr [ 1*db+: 1] }),
+ .m_ctrlport_req_rd ({ rf_ctrlport_req_rd, m_ctrlport_req_rd [ 1*db+: 1] }),
+ .m_ctrlport_req_addr ({ rf_ctrlport_req_addr, m_ctrlport_req_addr [20*db+:20] }),
+ .m_ctrlport_req_data ({ rf_ctrlport_req_data, m_ctrlport_req_data [32*db+:32] }),
+ .m_ctrlport_req_byte_en ({ rf_ctrlport_req_byte_en, m_ctrlport_req_byte_en [ 4*db+: 4] }),
+ .m_ctrlport_req_has_time ({ rf_ctrlport_req_has_time, m_ctrlport_req_has_time [ 1*db+: 1] }),
+ .m_ctrlport_req_time ({ rf_ctrlport_req_time, m_ctrlport_req_time [64*db+:64] }),
+ .m_ctrlport_resp_ack ({ rf_ctrlport_resp_ack, m_ctrlport_resp_ack [ 1*db+: 1] }),
+ .m_ctrlport_resp_status ({ rf_ctrlport_resp_status, m_ctrlport_resp_status [ 2*db+: 2] }),
+ .m_ctrlport_resp_data ({ rf_ctrlport_resp_data, m_ctrlport_resp_data [32*db+:32] })
+ );
+
+
+ //-----------------------------------------------------------------------
+ // RF Reset Control
+ //-----------------------------------------------------------------------
+
+ wire [ 1-1:0] nco_ctrlport_req_wr;
+ wire [ 1-1:0] nco_ctrlport_req_rd;
+ wire [ 20-1:0] nco_ctrlport_req_addr;
+ wire [ 32-1:0] nco_ctrlport_req_data;
+ reg [ 1-1:0] nco_ctrlport_resp_ack;
+ reg [ 32-1:0] nco_ctrlport_resp_data;
+
+ ctrlport_timer #(
+ .EXEC_LATE_CMDS (1)
+ ) ctrlport_timer_nco (
+ .clk (clk),
+ .rst (rst),
+ .time_now (time_now),
+ .time_now_stb (time_now_stb),
+ .time_ignore_bits (time_ignore_bits),
+ .s_ctrlport_req_wr (rf_ctrlport_req_wr),
+ .s_ctrlport_req_rd (rf_ctrlport_req_rd),
+ .s_ctrlport_req_addr (rf_ctrlport_req_addr),
+ .s_ctrlport_req_data (rf_ctrlport_req_data),
+ .s_ctrlport_req_byte_en (rf_ctrlport_req_byte_en),
+ .s_ctrlport_req_has_time (rf_ctrlport_req_has_time),
+ .s_ctrlport_req_time (rf_ctrlport_req_time),
+ .s_ctrlport_resp_ack (rf_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (rf_ctrlport_resp_status),
+ .s_ctrlport_resp_data (rf_ctrlport_resp_data),
+ .m_ctrlport_req_wr (nco_ctrlport_req_wr),
+ .m_ctrlport_req_rd (nco_ctrlport_req_rd),
+ .m_ctrlport_req_addr (nco_ctrlport_req_addr),
+ .m_ctrlport_req_data (nco_ctrlport_req_data),
+ .m_ctrlport_req_byte_en (),
+ .m_ctrlport_resp_ack (nco_ctrlport_resp_ack),
+ .m_ctrlport_resp_status (2'b0),
+ .m_ctrlport_resp_data (nco_ctrlport_resp_data)
+ );
+
+ always @(posedge clk) begin
+ if (rst) begin
+ nco_ctrlport_resp_ack <= 0;
+ reg_nco_reset_start[db] <= 0;
+ nco_ctrlport_resp_data <= 'bX;
+ end else begin
+ // Default assignments
+ reg_nco_reset_start[db] <= 0;
+ reg_adc_reset_pulse[db] <= 0;
+ reg_dac_reset_pulse[db] <= 0;
+ nco_ctrlport_resp_ack <= 0;
+ nco_ctrlport_resp_data <= 0;
+
+ // Handle register reads
+ if (nco_ctrlport_req_rd) begin
+ case (nco_ctrlport_req_addr)
+ NCO_RESET_REG: begin
+ nco_ctrlport_resp_ack <= 1;
+ nco_ctrlport_resp_data[NCO_RESET_DONE] <= nco_reset_done;
+ end
+ GEARBOX_RESET_REG: begin
+ nco_ctrlport_resp_ack <= 1;
+ end
+ endcase
+ end
+
+ // Handle register writes
+ if (nco_ctrlport_req_wr) begin
+ case (nco_ctrlport_req_addr)
+ NCO_RESET_REG: begin
+ nco_ctrlport_resp_ack <= 1;
+ reg_nco_reset_start[db] <= nco_ctrlport_req_data[NCO_RESET_START];
+ end
+ GEARBOX_RESET_REG: begin
+ nco_ctrlport_resp_ack <= 1;
+ reg_adc_reset_pulse[db] <= nco_ctrlport_req_data[ADC_RESET];
+ reg_dac_reset_pulse[db] <= nco_ctrlport_req_data[DAC_RESET];
+ end
+ endcase
+ end
+ end
+ end
+
+ end
+ endgenerate
+
+
+ //---------------------------------------------------------------------------
+ // Merge Resets
+ //---------------------------------------------------------------------------
+ //
+ // There are multiple DBs but only one reset signal for each RF component.
+ // Since the reset is simply a single cycle pulse, we OR the reset registers
+ // for each daughter board together.
+ //
+ //---------------------------------------------------------------------------
+
+ always @(posedge clk) begin
+ if (rst) begin
+ start_nco_reset <= 0;
+ adc_reset_pulse <= 0;
+ dac_reset_pulse <= 0;
+ end else begin
+ start_nco_reset <= |reg_nco_reset_start;
+ adc_reset_pulse <= |reg_adc_reset_pulse;
+ dac_reset_pulse <= |reg_dac_reset_pulse;
+ end
+ end
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//
+//<regmap name="RADIO_CTRLPORT_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <group name="RADIO_CTRLPORT_WINDOWS">
+// <info>Each radio's CtrlPort peripheral interface is divided into the
+// following memory spaces. Note that the CtrlPort peripheral interface
+// starts at offset 0x80000 in the RFNoC Radio block's register space.</info>
+// <window name="DB_WINDOW" offset="0x00000" size="0x08000">
+// <info>Daughterboard GPIO interface. Register access within this space
+// is directed to the associated daughterboard CPLD.</info>
+// </window>
+// <window name="RFDC_TIMING_WINDOW" offset="0x08000" size="0x08000" targetregmap="RFDC_TIMING_REGMAP">
+// <info>RFDC timing control interface.</info>
+// </window>
+// </group>
+//</regmap>
+//
+//<regmap name="RFDC_TIMING_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <group name="RFDC_TIMING_REGS">
+// <register name="NCO_RESET_REG" offset="0x00" size="32" readable="true" writable="true">
+// <info>NCO reset control register.</info>
+// <bitfield name="NCO_RESET_START" range="0" readable="false" strobe="true">
+// <info>Write a 1 to this bit to start a reset the RFDC's NCO.</info>
+// </bitfield>
+// <bitfield name="NCO_RESET_DONE" range="1" writable="false">
+// <info>When 1, indicates that the NCO reset has completed.</info>
+// </bitfield>
+// </register>
+// <register name="GEARBOX_RESET_REG" offset="0x04" size="32" readable="true" writable="true">
+// <info>Gearbox reset control register.</info>
+// <bitfield name="ADC_RESET" range="0" readable="false" strobe="true">
+// <info>
+// This reset is for the gearbox on the ADC data path that is used to
+// move data from one clock domain to another outside the RFDC. Write
+// a 1 to this bit to send a reset pulse to the ADC gearbox.
+// </info>
+// </bitfield>
+// <bitfield name="DAC_RESET" range="1" readable="false" strobe="true">
+// <info>
+// This reset is for the gearbox on the DAC data path that is used to
+// move data from one clock domain to another outside the RFDC. Write
+// a 1 to this bit to send a reset pulse to the DAC gearbox.
+// </info>
+// </bitfield>
+// </register>
+// </group>
+//</regmap>
+//
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/setupenv.sh b/fpga/usrp3/top/x400/setupenv.sh
new file mode 100644
index 000000000..38bed74df
--- /dev/null
+++ b/fpga/usrp3/top/x400/setupenv.sh
@@ -0,0 +1,16 @@
+#!/bin/bash
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+VIVADO_VER=2019.1
+VIVADO_VER_FULL=2019.1.1_AR73068
+DISPLAY_NAME="USRP-X4xx"
+REPO_BASE_PATH=$(cd "$(dirname "${BASH_SOURCE[0]}")/../.." && pwd)
+
+declare -A PRODUCT_ID_MAP
+PRODUCT_ID_MAP["X410"]="zynquplusRFSOC/xczu28dr/ffvg1517/-1/e"
+
+source $REPO_BASE_PATH/tools/scripts/setupenv_base.sh
diff --git a/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/Makefile b/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/Makefile
new file mode 100644
index 000000000..0e669b6e5
--- /dev/null
+++ b/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/Makefile
@@ -0,0 +1,134 @@
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: LGPL-3.0-or-later
+#
+
+#-------------------------------------------------
+# Top-of-Makefile
+#-------------------------------------------------
+# Define BASE_DIR to point to the "top" dir
+BASE_DIR = $(abspath ../../../../top)
+IP_DIR = $(BASE_DIR)/x400/ip
+
+
+# Include viv_sim_preamble after defining BASE_DIR
+include $(BASE_DIR)/../tools/make/viv_sim_preamble.mak
+IP_BUILD_DIR = $(BASE_DIR)/x400/build-ip/xczu28drffvg1517-1e
+
+#-------------------------------------------------
+# Design Specific
+#-------------------------------------------------
+# Define part using PART_ID (<device>/<package>/<speedgrade>)
+ARCH = zynquplusRFSOC
+PART_ID = xczu28dr/ffvg1517/-1/e
+
+# Include makefiles and sources for the DUT and its dependencies
+include $(BASE_DIR)/../lib/control/Makefile.srcs
+include $(BASE_DIR)/../lib/axi/Makefile.srcs
+include $(BASE_DIR)/../lib/axi4_sv/Makefile.srcs
+include $(BASE_DIR)/../lib/axi4s_sv/Makefile.srcs
+include $(BASE_DIR)/../lib/axi4lite_sv/Makefile.srcs
+include $(BASE_DIR)/../lib/packet_proc/Makefile.srcs
+include $(BASE_DIR)/../lib/xge_interface/Makefile.srcs
+include $(BASE_DIR)/../lib/xge/Makefile.srcs
+include $(BASE_DIR)/../lib/wb_spi/Makefile.srcs
+include $(BASE_DIR)/../lib/fifo/Makefile.srcs
+include $(BASE_DIR)/../lib/rfnoc/utils/Makefile.srcs
+include $(BASE_DIR)/../lib/rfnoc/xport/Makefile.srcs
+include $(BASE_DIR)/../lib/rfnoc/xport_sv/Makefile.srcs
+include $(BASE_DIR)/../lib/rfnoc/crossbar/Makefile.srcs
+include $(BASE_DIR)/../lib/rfnoc/core/Makefile.srcs
+include $(BASE_DIR)/../lib/xge/Makefile.srcs
+include $(IP_DIR)/Makefile.inc
+
+
+IP_AXI_ETH_DMA_BD_HDL_SIM_SRCS = $(wildcard $(addprefix $(IP_BUILD_DIR)/axi_eth_dma_bd/axi_eth_dma_bd/, \
+sim/axi_eth_dma_bd.v\
+ip/*/sim/*.h\
+ip/*/sim/*.v\
+ip/*/sim/*.vhd\
+ip/*/bd_0/hdl/*.v\
+ip/*/bd_0/sim/*.v\
+ip/*/bd_0/ip/ip_*/sim/*.v\
+ip/*/bd_0/ip/ip_*/sim/*.sv\
+ip/*/bd_0/ip/ip_*/sim/*.vhd\
+ipshared/*/hdl/*.sv\
+ipshared/*/hdl/*.v\
+ipshared/*/simulation/*.v\
+ipshared/*/hdl/verilog/*.v\
+ipshared/*/hdl/verilog/*.svh\
+ipshared/*/hdl/verilog/*.vh\
+))
+
+IP_AXI_INTERCONNECT_DMA_BD_HDL_SIM_SRCS = $(wildcard $(addprefix $(IP_BUILD_DIR)/axi_interconnect_dma_bd/axi_interconnect_dma_bd/, \
+ip/*/sim/*.v\
+))
+
+TOP_SRC = \
+$(abspath $(BASE_DIR)/x400/x4xx_qsfp_wrapper.sv) \
+$(abspath $(BASE_DIR)/x400/x4xx_qsfp_wrapper_temp.sv) \
+$(abspath $(BASE_DIR)/x400/x4xx_mgt_io_core.sv) \
+$(abspath $(BASE_DIR)/x400/x4xx.v)
+
+# Xilinx IP wants lots of libraries
+MODELSIM_LIBS += secureip unimacro_ver unisims_ver xilinx_vip xpm
+# Needed for the HACK_SRC, speeds up the alignment phase (still long!)
+VLOG_ARGS = +define+SIM_SPEED_UP
+SVLOG_ARGS = -lint +define+BUILD_100G=1
+# Xilinx IP wants a second file loaded
+MODELSIM_ARGS = glbl -t 1fs
+
+DESIGN_SRCS = $(abspath \
+$(AXI4_SV_SRCS) \
+$(AXI4S_SV_SRCS) \
+$(AXI4LITE_SV_SRCS) \
+$(FIFO_SRCS) \
+$(CONTROL_LIB_SRCS) \
+$(AXI_SRCS) \
+$(XGE_INTERFACE_SRCS) \
+$(PACKET_PROC_SRCS) \
+$(RFNOC_UTIL_SRCS) \
+$(RFNOC_XPORT_SRCS) \
+$(RFNOC_XPORT_SV_SRCS) \
+$(RFNOC_XBAR_SRCS) \
+$(RFNOC_CORE_SRCS) \
+$(WISHBONE_SRCS) \
+$(XGE_SRCS) \
+$(XGE_INTERFACE_SRCS) \
+$(XGE_PCS_PMA_SRCS) \
+$(IP_AXI_ETH_DMA_BD_HDL_SIM_SRCS) \
+$(IP_AXI_INTERCONNECT_DMA_BD_HDL_SIM_SRCS) \
+$(IP_AXI_INTERCONNECT_ETH_HDL_SRCS) \
+$(IP_AXI_INTERCONNECT_DMA_HDL_SRCS) \
+$(IP_AXI_ETH_DMA_BD_HDL_SRCS) \
+$(IP_100G_HDL_SRCS) \
+$(AURORA_PHY_SRCS) \
+$(IP_HDL_SIM_SRCS) \
+$(TOP_SRC) \
+)
+
+#-------------------------------------------------
+# Testbench Specific
+#-------------------------------------------------
+# Define only one toplevel module
+TB_TOP_MODULE ?= x4xx_qsfp_wrapper_all_tb
+
+SIM_TOP = $(TB_TOP_MODULE)
+
+SIM_SRCS = \
+$(abspath x4xx_qsfp_wrapper_tb.sv) \
+$(abspath $(TB_TOP_MODULE).sv)
+
+# Suppressing the following worthless reminder.
+#* Warning: M:/usrp4-hw/oss-repo/fpga/usrp3/lib/axi4s_sv/axi4s_remove_bytes.sv(228): (vlog-2583) [SVCHK] -
+# Extra checking for conflicts with always_comb and always_latch variables is done at vopt time
+SVLOG_ARGS = -suppress 2583
+
+#-------------------------------------------------
+# Bottom-of-Makefile
+#-------------------------------------------------
+# Include all simulator specific makefiles here
+# Each should define a unique target to simulate
+# e.g. xsim, vsim, etc and a common "clean" target
+include $(BASE_DIR)/../tools/make/viv_simulator.mak
diff --git a/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_all_tb.sv b/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_all_tb.sv
new file mode 100644
index 000000000..2357d7c9c
--- /dev/null
+++ b/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_all_tb.sv
@@ -0,0 +1,77 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_qsfp_wrapper_all_tb
+//
+// Description:
+//
+// Testbench for the QSFP wrapper to allow testing all protocols.
+//
+
+`include "./x4xx_mgt_types.vh"
+
+module x4xx_qsfp_wrapper_all_tb;
+
+ x4xx_qsfp_wrapper_tb #(
+ .TEST_NAME ("100GbE_F"),
+ .PROTOCOL0 (`MGT_100GbE),
+ .CHDR_W (512),
+ .USE_MAC (0)
+ ) ETH_100Gb_fast ();
+
+ x4xx_qsfp_wrapper_tb #(
+ .TEST_NAME ("10GbE_F"),
+ .PROTOCOL0 (`MGT_10GbE),
+ .CHDR_W (64),
+ .USE_MAC (0)
+ ) ETH_10Gb_fast ();
+
+ x4xx_qsfp_wrapper_tb #(
+ .TEST_NAME ("10GbE_x4_F"),
+ .PROTOCOL0 (`MGT_10GbE),
+ .PROTOCOL1 (`MGT_10GbE),
+ .PROTOCOL2 (`MGT_10GbE),
+ .PROTOCOL3 (`MGT_10GbE),
+ .CHDR_W (64),
+ .USE_MAC (0)
+ ) ETH_10Gb_x4_fast ();
+
+ x4xx_qsfp_wrapper_tb #(
+ .TEST_NAME ("100GbE_512S"),
+ .PROTOCOL0 (`MGT_100GbE),
+ .CHDR_W (512),
+ .USE_MAC (1)
+ ) ETH_100Gb_512serial ();
+
+ x4xx_qsfp_wrapper_tb #(
+ .TEST_NAME ("100GbE_128S"),
+ .PROTOCOL0 (`MGT_100GbE),
+ .CHDR_W (128),
+ .USE_MAC (1)
+ ) ETH_100Gb_128serial ();
+
+ x4xx_qsfp_wrapper_tb #(
+ .TEST_NAME ("10GbE_S"),
+ .PROTOCOL0 (`MGT_10GbE),
+ .CHDR_W (64),
+ .USE_MAC (1)
+ ) ETH_10Gb_serial ();
+
+ bit clk,rst;
+
+ sim_clock_gen #(100.0) clk_gen (clk, rst);
+
+ // Wait for all done
+ always_ff@(posedge clk) begin
+ if (ETH_100Gb_fast.test.done &&
+ ETH_10Gb_fast.test.done &&
+ ETH_10Gb_x4_fast.test.done &&
+ ETH_100Gb_512serial.test.done &&
+ ETH_100Gb_128serial.test.done &&
+ ETH_10Gb_serial.test.done
+ ) $finish(1);
+ end
+
+endmodule
diff --git a/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_tb.sv b/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_tb.sv
new file mode 100644
index 000000000..2d8172de9
--- /dev/null
+++ b/fpga/usrp3/top/x400/sim/x4xx_qsfp_wrapper/x4xx_qsfp_wrapper_tb.sv
@@ -0,0 +1,1641 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_qsfp_wrapper_tb
+//
+// Description:
+//
+// Testbench for x4xx_qsfp_wrapper.
+//
+// Parameters:
+//
+// TEST_NAME : String added to test output
+// PROTOCOL : Must be {100Gbe, 10GbE, 1GbE, Aurora, Disabled}
+// USE_MAC : When set simulate through MAC and PHY. When false, cut before
+// the MAC.
+//
+
+`include "./x4xx_mgt_types.vh"
+
+
+module x4xx_qsfp_wrapper_tb #(
+ parameter TEST_NAME = "x4xx_qsfp_wrapper_tb",
+ parameter PROTOCOL0 = `MGT_10GbE,
+ parameter PROTOCOL1 = `MGT_Disabled,
+ parameter PROTOCOL2 = `MGT_Disabled,
+ parameter PROTOCOL3 = `MGT_Disabled,
+ parameter CHDR_W = 64,
+ parameter logic USE_MAC = 1
+) (
+ /* no IO */
+);
+ // Include macros and time declarations for use with PkgTestExec
+ `define TEST_EXEC_OBJ test
+ `include "test_exec.svh"
+ import PkgAxiStreamBfm::*;
+ import PkgAxiLiteBfm::*;
+ import PkgTestExec::*;
+ import PkgChdrUtils::*;
+ import PkgChdrBfm::*;
+ import PkgEthernet::*;
+
+ //---------------------------------------------------------------------------
+ // Local Parameters
+ //---------------------------------------------------------------------------
+
+ localparam int CPU_W = 64;
+
+ localparam int ENET_W = PROTOCOL0 == `MGT_100GbE?512:64;
+ // 10gbe Mac AUTOEXPANDS small packets > 64 bit
+ localparam AUTOEXPAND_TO_64 = PROTOCOL0 == `MGT_100GbE?0:USE_MAC;
+
+ localparam [7:0] PORTNUM = 0;
+ localparam MDIO_EN = 0;
+ localparam [4:0] MDIO_PHYADDR = 0;
+ localparam [15:0] RFNOC_PROTOVER = {8'd1, 8'd0};
+
+ localparam ENET_USER_W = $clog2(ENET_W/8)+1;
+ localparam CPU_USER_W = $clog2(CPU_W/8)+1;
+ localparam CHDR_USER_W = $clog2(CHDR_W/8)+1;
+ // allows the DUT to push full words and tb does not check tuser/tkeep of packets it's transmitting
+ localparam IGNORE_EXTRA_DATA = 0;
+
+ localparam PREAMBLE_BYTES = (PROTOCOL0 == `MGT_100GbE) ? 0 : 0;
+ localparam USER_CLK_PERIOD = (PROTOCOL0 == `MGT_100GbE) ? 3.1 : 6.4;
+ localparam SV_ETH_IFC = 1;
+
+ localparam logic[3:0] DISABLED = {PROTOCOL3 == `MGT_Disabled,
+ PROTOCOL2 == `MGT_Disabled,
+ PROTOCOL1 == `MGT_Disabled,
+ PROTOCOL0 == `MGT_Disabled};
+ localparam logic[3:0] IS10GBE = {PROTOCOL3 == `MGT_10GbE,
+ PROTOCOL2 == `MGT_10GbE,
+ PROTOCOL1 == `MGT_10GbE,
+ PROTOCOL0 == `MGT_10GbE};
+
+ //---------------------------------------------------------------------------
+ // Clocks and resets
+ //---------------------------------------------------------------------------
+
+ bit clk200,clk100,clk40,clk156p25,userclk,sim_userclk;
+ bit clk200_rst,clk100_rst,clk40_rst,clk40_rstn,refclk_rst,userclk_rst,sim_userclk_rst;
+ logic refclk_p,refclk_n;
+ logic done = 0;
+
+
+ // 322.2666 MHz ref - clock generated by 100G core.
+ // 156.25 MHz ref - clock generated by 10G core.
+ // If we simulate the actual xilinx core, don't use this clock
+ sim_clock_gen #(.PERIOD(USER_CLK_PERIOD), .AUTOSTART(1))
+ userclk_gen (.clk(sim_userclk), .rst(sim_userclk_rst));
+ //156.25 MHz ref
+ sim_clock_gen #(.PERIOD(6.4), .AUTOSTART(1))
+ refclk_gen (.clk(clk156p25), .rst(refclk_rst));
+ always_comb begin
+ refclk_p = clk156p25; //156.25
+ refclk_n = !clk156p25;
+ end
+
+ sim_clock_gen #(.PERIOD(5.0), .AUTOSTART(1))
+ clk200_gen (.clk(clk200), .rst(clk200_rst));
+ sim_clock_gen #(.PERIOD(10.0), .AUTOSTART(1))
+ clk100_gen (.clk(clk100), .rst(clk100_rst));
+ sim_clock_gen #(.PERIOD(25.0), .AUTOSTART(1))
+ clk40_gen (.clk(clk40), .rst(clk40_rst));
+ always_comb clk40_rstn = !clk40_rst;
+
+ //---------------------------------------------------------------------------
+ // Bus Functional Models
+ //---------------------------------------------------------------------------
+ TestExec test = new();
+
+ AxiStreamIf #(.DATA_WIDTH(ENET_W),.USER_WIDTH(ENET_USER_W))
+ eth_tx [3:0] (userclk, userclk_rst);
+ AxiStreamIf #(.DATA_WIDTH(ENET_W),.USER_WIDTH(ENET_USER_W))
+ eth_rx [3:0] (userclk, userclk_rst);
+
+ AxiStreamIf #(.DATA_WIDTH(CHDR_W),.USER_WIDTH(CHDR_USER_W),.TKEEP(0),.TUSER(0))
+ v2e [3:0] (clk200, clk200_rst);
+ AxiStreamIf #(.DATA_WIDTH(CHDR_W),.USER_WIDTH(CHDR_USER_W),.TKEEP(0),.TUSER(0))
+ e2v [3:0] (clk200, clk200_rst);
+
+ AxiStreamIf #(.DATA_WIDTH(CPU_W),.USER_WIDTH(CPU_USER_W),.TUSER(0))
+ c2e [3:0] (clk40, clk40_rst);
+ AxiStreamIf #(.DATA_WIDTH(CPU_W),.USER_WIDTH(CPU_USER_W),.TUSER(0))
+ e2c [3:0] (clk40, clk40_rst);
+
+ AxiLiteIf #(.DATA_WIDTH(32),.ADDR_WIDTH(40))
+ s_axi (clk40, clk40_rst);
+
+ // Bus functional model for a axi_stream controller
+ AxiStreamBfm #(.DATA_WIDTH(ENET_W),.USER_WIDTH(ENET_USER_W)) eth [];
+ AxiStreamBfm #(.DATA_WIDTH(CHDR_W),.USER_WIDTH(CHDR_USER_W),.TKEEP(0),.TUSER(0)) v [];
+ AxiStreamBfm #(.DATA_WIDTH(CPU_W),.USER_WIDTH(CPU_USER_W),.TUSER(0)) cpu [];
+ AxiLiteBfm #(.DATA_WIDTH(32),.ADDR_WIDTH(40)) axi = new(.master(s_axi));
+
+ //---------------------------------------------------------------------------
+ // Instantiate DUT
+ //---------------------------------------------------------------------------
+
+ logic [3:0] tx_p,tx_n,rx_p,rx_n;
+ logic [3:0] [31:0] port_info;
+ logic [15:0] device_id;
+ logic [3:0] link_up, activity;
+ logic QSFP_MODPRS_n =1'b0;
+
+ logic [3:0] eth_rx_irq;
+ logic [3:0] eth_tx_irq;
+
+ // ETH DMA AXI To CPU
+ AxiIf_v #(.DATA_WIDTH(128),.ADDR_WIDTH(49))
+ axi_hp_v (clk40, clk40_rst);
+
+ AxiLiteIf_v #(.DATA_WIDTH(32),.ADDR_WIDTH(40))
+ s_axi_v (clk40, clk40_rst);
+ `include "../../../../../../lib/axi4lite_sv/axi_lite.vh"
+ `include "../../../../../../lib/axi4_sv/axi.vh"
+ always_comb begin
+ `AXI4LITE_ASSIGN(s_axi_v,s_axi)
+ axi_hp_v.arready = 1'b1;
+ axi_hp_v.awready = 1'b1;
+ axi_hp_v.wready = 1'b1;
+ axi_hp_v.rdata = '0;
+ axi_hp_v.rresp[1:0] = 2'b0;
+ axi_hp_v.rlast = 1'b0;
+ axi_hp_v.rvalid = 1'b0;
+ axi_hp_v.bresp[1:0] = 2'b0;
+ axi_hp_v.bvalid = 1'b0;
+ end
+
+ `define MGT_IO0 dut.x4xx_qsfp_wrapper_i.mgt_lanes.lane_loop[0].x4xx_mgt_io_core_i
+ `define MGT_IO1 dut.x4xx_qsfp_wrapper_i.mgt_lanes.lane_loop[1].x4xx_mgt_io_core_i
+ `define MGT_IO2 dut.x4xx_qsfp_wrapper_i.mgt_lanes.lane_loop[2].x4xx_mgt_io_core_i
+ `define MGT_IO3 dut.x4xx_qsfp_wrapper_i.mgt_lanes.lane_loop[3].x4xx_mgt_io_core_i
+ `define QSFP_W dut.x4xx_qsfp_wrapper_i
+
+ x4xx_qsfp_wrapper_temp #(
+ .PROTOCOL0 (PROTOCOL0),
+ .PROTOCOL1 (PROTOCOL1),
+ .PROTOCOL2 (PROTOCOL2),
+ .PROTOCOL3 (PROTOCOL3),
+
+ .CPU_W (CPU_W),
+ .CHDR_W (CHDR_W),
+ .PORTNUM (0)
+ ) dut (
+ .areset (refclk_rst),
+ .refclk_p (refclk_p),
+ .refclk_n (refclk_n),
+ .bus_rst (clk200_rst),
+ .clk40_rst (clk40_rst),
+ .clk100 (clk100),
+ .bus_clk (clk200),
+ .clk40 (clk40),
+ `AXI4_PORT_ASSIGN_NR(axi_hp,axi_hp_v)
+ `AXI4LITE_PORT_ASSIGN_NR(s_axi,s_axi_v)
+ .tx_p (tx_p),
+ .tx_n (tx_n),
+ .rx_p (rx_p),
+ .rx_n (rx_n),
+
+ .e2v_tdata ({e2v[3].tdata, e2v[2].tdata, e2v[1].tdata, e2v[0].tdata}),
+ .e2v_tlast ({e2v[3].tlast, e2v[2].tlast, e2v[1].tlast, e2v[0].tlast}),
+ .e2v_tvalid ({e2v[3].tvalid, e2v[2].tvalid, e2v[1].tvalid, e2v[0].tvalid}),
+ .e2v_tready ({e2v[3].tready, e2v[2].tready, e2v[1].tready, e2v[0].tready}),
+ .v2e_tdata ({v2e[3].tdata, v2e[2].tdata, v2e[1].tdata, v2e[0].tdata}),
+ .v2e_tlast ({v2e[3].tlast, v2e[2].tlast, v2e[1].tlast, v2e[0].tlast}),
+ .v2e_tvalid ({v2e[3].tvalid, v2e[2].tvalid, v2e[1].tvalid, v2e[0].tvalid}),
+ .v2e_tready ({v2e[3].tready, v2e[2].tready, v2e[1].tready, v2e[0].tready}),
+
+ .eth_tx_irq (eth_tx_irq),
+ .eth_rx_irq (eth_rx_irq),
+
+ .rx_rec_clk_out (),
+ .device_id (device_id),
+
+ .port_info_0 (port_info[0]),
+ .port_info_1 (port_info[1]),
+ .port_info_2 (port_info[2]),
+ .port_info_3 (port_info[3]),
+
+ .link_up (link_up),
+ .activity (activity)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Connect to e2c c2e
+ //---------------------------------------------------------------------------
+ // Ideally simulation would update to test Xilinx DMA block, but as a quick
+ // fix we are simulating just to the AXI stream bus.
+ if (PROTOCOL0 == `MGT_100GbE || PROTOCOL0 == `MGT_10GbE) begin
+ always_comb begin
+ e2c[0].tdata = `QSFP_W.mgt_lanes.lane_loop[0].eth_port.e2c.tdata;
+ e2c[0].tuser = `QSFP_W.mgt_lanes.lane_loop[0].eth_port.e2c.tuser;
+ e2c[0].tkeep = `QSFP_W.mgt_lanes.lane_loop[0].eth_port.e2c.tkeep;
+ e2c[0].tlast = `QSFP_W.mgt_lanes.lane_loop[0].eth_port.e2c.tlast;
+ e2c[0].tvalid = `QSFP_W.mgt_lanes.lane_loop[0].eth_port.e2c.tvalid;
+ force `QSFP_W.mgt_lanes.lane_loop[0].eth_port.e2c.tready = e2c[0].tready;
+
+ force `QSFP_W.mgt_lanes.lane_loop[0].eth_port.c2e.tdata = c2e[0].tdata;
+ force `QSFP_W.mgt_lanes.lane_loop[0].eth_port.c2e.tuser = c2e[0].tuser;
+ force `QSFP_W.mgt_lanes.lane_loop[0].eth_port.c2e.tkeep = c2e[0].tkeep;
+ force `QSFP_W.mgt_lanes.lane_loop[0].eth_port.c2e.tlast = c2e[0].tlast;
+ force `QSFP_W.mgt_lanes.lane_loop[0].eth_port.c2e.tvalid = c2e[0].tvalid;
+ c2e[0].tready = `QSFP_W.mgt_lanes.lane_loop[0].eth_port.c2e.tready;
+ end
+ end
+ if (PROTOCOL1 == `MGT_10GbE) begin
+ always_comb begin
+ e2c[1].tdata = `QSFP_W.mgt_lanes.lane_loop[1].eth_port.e2c.tdata;
+ e2c[1].tuser = `QSFP_W.mgt_lanes.lane_loop[1].eth_port.e2c.tuser;
+ e2c[1].tkeep = `QSFP_W.mgt_lanes.lane_loop[1].eth_port.e2c.tkeep;
+ e2c[1].tlast = `QSFP_W.mgt_lanes.lane_loop[1].eth_port.e2c.tlast;
+ e2c[1].tvalid = `QSFP_W.mgt_lanes.lane_loop[1].eth_port.e2c.tvalid;
+ force `QSFP_W.mgt_lanes.lane_loop[1].eth_port.e2c.tready = e2c[1].tready;
+
+ force `QSFP_W.mgt_lanes.lane_loop[1].eth_port.c2e.tdata = c2e[1].tdata;
+ force `QSFP_W.mgt_lanes.lane_loop[1].eth_port.c2e.tuser = c2e[1].tuser;
+ force `QSFP_W.mgt_lanes.lane_loop[1].eth_port.c2e.tkeep = c2e[1].tkeep;
+ force `QSFP_W.mgt_lanes.lane_loop[1].eth_port.c2e.tlast = c2e[1].tlast;
+ force `QSFP_W.mgt_lanes.lane_loop[1].eth_port.c2e.tvalid = c2e[1].tvalid;
+ c2e[1].tready = `QSFP_W.mgt_lanes.lane_loop[1].eth_port.c2e.tready;
+ end
+ end
+ if (PROTOCOL2 == `MGT_10GbE) begin
+ always_comb begin
+ e2c[2].tdata = `QSFP_W.mgt_lanes.lane_loop[2].eth_port.e2c.tdata;
+ e2c[2].tuser = `QSFP_W.mgt_lanes.lane_loop[2].eth_port.e2c.tuser;
+ e2c[2].tkeep = `QSFP_W.mgt_lanes.lane_loop[2].eth_port.e2c.tkeep;
+ e2c[2].tlast = `QSFP_W.mgt_lanes.lane_loop[2].eth_port.e2c.tlast;
+ e2c[2].tvalid = `QSFP_W.mgt_lanes.lane_loop[2].eth_port.e2c.tvalid;
+ force `QSFP_W.mgt_lanes.lane_loop[2].eth_port.e2c.tready = e2c[2].tready;
+
+ force `QSFP_W.mgt_lanes.lane_loop[2].eth_port.c2e.tdata = c2e[2].tdata;
+ force `QSFP_W.mgt_lanes.lane_loop[2].eth_port.c2e.tuser = c2e[2].tuser;
+ force `QSFP_W.mgt_lanes.lane_loop[2].eth_port.c2e.tkeep = c2e[2].tkeep;
+ force `QSFP_W.mgt_lanes.lane_loop[2].eth_port.c2e.tlast = c2e[2].tlast;
+ force `QSFP_W.mgt_lanes.lane_loop[2].eth_port.c2e.tvalid = c2e[2].tvalid;
+ c2e[2].tready = `QSFP_W.mgt_lanes.lane_loop[2].eth_port.c2e.tready;
+ end
+ end
+ if (PROTOCOL3 == `MGT_10GbE) begin
+ always_comb begin
+ e2c[3].tdata = `QSFP_W.mgt_lanes.lane_loop[3].eth_port.e2c.tdata;
+ e2c[3].tuser = `QSFP_W.mgt_lanes.lane_loop[3].eth_port.e2c.tuser;
+ e2c[3].tkeep = `QSFP_W.mgt_lanes.lane_loop[3].eth_port.e2c.tkeep;
+ e2c[3].tlast = `QSFP_W.mgt_lanes.lane_loop[3].eth_port.e2c.tlast;
+ e2c[3].tvalid = `QSFP_W.mgt_lanes.lane_loop[3].eth_port.e2c.tvalid;
+ force `QSFP_W.mgt_lanes.lane_loop[3].eth_port.e2c.tready = e2c[3].tready;
+
+ force `QSFP_W.mgt_lanes.lane_loop[3].eth_port.c2e.tdata = c2e[3].tdata;
+ force `QSFP_W.mgt_lanes.lane_loop[3].eth_port.c2e.tuser = c2e[3].tuser;
+ force `QSFP_W.mgt_lanes.lane_loop[3].eth_port.c2e.tkeep = c2e[3].tkeep;
+ force `QSFP_W.mgt_lanes.lane_loop[3].eth_port.c2e.tlast = c2e[3].tlast;
+ force `QSFP_W.mgt_lanes.lane_loop[3].eth_port.c2e.tvalid = c2e[3].tvalid;
+ c2e[3].tready = `QSFP_W.mgt_lanes.lane_loop[3].eth_port.c2e.tready;
+ end
+ end
+
+ //---------------------------------------------------------------------------
+ // Connect to Internal Bus
+ //---------------------------------------------------------------------------
+
+ logic [3:0] model_link_up;
+ if (USE_MAC) begin : use_mac
+ if (PROTOCOL0 == `MGT_100GbE) begin : use_mac_100
+ model_100gbe model_100gbe_i (
+ .areset (refclk_rst),
+ .ref_clk (refclk_p),
+ .tx_p (rx_p),
+ .tx_n (rx_n),
+ .rx_p (tx_p),
+ .rx_n (tx_n),
+ .mgt_clk (userclk),
+ .mgt_rst (userclk_rst),
+ .link_up (model_link_up[0]),
+ .mgt_tx (eth_rx[0]),
+ .mgt_rx (eth_tx[0])
+ );
+ end else begin : use_mac_single_lane
+ if (IS10GBE[0]) begin : use_mac0_10
+ logic mgt_clk, mgt_rst;
+ model_10gbe #(.PORTNUM(0)) model_10gbe_0(
+ .areset (refclk_rst),
+ .ref_clk (refclk_p),
+ .tx_p (rx_p[0]),
+ .tx_n (rx_n[0]),
+ .rx_p (tx_p[0]),
+ .rx_n (tx_n[0]),
+ .mgt_clk (userclk),
+ .mgt_rst (userclk_rst),
+ .link_up (model_link_up[0]),
+ .mgt_tx (eth_rx[0]),
+ .mgt_rx (eth_tx[0])
+ );
+ end
+ if (IS10GBE[1]) begin : use_mac1_10
+ logic mgt_clk, mgt_rst;
+ model_10gbe #(.PORTNUM(1)) model_10gbe_1(
+ .areset (refclk_rst),
+ .ref_clk (refclk_p),
+ .tx_p (rx_p[1]),
+ .tx_n (rx_n[1]),
+ .rx_p (tx_p[1]),
+ .rx_n (tx_n[1]),
+ .mgt_clk (userclk),
+ .mgt_rst (userclk_rst),
+ .link_up (model_link_up[1]),
+ .mgt_tx (eth_rx[1]),
+ .mgt_rx (eth_tx[1])
+ );
+ end
+ if (IS10GBE[2]) begin : use_mac2_10
+ logic mgt_clk, mgt_rst;
+ model_10gbe #(.PORTNUM(2)) model_10gbe_2(
+ .areset (refclk_rst),
+ .ref_clk (refclk_p),
+ .tx_p (rx_p[2]),
+ .tx_n (rx_n[2]),
+ .rx_p (tx_p[2]),
+ .rx_n (tx_n[2]),
+ .mgt_clk (userclk),
+ .mgt_rst (userclk_rst),
+ .link_up (model_link_up[2]),
+ .mgt_tx (eth_rx[2]),
+ .mgt_rx (eth_tx[2])
+ );
+ end
+ if (IS10GBE[3]) begin : use_mac3_10
+ logic mgt_clk, mgt_rst;
+ model_10gbe #(.PORTNUM(3)) model_10gbe_3(
+ .areset (refclk_rst),
+ .ref_clk (refclk_p),
+ .tx_p (rx_p[3]),
+ .tx_n (rx_n[3]),
+ .rx_p (tx_p[3]),
+ .rx_n (tx_n[3]),
+ .mgt_clk (userclk),
+ .mgt_rst (userclk_rst),
+ .link_up (model_link_up[3]),
+ .mgt_tx (eth_rx[3]),
+ .mgt_rx (eth_tx[3])
+ );
+ end
+ end : use_mac_single_lane
+ end else begin : skip_mac
+ assign model_link_up = 1;
+ always_comb begin
+ userclk = sim_userclk;
+ userclk_rst = sim_userclk_rst;
+ end
+ if (PROTOCOL0 == `MGT_100GbE) begin : skip_mac_100
+ always_comb begin
+ force `MGT_IO0.core_100g.eth_100g_i.eth_100g_bd_i.gt_txusrclk2 = userclk;
+ force `MGT_IO0.core_100g.eth_100g_i.link_up = !userclk_rst;
+
+ eth_tx[0].tdata = `MGT_IO0.core_100g.eth_100g_i.eth100g_tx.tdata;
+ eth_tx[0].tkeep = `MGT_IO0.core_100g.eth_100g_i.eth100g_tx.tkeep;
+ eth_tx[0].tuser = eth_tx[0].keep2trailing(eth_tx[0].tkeep);
+ eth_tx[0].tlast = `MGT_IO0.core_100g.eth_100g_i.eth100g_tx.tlast;
+ eth_tx[0].tvalid = `MGT_IO0.core_100g.eth_100g_i.eth100g_tx.tvalid;
+ force `MGT_IO0.core_100g.eth_100g_i.eth100g_tx.tready = eth_tx[0].tready;
+ force `MGT_IO0.core_100g.eth_100g_i.lbus_tx[0] = 0;
+ force `MGT_IO0.core_100g.eth_100g_i.lbus_tx[1] = 0;
+ force `MGT_IO0.core_100g.eth_100g_i.lbus_tx[2] = 0;
+ force `MGT_IO0.core_100g.eth_100g_i.lbus_tx[3] = 0;
+
+ force `MGT_IO0.core_100g.eth_100g_i.eth100g_rx.tdata = eth_rx[0].tdata;
+ force `MGT_IO0.core_100g.eth_100g_i.eth100g_rx.tuser = eth_rx[0].tuser;
+ force `MGT_IO0.core_100g.eth_100g_i.eth100g_rx.tkeep = eth_rx[0].tkeep;
+ force `MGT_IO0.core_100g.eth_100g_i.eth100g_rx.tlast = eth_rx[0].tlast;
+ force `MGT_IO0.core_100g.eth_100g_i.eth100g_rx.tvalid = eth_rx[0].tvalid;
+ eth_rx[0].tready = 1;
+ end
+ end else begin : skip_mac_single_lane
+ if (IS10GBE[0]) begin : skip_mac0_10
+ always_comb begin
+ force `MGT_IO0.mgt_clk = userclk;
+ force `MGT_IO0.mgt_rst = userclk_rst;
+ eth_tx[0].tdata = `MGT_IO0.core_10g.eth_10g_i.mgt_tx.tdata;
+ eth_tx[0].tuser = `MGT_IO0.core_10g.eth_10g_i.mgt_tx.tuser;
+ eth_tx[0].tkeep = `MGT_IO0.core_10g.eth_10g_i.mgt_tx.tkeep;
+ eth_tx[0].tlast = `MGT_IO0.core_10g.eth_10g_i.mgt_tx.tlast;
+ eth_tx[0].tvalid = `MGT_IO0.core_10g.eth_10g_i.mgt_tx.tvalid;
+ force `MGT_IO0.core_10g.eth_10g_i.mgt_tx.tready = eth_tx[0].tready;
+
+ force `MGT_IO0.core_10g.eth_10g_i.mgt_rx.tdata = eth_rx[0].tdata;
+ force `MGT_IO0.core_10g.eth_10g_i.mgt_rx.tuser = eth_rx[0].tuser;
+ force `MGT_IO0.core_10g.eth_10g_i.mgt_rx.tlast = eth_rx[0].tlast;
+ force `MGT_IO0.core_10g.eth_10g_i.mgt_rx.tvalid = eth_rx[0].tvalid;
+ eth_rx[0].tready = 1;
+ end
+ end : skip_mac0_10
+ if (IS10GBE[1]) begin : skip_mac1_10
+ always_comb begin
+ force `MGT_IO1.mgt_clk = userclk;
+ force `MGT_IO1.mgt_rst = userclk_rst;
+ eth_tx[1].tdata = `MGT_IO1.core_10g.eth_10g_i.mgt_tx.tdata;
+ eth_tx[1].tuser = `MGT_IO1.core_10g.eth_10g_i.mgt_tx.tuser;
+ eth_tx[1].tkeep = `MGT_IO1.core_10g.eth_10g_i.mgt_tx.tkeep;
+ eth_tx[1].tlast = `MGT_IO1.core_10g.eth_10g_i.mgt_tx.tlast;
+ eth_tx[1].tvalid = `MGT_IO1.core_10g.eth_10g_i.mgt_tx.tvalid;
+ force `MGT_IO1.core_10g.eth_10g_i.mgt_tx.tready = eth_tx[1].tready;
+
+ force `MGT_IO1.core_10g.eth_10g_i.mgt_rx.tdata = eth_rx[1].tdata;
+ force `MGT_IO1.core_10g.eth_10g_i.mgt_rx.tuser = eth_rx[1].tuser;
+ force `MGT_IO1.core_10g.eth_10g_i.mgt_rx.tlast = eth_rx[1].tlast;
+ force `MGT_IO1.core_10g.eth_10g_i.mgt_rx.tvalid = eth_rx[1].tvalid;
+ eth_rx[1].tready = 1;
+ end
+ end : skip_mac1_10
+ if (IS10GBE[2]) begin : skip_mac2_10
+ always_comb begin
+ force `MGT_IO2.mgt_clk = userclk;
+ force `MGT_IO2.mgt_rst = userclk_rst;
+ eth_tx[2].tdata = `MGT_IO2.core_10g.eth_10g_i.mgt_tx.tdata;
+ eth_tx[2].tuser = `MGT_IO2.core_10g.eth_10g_i.mgt_tx.tuser;
+ eth_tx[2].tkeep = `MGT_IO2.core_10g.eth_10g_i.mgt_tx.tkeep;
+ eth_tx[2].tlast = `MGT_IO2.core_10g.eth_10g_i.mgt_tx.tlast;
+ eth_tx[2].tvalid = `MGT_IO2.core_10g.eth_10g_i.mgt_tx.tvalid;
+ force `MGT_IO2.core_10g.eth_10g_i.mgt_tx.tready = eth_tx[2].tready;
+
+ force `MGT_IO2.core_10g.eth_10g_i.mgt_rx.tdata = eth_rx[2].tdata;
+ force `MGT_IO2.core_10g.eth_10g_i.mgt_rx.tuser = eth_rx[2].tuser;
+ force `MGT_IO2.core_10g.eth_10g_i.mgt_rx.tlast = eth_rx[2].tlast;
+ force `MGT_IO2.core_10g.eth_10g_i.mgt_rx.tvalid = eth_rx[2].tvalid;
+ eth_rx[2].tready = 1;
+ end
+ end : skip_mac2_10
+ if (IS10GBE[3]) begin : skip_mac3_10
+ always_comb begin
+ force `MGT_IO3.mgt_clk = userclk;
+ force `MGT_IO3.mgt_rst = userclk_rst;
+ eth_tx[3].tdata = `MGT_IO3.core_10g.eth_10g_i.mgt_tx.tdata;
+ eth_tx[3].tuser = `MGT_IO3.core_10g.eth_10g_i.mgt_tx.tuser;
+ eth_tx[3].tkeep = `MGT_IO3.core_10g.eth_10g_i.mgt_tx.tkeep;
+ eth_tx[3].tlast = `MGT_IO3.core_10g.eth_10g_i.mgt_tx.tlast;
+ eth_tx[3].tvalid = `MGT_IO3.core_10g.eth_10g_i.mgt_tx.tvalid;
+ force `MGT_IO3.core_10g.eth_10g_i.mgt_tx.tready = eth_tx[3].tready;
+
+ force `MGT_IO3.core_10g.eth_10g_i.mgt_rx.tdata = eth_rx[3].tdata;
+ force `MGT_IO3.core_10g.eth_10g_i.mgt_rx.tuser = eth_rx[3].tuser;
+ force `MGT_IO3.core_10g.eth_10g_i.mgt_rx.tlast = eth_rx[3].tlast;
+ force `MGT_IO3.core_10g.eth_10g_i.mgt_rx.tvalid = eth_rx[3].tvalid;
+ eth_rx[3].tready = 1;
+ end
+ end : skip_mac3_10
+ end : skip_mac_single_lane
+ end
+
+ //---------------------------------------------------------------------------
+ // Reset
+ //---------------------------------------------------------------------------
+
+ task test_reset();
+ wait(!clk200_rst && !clk100_rst && !clk40_rst);
+ repeat (10) @(posedge clk40);
+ endtask : test_reset
+
+ //---------------------------------------------------------------------------
+ // Test Registers
+ //---------------------------------------------------------------------------
+
+ // register offset for DMA controller
+ localparam REG_DMA = 'h0;
+ localparam MM2S_DMACR = REG_DMA + 'h0;
+
+ // register offsets from x4xx_mgt_io_core
+ // MGT_IO Registers (NI_XGE registers)
+ localparam REG_BASE_SFP_IO = 32'h8000;
+ localparam REG_PORT_INFO = REG_BASE_SFP_IO + 'h0;
+ localparam REG_MAC_CTRL_STATUS = REG_BASE_SFP_IO + 'h4;
+ localparam REG_PHY_CTRL_STATUS = REG_BASE_SFP_IO + 'h8;
+ localparam REG_MAC_LED_CTL = REG_BASE_SFP_IO + 'hC;
+
+ // Ethernet specific
+ localparam REG_ETH_MDIO_BASE = REG_BASE_SFP_IO + 'h10;
+
+ // Aurora specific
+ localparam REG_AURORA_OVERRUNS = REG_BASE_SFP_IO + 'h20;
+ localparam REG_CHECKSUM_ERRORS = REG_BASE_SFP_IO + 'h24;
+ localparam REG_BIST_CHECKER_SAMPS = REG_BASE_SFP_IO + 'h28;
+ localparam REG_BIST_CHECKER_ERRORS = REG_BASE_SFP_IO + 'h2C;
+
+ // At 0x9000 The OpenCores XGE MAC registers exist.
+
+ // Set BASE for UIO - The package file defines the registers at +0x1000.
+ // NOTE that 0x9000/0x9004 has a local copy of the MAC REGISTER.
+ localparam BASE = 32'h9000;
+ localparam REG_AWIDTH = 16;
+ `include "../../../../lib/rfnoc/xport_sv/eth_regs.vh"
+
+ // 100gbe Registers
+ localparam CMAC_BASE = 32'hC000;
+ localparam REG_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1 = CMAC_BASE+ 32'h0048;
+ localparam REG_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1 = CMAC_BASE+ 32'h0034;
+
+ task test_registers(int lane);
+ localparam [7:0] COMPAT_NUM = 8'd2;
+ logic [7:0] MGT_PROTOCOL;
+
+ automatic resp_t resp;
+ automatic logic activity = 0;
+ automatic logic reg_link_up = 0;
+ automatic logic [31:0] port_info;
+ automatic int data = 0;
+ automatic int LANE_BASE = 32'h10000 * lane;
+ string lane_str;
+ lane_str.itoa(lane);
+
+ test.start_test({TEST_NAME," ",lane_str," Test/Setup Registers"}, 30us);
+
+ case (lane)
+ 0 : MGT_PROTOCOL = PROTOCOL0;
+ 1 : MGT_PROTOCOL = PROTOCOL1;
+ 2 : MGT_PROTOCOL = PROTOCOL2;
+ 3 : MGT_PROTOCOL = PROTOCOL3;
+ endcase
+
+ // testing AxiLite Model
+ repeat (4) begin
+ axi.wr(LANE_BASE+REG_MAC_LSB,++data);
+ axi.rd(LANE_BASE+REG_MAC_LSB,data);
+ axi.wr(LANE_BASE+REG_MAC_MSB,++data);
+ axi.rd(LANE_BASE+REG_MAC_MSB,data);
+ end
+
+ // try with different idle states
+ axi.ready_idle_state = 1;
+ repeat (16) begin
+ axi.wr(LANE_BASE+REG_MAC_LSB,++data);
+ end
+ repeat (4) axi.rd(LANE_BASE+REG_MAC_LSB,data);
+ axi.ready_idle_state = 0;
+ repeat (16) begin
+ axi.wr(LANE_BASE+REG_MAC_LSB,++data);
+ end
+ repeat (4) axi.rd(LANE_BASE+REG_MAC_LSB,data);
+
+ if (MGT_PROTOCOL == `MGT_100GbE && !USE_MAC) begin
+ reg_link_up = 1;
+ end
+
+ port_info = {COMPAT_NUM, 6'h0, activity, reg_link_up, MGT_PROTOCOL, PORTNUM};
+ axi.rd(LANE_BASE+REG_PORT_INFO,port_info);
+ // status/ctrl isn't used yet
+ if (MGT_PROTOCOL == `MGT_100GbE) axi.rd(LANE_BASE+REG_MAC_CTRL_STATUS,0);
+ else if (MGT_PROTOCOL == `MGT_10GbE)
+ if (USE_MAC) axi.rd(LANE_BASE+REG_MAC_CTRL_STATUS,32'h0000_0000);
+ else axi.rd(LANE_BASE+REG_MAC_CTRL_STATUS,32'h0000_0080);
+ else assert(1);
+
+ axi.rd(LANE_BASE+REG_PHY_CTRL_STATUS,0);
+ // en 0 / value 1
+ axi.rd(LANE_BASE+REG_MAC_LED_CTL,0);
+ // enabled with value 0
+ axi.wr(LANE_BASE+REG_MAC_LED_CTL,1);
+ axi.rd(LANE_BASE+REG_MAC_LED_CTL,1);
+ activity = 0;
+ port_info = {COMPAT_NUM, 6'h0, activity, reg_link_up, MGT_PROTOCOL, PORTNUM};
+ axi.rd(LANE_BASE+REG_PORT_INFO,port_info);
+
+ // enabled with value 1
+ axi.wr(LANE_BASE+REG_MAC_LED_CTL,3);
+ axi.rd(LANE_BASE+REG_MAC_LED_CTL,3);
+ activity = 1;
+ port_info = {COMPAT_NUM, 6'h0, activity, reg_link_up, MGT_PROTOCOL, PORTNUM};
+ axi.rd(LANE_BASE+REG_PORT_INFO,port_info);
+
+ // enet controls led
+ axi.wr(LANE_BASE+REG_MAC_LED_CTL,0);
+ // unused registers with 100g
+ axi.rd(LANE_BASE+REG_AURORA_OVERRUNS,32'h0);
+ axi.rd(LANE_BASE+REG_CHECKSUM_ERRORS,32'h0);
+ axi.rd(LANE_BASE+REG_BIST_CHECKER_SAMPS,32'h0);
+ axi.rd(LANE_BASE+REG_BIST_CHECKER_ERRORS,32'h0);
+
+ // DEF_DEST_MAC/IP/UDP are defined in the
+ // sim_ethernet_lib.svh, as the destination
+ // addresses. Using the defaults means
+ // if I don't change the dest address on
+ // a packet it will go to the CHDR
+ axi.wr(LANE_BASE+REG_MAC_LSB,DEF_DEST_MAC_ADDR[31:0]);
+ axi.wr(LANE_BASE+REG_MAC_MSB,DEF_DEST_MAC_ADDR[47:32]);
+ axi.wr(LANE_BASE+REG_IP,DEF_DEST_IP_ADDR);
+ axi.wr(LANE_BASE+REG_UDP,DEF_DEST_UDP_PORT);
+ axi.wr(LANE_BASE+REG_BRIDGE_ENABLE,1);
+ axi.wr(LANE_BASE+REG_BRIDGE_MAC_LSB,DEF_BRIDGE_MAC_ADDR[31:0]);
+ axi.wr(LANE_BASE+REG_BRIDGE_MAC_MSB,DEF_BRIDGE_MAC_ADDR[47:32]);
+ axi.wr(LANE_BASE+REG_BRIDGE_IP,DEF_BRIDGE_IP_ADDR);
+ axi.wr(LANE_BASE+REG_BRIDGE_UDP,DEF_BRIDGE_UDP_PORT);
+ axi.wr(LANE_BASE+REG_BRIDGE_ENABLE,0);
+
+ // Readback the values
+ axi.rd(LANE_BASE+REG_MAC_LSB,DEF_DEST_MAC_ADDR[31:0]);
+ axi.rd(LANE_BASE+REG_MAC_MSB,DEF_DEST_MAC_ADDR[47:32]);
+ axi.rd(LANE_BASE+REG_IP,DEF_DEST_IP_ADDR);
+ axi.rd(LANE_BASE+REG_UDP,DEF_DEST_UDP_PORT);
+ axi.rd(LANE_BASE+REG_BRIDGE_ENABLE,0);
+ axi.rd(LANE_BASE+REG_BRIDGE_MAC_LSB,DEF_BRIDGE_MAC_ADDR[31:0]);
+ axi.rd(LANE_BASE+REG_BRIDGE_MAC_MSB,DEF_BRIDGE_MAC_ADDR[47:32]);
+ axi.rd(LANE_BASE+REG_BRIDGE_IP,DEF_BRIDGE_IP_ADDR);
+ axi.rd(LANE_BASE+REG_BRIDGE_UDP,DEF_BRIDGE_UDP_PORT);
+
+ // check the DMA controller
+ axi.rd(LANE_BASE+MM2S_DMACR, 32'h00010002);
+ // Hit reset bit, and poll for completion
+ axi.wr(LANE_BASE+MM2S_DMACR, 32'h4);
+ // Make sure reset asserts
+ axi.rd(LANE_BASE+MM2S_DMACR, 32'h00010006);
+ for (int i = 7; i >= 0; i--) begin
+ logic [31:0] readback;
+ logic [1:0] resp;
+ axi.rd_block(LANE_BASE+MM2S_DMACR, readback, resp);
+ if((readback & 32'h4) == 0) begin
+ // Reset bit cleared
+ break;
+ end
+ // Give up if it hasn't cleared after several tries
+ `ASSERT_ERROR(i != 0, "DMA controller reset failed to deassert.");
+ end
+
+ axi.block();
+ test.end_test();
+ endtask : test_registers
+
+ //---------------------------------------------------------------------------
+ // Ethernet to CPU test
+ //---------------------------------------------------------------------------
+ typedef ChdrData #(CHDR_W)::chdr_word_t chdr_word_t;
+ typedef chdr_word_t word_queue_t[$];
+
+ typedef XportStreamPacket #(ENET_W) EthXportPacket_t;
+ typedef AxiStreamPacket #(ENET_W,ENET_USER_W) EthAxisPacket_t;
+
+ typedef XportStreamPacket #(CPU_W) CpuXportPacket_t;
+ typedef AxiStreamPacket #(CPU_W,CPU_USER_W) CpuAxisPacket_t;
+
+ typedef XportStreamPacket #(CHDR_W) ChdrXportPacket_t;
+ typedef AxiStreamPacket #(CHDR_W,CHDR_USER_W) ChdrAxisPacket_t;
+ typedef ChdrPacket #(CHDR_W,CHDR_USER_W) ChdrPacket_t;
+
+ task automatic test_ethcpu(int num_samples[$], int ERROR_PROB=2, int EXPECT_DROPS=0);
+ fork
+ if (!DISABLED[0]) test_ethcpu_lane(0,num_samples,ERROR_PROB,EXPECT_DROPS);
+ if (!DISABLED[1]) test_ethcpu_lane(1,num_samples,ERROR_PROB,EXPECT_DROPS);
+ if (!DISABLED[2]) test_ethcpu_lane(2,num_samples,ERROR_PROB,EXPECT_DROPS);
+ if (!DISABLED[3]) test_ethcpu_lane(3,num_samples,ERROR_PROB,EXPECT_DROPS);
+ join
+ endtask : test_ethcpu
+
+ task automatic test_ethcpu_lane(int lane, int num_samples[$], int ERROR_PROB=2, int EXPECT_DROPS=0);
+ TestExec test_e2c = new();
+ automatic EthXportPacket_t send[$];
+ automatic CpuXportPacket_t expected[$];
+ automatic int sample_sum = 0;
+ string lane_str;
+ lane_str.itoa(lane);
+
+ test_e2c.start_test({TEST_NAME," ",lane_str," Ethernet to CPU"}, 60us*5);
+ // This path is
+ // eth_rx -> s_mac(eth_adapter) -> s_mac(eth_dispatch) ->
+ //// in_reg(AXI_FIFO)(SIZE=1)
+ // (eth_dispatch) in -> STATMACHINE (Dispatch) + cpu ->
+ //// out_reg_cpu(AXI_FIFO)(SIZE=1)
+ // (eth_dispatch) o_cpu ->
+ //// cpu_out_gate(AXI_GATE)(SIZE=11)
+ // (eth_dispatch) m_cpu -> (eth_adapter) e2c_chdr -> e2c_fifo
+ //// cpu_fifo(AXI_FIFO)(SIZE=CPU_FIFO_SIZE)
+ // (eth_adapater) m_cpu -> e2c
+
+ foreach (num_samples[i]) begin
+ automatic eth_hdr_t eth_hdr;
+ automatic ipv4_hdr_t ipv4_hdr;
+ automatic udp_hdr_t udp_hdr;
+ automatic raw_pkt_t pay,udp_raw;
+ automatic int PREAMBLE;
+
+ PREAMBLE = NO_PREAMBLE;
+
+ expected[i] = new;
+ send[i] = new;
+
+ udp_hdr.dest_port = 0; //change dest port from default so it goes to cpu
+ get_ramp_raw_pkt(.num_samps(num_samples[i]),.ramp_start((sample_sum)%256),
+ .ramp_inc(1),.pkt(pay),.SWIDTH(8));
+ sample_sum += num_samples[i];
+ udp_raw = build_udp_pkt(eth_hdr,ipv4_hdr,udp_hdr,pay,
+ .preamble(PREAMBLE));
+ send[i].push_bytes(udp_raw);
+ send[i].tkeep_to_tuser(.ERROR_PROB(ERROR_PROB));
+
+ // rebuild the expected packet for comparison without the preamble
+ udp_raw = build_udp_pkt(eth_hdr,ipv4_hdr,udp_hdr,pay,
+ .preamble(NO_PREAMBLE));
+ expected[i].push_bytes(udp_raw);
+ expected[i].tkeep_to_tuser();
+
+ end
+
+ // iterate in descending order so deleting doesn't shift down
+ // the packets in future loop iterations.
+ for (int i= num_samples.size()-1 ; i >= 0; i--) begin
+ // original only checks for errors in last word.
+ if (!SV_ETH_IFC && send[i].has_error()) send[i].set_error();
+
+ // If a packet has an error it shouldn't make it through
+ if (send[i].has_error()) expected.delete(i); // MAC ERROR
+
+ end
+
+ fork
+ begin // tx_thread
+ foreach(send[i])begin
+ #1 eth[lane].put(send[i]); // delay causes CPU/CHDR traffic on input to be interleaved.
+ end
+ end
+ begin //rx_thread
+ if (EXPECT_DROPS > 0) begin
+ automatic int pkt_num = 0;
+ automatic logic [31:0] data;
+ automatic resp_t resp;
+ automatic int drop_count = 0;
+ automatic int rcvd_count = 0;
+ automatic int drop_count_reg = 0;
+ while (expected.size() > 0) begin
+ automatic CpuAxisPacket_t actual_a;
+ automatic CpuXportPacket_t actual = new();
+ while (!cpu[lane].try_get(actual_a)) begin
+ axi.rd_block(REG_CPU_DROPPED,data,resp);
+ assert (resp==OKAY);
+ drop_count_reg += data;
+ // to account for case where we drop the last packet,
+ // stop looking if we have received or dropped all the packets
+ if (drop_count_reg+rcvd_count >= num_samples.size() && cpu[lane].slave_idle) begin
+ $display("Last packet dropped skipping to the end");
+ expected.delete();
+ drop_count = drop_count_reg;
+ // last packet may still be pending
+ #1us; // wait
+ void'(cpu[lane].try_get(actual_a));
+ break;
+ end
+ end
+ if (expected.size() > 0) begin
+ actual.import_axis(actual_a);
+ actual.tkeep_to_tuser();
+
+ while (expected.size > 0 && actual.compare_no_user(expected[0],.PRINT_LVL(0))) begin
+ void'(expected.pop_front());
+ ++drop_count;
+ ++pkt_num;
+ $display("Dropped packet %d",pkt_num);
+ `ASSERT_ERROR(drop_count < EXPECT_DROPS,"Exceeded anticipated number of dropped packets e2c");
+ end
+ end
+ // expected size could of changed in while loop above
+ if (expected.size() > 0) begin
+ ++pkt_num;
+ ++rcvd_count;
+ $display("Rcvd packet %d",pkt_num);
+ void'(expected.pop_front());
+ end
+ end
+ axi.rd_block(REG_CPU_DROPPED,data,resp);
+ assert (resp==OKAY);
+ drop_count_reg += data;
+ if (SV_ETH_IFC) begin
+ $display("Verify drop count is %d",drop_count_reg);
+ assert(drop_count_reg == drop_count) else $error("Drop count mismatch");
+ end
+ end else begin
+ foreach(expected[i]) begin
+ automatic CpuAxisPacket_t actual_a;
+ automatic CpuXportPacket_t actual = new();
+ cpu[lane].get(actual_a);
+ actual.import_axis(actual_a);
+ actual.tkeep_to_tuser();
+
+ `ASSERT_ERROR(!actual.compare_no_user(expected[i]),"failed to send packet to e2c");
+ end
+ end
+ end
+ join
+
+ test_e2c.end_test();
+ endtask : test_ethcpu_lane
+
+ task automatic wait_for_udp_packets(int lane, int udp_dest_port);
+ automatic EthAxisPacket_t actual_a;
+ automatic EthXportPacket_t actual = new();
+ automatic raw_pkt_t rcv_raw,rcv_pay;
+ automatic udp_hdr_t rcv_udp;
+ automatic eth_hdr_t rcv_eth;
+ automatic ipv4_hdr_t rcv_ip;
+ automatic int try_count = 0;
+ automatic int PREAMBLE;
+
+ PREAMBLE = NO_PREAMBLE;
+
+ do begin
+ ++try_count;
+ // check if packet is for our port
+ #100;
+ eth[lane].peek(actual_a);
+ actual.import_axis(actual_a);
+ actual.tuser_to_tkeep();
+ rcv_raw = actual.dump_bytes();
+ if (PREAMBLE == ZERO_PREAMBLE) begin
+ repeat(6) rcv_raw.delete(0); // strip preamble
+ end
+ decode_udp_pkt(rcv_raw,rcv_eth,rcv_ip,rcv_udp,rcv_pay);
+ `ASSERT_ERROR(try_count != 100,"unclaimed packet on c2e");
+ end while (rcv_udp.dest_port != udp_dest_port);
+
+ endtask : wait_for_udp_packets
+
+ task automatic test_cpueth(int num_samples[$]);
+ fork
+ if (!DISABLED[0]) test_cpueth_lane(0,num_samples);
+ if (!DISABLED[1]) test_cpueth_lane(1,num_samples);
+ if (!DISABLED[2]) test_cpueth_lane(2,num_samples);
+ if (!DISABLED[3]) test_cpueth_lane(3,num_samples);
+ join
+ endtask : test_cpueth
+
+ task automatic test_cpueth_lane(int lane, int num_samples[$]);
+ TestExec test_c2e = new();
+ automatic CpuXportPacket_t send[$];
+ automatic EthXportPacket_t expected[$];
+ automatic int sample_sum = 0;
+ string lane_str;
+ lane_str.itoa(lane);
+
+ test_c2e.start_test({TEST_NAME," ",lane_str," CPU to Ethernet"}, 60us*5);
+ // This path is
+ // c2e -> (eth_adapter) s_cpu ->
+ //// (ARM_DEFRAMER)(IF ARM)
+ // (eth_adapater) c2e ->
+ //// (ETH_MUX)(SIZE=2)
+ // (eth_adapater) m_mac -> eth_tx
+
+ foreach (num_samples[i]) begin
+ automatic eth_hdr_t eth_hdr;
+ automatic ipv4_hdr_t ipv4_hdr;
+ automatic udp_hdr_t udp_hdr;
+ automatic raw_pkt_t pay,udp_raw;
+ automatic int PREAMBLE;
+ PREAMBLE = NO_PREAMBLE;
+
+ expected[i] = new;
+ send[i] = new;
+
+ get_ramp_raw_pkt(.num_samps(num_samples[i]),.ramp_start((sample_sum)%256),
+ .ramp_inc(1),.pkt(pay),.SWIDTH(8));
+ sample_sum += num_samples[i];
+ udp_raw = build_udp_pkt(eth_hdr,ipv4_hdr,udp_hdr,pay,
+ .preamble(NO_PREAMBLE));
+ send[i].push_bytes(udp_raw);
+ send[i].tkeep_to_tuser();
+
+ // rebuild the expected packet for comparison with a zero preamble
+ udp_raw = build_udp_pkt(eth_hdr,ipv4_hdr,udp_hdr,pay,
+ .preamble(PREAMBLE));
+ //eth ifc expands pre CRC packets to 64 bytes on the C2E path
+ if (SV_ETH_IFC) begin
+ while (udp_raw.size < 64) begin
+ udp_raw.push_back(0);
+ end
+ end;
+
+ expected[i].push_bytes(udp_raw);
+ expected[i].tkeep_to_tuser();
+ end
+
+ fork
+ begin // tx_thread
+ foreach(send[i])begin
+ cpu[lane].put(send[i]);
+ end
+ end
+ begin //rx_thread
+ foreach(expected[i]) begin
+ automatic EthAxisPacket_t actual_a;
+ automatic EthXportPacket_t actual = new();
+ automatic raw_pkt_t rcv_raw,rcv_pay;
+ automatic udp_hdr_t rcv_udp;
+ automatic eth_hdr_t rcv_eth;
+ automatic ipv4_hdr_t rcv_ip;
+ automatic int try_count = 0;
+
+ wait_for_udp_packets(lane,DEF_DEST_UDP_PORT);
+ eth[lane].get(actual_a);
+ actual.import_axis(actual_a);
+ if (!SV_ETH_IFC) begin
+ actual.tuser_to_tkeep();
+ end
+ `ASSERT_ERROR(!actual.compare_w_pad(expected[i],!SV_ETH_IFC),"failed to send packet to c2e");
+ end
+ end
+ join
+ test_c2e.end_test();
+
+ endtask : test_cpueth_lane
+
+ //---------------------------------------------------------------------------
+ // Ethernet to CHDR test
+ //---------------------------------------------------------------------------
+
+ function automatic word_queue_t bytes_to_words(raw_pkt_t pay);
+ automatic ChdrXportPacket_t axis_pkt = new();
+
+ axis_pkt.push_bytes(pay);
+ return axis_pkt.data;
+
+ endfunction : bytes_to_words;
+
+ function automatic raw_pkt_t flatten_chdr(ChdrPacket_t chdr_pkt);
+ automatic ChdrAxisPacket_t axis_chdr;
+ automatic ChdrXportPacket_t xport_chdr = new();
+ axis_chdr = chdr_pkt.chdr_to_axis();
+ foreach (axis_chdr.data[i]) begin
+ axis_chdr.keep[i] = '1;
+ axis_chdr.user[i] = '0;
+ end
+ xport_chdr.import_axis(axis_chdr);
+ return xport_chdr.dump_bytes();
+ endfunction : flatten_chdr
+
+ function automatic ChdrPacket_t unflatten_chdr(raw_pkt_t chdr_raw);
+ automatic ChdrXportPacket_t xport_chdr = new();
+ automatic ChdrPacket_t chdr_pkt = new();
+ xport_chdr.push_bytes(chdr_raw);
+ foreach (xport_chdr.data[i]) begin
+ xport_chdr.keep[i] = '1;
+ xport_chdr.user[i] = '0;
+ end
+ chdr_pkt.axis_to_chdr(xport_chdr);
+ return chdr_pkt;
+ endfunction : unflatten_chdr
+
+ task automatic test_ethchdr(int num_samples[$], int ERROR_PROB=2, int EXPECT_DROPS=0);
+ fork
+ if (!DISABLED[0]) test_ethchdr_lane(0,num_samples,ERROR_PROB,EXPECT_DROPS);
+ if (!DISABLED[1]) test_ethchdr_lane(1,num_samples,ERROR_PROB,EXPECT_DROPS);
+ if (!DISABLED[2]) test_ethchdr_lane(2,num_samples,ERROR_PROB,EXPECT_DROPS);
+ if (!DISABLED[3]) test_ethchdr_lane(3,num_samples,ERROR_PROB,EXPECT_DROPS);
+ join
+ endtask : test_ethchdr;
+
+ task automatic test_ethchdr_lane(int lane, int num_samples[$], int ERROR_PROB=2, int EXPECT_DROPS=0);
+ TestExec test_e2v = new();
+ automatic EthXportPacket_t send[$];
+ automatic ChdrXportPacket_t expected[$];
+ automatic int sample_sum = 0;
+ string lane_str;
+ lane_str.itoa(lane);
+
+ test_e2v.start_test({TEST_NAME," ",lane_str," Ethernet to CHDR"}, 60us);
+ // This path is
+ // eth_rx -> s_mac(eth_adapter) -> s_mac(eth_dispatch) ->
+ //// in_reg(AXI_FIFO)(SIZE=1)
+ // (eth_dispatch) in -> STATMACHINE (Dispatch) + chdr ->
+ //// chdr_user_fifo(AXI_FIFO)(SIZE=8) (capture eth header)
+ //// chdr_out_gate(AXI_GATE)(SIZE=11)
+ // (eth_dispatch) o_chdr ->
+ //// chdr_trim(CHDR_TRIM_PAYLOAD)
+ // (eth_dispatch) m_chdr -> (eth_adapater) e2x_chdr -> (xport_adapter_gen) s_axis_xport
+ //// xport_in_swap (AXIS_DATA_SWAP)
+ // (xport_adapter_gen) i_xport ->
+ //// mgmt_ep(CHDR_MGMT_PKT_HANDLER)
+ // (xport_adapter_gen) x2d ->
+ //// rtn_demux(AXI_SWITCH) x2x(loopback) or m_axis_rfnoc
+ // (xport_adapter_gen) m_axis_rfnoc -> (eth_adapter) e2x_fifo
+ //// chdr_fifo(AXI_FIFO)(SIZE=MTU)
+ // (eth_adapater) m_chdr -> e2v
+
+ foreach (num_samples[i]) begin
+ automatic eth_hdr_t eth_hdr;
+ automatic ipv4_hdr_t ipv4_hdr;
+ automatic udp_hdr_t udp_hdr;
+ automatic raw_pkt_t pay,udp_raw,chdr_raw;
+
+ automatic ChdrPacket_t chdr_pkt = new();
+ automatic chdr_header_t chdr_hdr;
+ automatic chdr_word_t chdr_ts;
+ automatic chdr_word_t chdr_mdata[$];
+ automatic chdr_word_t chdr_data[$];
+
+ automatic int PREAMBLE;
+ PREAMBLE = NO_PREAMBLE;
+
+ expected[i] = new;
+ send[i] = new;
+
+ // build a payload
+ get_ramp_raw_pkt(.num_samps(num_samples[i]),.ramp_start((sample_sum)%256),
+ .ramp_inc(1),.pkt(pay),.SWIDTH(8));
+ sample_sum += num_samples[i];
+ // Fill data in the chdr packet
+ chdr_hdr = '{
+ vc : 0,
+ dst_epid : 0,
+ seq_num : 0,
+ pkt_type : CHDR_DATA_NO_TS,
+ num_mdata : 0,
+ default : 0
+ };
+ chdr_ts = 0; // no timestamp
+ chdr_mdata.delete(); // not adding meta data
+ chdr_data = bytes_to_words(pay);
+
+ chdr_pkt.write_raw(chdr_hdr, chdr_data, chdr_mdata, chdr_ts);
+ chdr_raw = flatten_chdr(chdr_pkt);
+
+ //build a udp packet
+ udp_raw = build_udp_pkt(eth_hdr,ipv4_hdr,udp_hdr,chdr_raw,
+ .preamble(PREAMBLE));
+ send[i].push_bytes(udp_raw);
+ send[i].tkeep_to_tuser(.ERROR_PROB(ERROR_PROB));
+
+ // expect just the chdr packet (UDP stripped)
+ expected[i].push_bytes(chdr_raw);
+ expected[i].tkeep_to_tuser();
+
+ end
+
+ // iterate in descending order so deleting doesn't shift down
+ // the packets in future loop iterations.
+ for (int i= num_samples.size()-1 ; i >= 0; i--) begin
+ // original only checks for errors in last word.
+ if (!SV_ETH_IFC && send[i].has_error()) send[i].set_error();
+ // If a packet has an error it shouldn't make it through
+ if (send[i].has_error()) expected.delete(i);//MAC ERROR
+ end
+
+ fork
+ begin // tx_thread
+ foreach(send[i])begin
+ #1 eth[lane].put(send[i]); // delay causes CPU/CHDR traffic on input to be interleaved.
+ end
+ end
+ begin //rx_thread
+ if (EXPECT_DROPS > 0) begin
+ automatic int pkt_num = 0;
+ automatic int drop_count = 0;
+ while (expected.size() > 0) begin
+ automatic ChdrAxisPacket_t actual_a;
+ automatic ChdrXportPacket_t actual = new();
+ v[lane].get(actual_a);
+ actual.import_axis(actual_a);
+ actual.tuser_to_tkeep();
+ while (expected.size > 0 && actual.compare_no_user(expected[0],.PRINT_LVL(0))) begin
+ void'(expected.pop_front());
+ ++drop_count;
+ ++pkt_num;
+ $display("Dropped packet %d",pkt_num);
+ `ASSERT_ERROR(drop_count < EXPECT_DROPS,"Exceeded anticipated number of dropped packets e2v");
+ end
+ if (expected.size() > 0) begin
+ ++pkt_num;
+ $display("Rcvd packet %d",pkt_num);
+ void'(expected.pop_front());
+ end
+ end
+ if (SV_ETH_IFC) begin
+ $display("Verify drop count is %d",drop_count);
+ axi.rd(REG_CHDR_DROPPED,drop_count);
+ end
+ end else begin
+ foreach(expected[i]) begin
+ automatic ChdrAxisPacket_t actual_a;
+ automatic ChdrXportPacket_t actual = new();
+ v[lane].get(actual_a);
+ actual.import_axis(actual_a);
+ actual.tuser_to_tkeep();
+ `ASSERT_ERROR(!actual.compare_no_user(expected[i]),"failed to send packet e2v");
+ end
+ end
+ end
+ join
+ test_e2v.end_test();
+
+ endtask : test_ethchdr_lane;
+
+
+ task automatic test_chdreth(int num_samples[$]);
+ fork
+ if (!DISABLED[0]) test_chdreth_lane(0,num_samples);
+ if (!DISABLED[1]) test_chdreth_lane(1,num_samples);
+ if (!DISABLED[2]) test_chdreth_lane(2,num_samples);
+ if (!DISABLED[3]) test_chdreth_lane(3,num_samples);
+ join
+ endtask : test_chdreth
+
+ task automatic test_chdreth_lane(int lane, int num_samples[$]);
+ TestExec test_v2e = new();
+ automatic ChdrXportPacket_t send[$];
+ automatic EthXportPacket_t expected[$];
+ automatic int sample_sum = 0;
+ string lane_str;
+ lane_str.itoa(lane);
+
+ test_v2e.start_test({TEST_NAME," ",lane_str," CHDR to Ethernet"}, 60us);
+ // This path is
+ // v2e -> s_chdr(eth_adapter) -> s_axis_rfnoc (xport_adapter_gen) ->
+ //// axi_demux_mgmt_filter (AXI_DEMUX) (IF ALLOW_DISC) (discards discovery packets)
+ // (xport_adapter_gen) f2m ->
+ //// rtn_mux(AXI_MUX) between x2x and f2m
+ // (xport_adapter_gen) m2x ->
+ //// data_fifo/lookup_fifo (AXI_FIFO_SHORT)
+ //// LOOKUP LOGIC (lookup_fifo,data_fifo,results)
+ // (xport_adapter_gen) o_xport ->
+ //// xport_out_swap (AXIS_DATA_SWAP)
+ // (xport_adapter_gen) m_axis_xport -> (eth_adapater) x2e_chdr ->
+ //// ENET_HDR_LOGIC (frame_state)
+ // (eth_adapater) frame -> (eth_adapater) x2e_framed
+ //// (ETH_MUX)(SIZE=2)
+ // (eth_adapater) m_mac -> eth_tx
+
+ foreach (num_samples[i]) begin
+ automatic eth_hdr_t eth_hdr;
+ automatic ipv4_hdr_t ipv4_hdr;
+ automatic udp_hdr_t udp_hdr;
+ automatic raw_pkt_t pay,udp_raw,chdr_raw;
+
+ automatic ChdrPacket_t chdr_pkt = new();
+ automatic chdr_header_t chdr_hdr;
+ automatic chdr_word_t chdr_ts;
+ automatic chdr_word_t chdr_mdata[$];
+ automatic chdr_word_t chdr_data[$];
+
+ automatic int PREAMBLE;
+ if (PROTOCOL0 == `MGT_100GbE) PREAMBLE = NO_PREAMBLE;
+ else PREAMBLE = NO_PREAMBLE;
+
+ // ModelSim should initialize the fields of ipv4_hdr to their default
+ // values, but for some reason it doesn't in this case. We add an
+ // initialization here to work around the bug for now.
+ ipv4_hdr = '{
+ header_length : 4'd5,
+ version : 4'd4,
+ dscp : 6'b0000_00,
+ ecn : 2'b00,
+ length : 16'hXXXX,
+ identification: 16'h462E,
+ rsv_zero : 1'b0,
+ dont_frag : 1'b1,
+ more_frag : 1'b0,
+ frag_offset : 16'd0,
+ time_to_live : 16'd64,
+ protocol : UDP,
+ checksum : 16'hXXXX,
+ src_ip : DEF_SRC_IP_ADDR,
+ dest_ip : DEF_DEST_IP_ADDR
+ };
+
+ expected[i] = new;
+ send[i] = new;
+
+ // build a payload
+ get_ramp_raw_pkt(.num_samps(num_samples[i]),.ramp_start((sample_sum)%256),
+ .ramp_inc(1),.pkt(pay),.SWIDTH(8));
+ sample_sum += num_samples[i];
+
+ // Fill data in the chdr packet
+ chdr_hdr = '{
+ vc : 0,
+ dst_epid : 0,
+ seq_num : 0,
+ pkt_type : CHDR_DATA_NO_TS,
+ num_mdata : 0,
+ default : 0
+ };
+ chdr_ts = 0; // no timestamp
+ chdr_mdata.delete(); // not adding meta data
+ chdr_data = bytes_to_words(pay);
+
+ chdr_pkt.write_raw(chdr_hdr, chdr_data, chdr_mdata, chdr_ts);
+ chdr_raw = flatten_chdr(chdr_pkt);
+
+ // send the raw chedar packet
+ send[i].push_bytes(chdr_raw);
+ send[i].tkeep_to_tuser();
+
+ //build a udp packet
+ // modify as the EthInterface does
+ udp_hdr.src_port = DEF_DEST_UDP_PORT;
+ udp_hdr.dest_port = 0; // Extract from router lookup results (Default)
+ udp_hdr.checksum = 0; // Checksum not calculated at this point
+ ipv4_hdr.src_ip = DEF_DEST_IP_ADDR;
+ ipv4_hdr.dest_ip = 0; // Extract from router lookup results (Default)
+ ipv4_hdr.dscp = 0; // hardcoded
+ ipv4_hdr.dont_frag = 1; // hardcoded
+ ipv4_hdr.identification = 0; // hardcoded
+ ipv4_hdr.time_to_live = 8'h10; //hardcoded
+ eth_hdr.src_mac = DEF_DEST_MAC_ADDR;
+ eth_hdr.dest_mac = 0; // Extract from router lookup results (Default)
+
+ udp_raw = build_udp_pkt(eth_hdr,ipv4_hdr,udp_hdr,chdr_raw,
+ .preamble(PREAMBLE));
+
+ // XGE mac autoexpands all packet to size 60 (pre CRC) to meet 64 byte min packet
+ if (AUTOEXPAND_TO_64) begin
+ while (udp_raw.size < 60) begin
+ udp_raw.push_back(0);
+ end
+ end;
+
+ // expect udp wrapped chdr
+ expected[i].push_bytes(udp_raw);
+ if (IGNORE_EXTRA_DATA) begin
+ expected[i].clear_user(); // expect all full words!
+ expected[i].tuser_to_tkeep();
+ end else begin
+ expected[i].tkeep_to_tuser();
+ end
+ end
+
+ fork
+ begin // tx_thread
+ foreach(send[i])begin
+ v[lane].put(send[i]);
+ end
+ end
+ begin //rx_thread
+ foreach(expected[i]) begin
+ automatic EthAxisPacket_t actual_a;
+ automatic EthXportPacket_t actual = new();
+ automatic eth_hdr_t eth_hdr;
+ automatic ipv4_hdr_t ipv4_hdr;
+ automatic udp_hdr_t udp_hdr;
+ automatic raw_pkt_t chdr_raw,actual_raw;
+ automatic ChdrPacket_t chdr_pkt;
+ automatic integer chdr_len;
+ automatic logic [7:0] trash;
+ localparam UDP_LEN = 8/*udp*/+20/*ipv4*/+14/*eth-no vlan*/;
+
+ wait_for_udp_packets(.lane(lane),.udp_dest_port(0));
+ eth[lane].get(actual_a);
+ actual.import_axis(actual_a);
+ // to get chdr_len
+ actual_raw = actual.dump_bytes();
+
+ repeat(PREAMBLE_BYTES) trash = actual_raw.pop_front();
+ decode_udp_pkt(actual_raw,eth_hdr,ipv4_hdr,udp_hdr,chdr_raw);
+ //chdr_pkt = unflatten_chdr(chdr_raw);
+ if (IGNORE_EXTRA_DATA) begin
+ for (int w=chdr_pkt.header.length+UDP_LEN;w <actual_raw.size();w++) begin
+ actual_raw[w] = '0;
+ end
+ repeat(PREAMBLE_BYTES) actual_raw.push_front(0);
+ actual.empty();
+ actual.push_bytes(actual_raw);
+ end
+ `ASSERT_ERROR(!actual.compare_w_error(expected[i]),"failed to send packet v2e");
+ end
+ end
+ join
+ test_v2e.end_test();
+
+ endtask : test_chdreth_lane
+
+
+ //----------------------------------------------------
+ //----------------------------------------------------
+ //----------------------------------------------------
+ // Main test loop
+ //----------------------------------------------------
+ //----------------------------------------------------
+ //----------------------------------------------------
+ initial begin : tb_main
+ automatic int num_samples[$];
+ automatic int cpu_num_samples[$];
+ automatic int ERROR_PROB;
+ localparam QUICK = 1;
+ localparam CHECK_PAUSE = 0;
+
+ // With QUANTA100/REFRESH200 I expected 50% slow down over
+ // 1024 ns 200*5.12 ns (a Quanta is 512 bit times, bit time=10 ps)
+ localparam PAUSE_QUANTA = 100;
+ localparam PAUSE_REFRESH = 200;
+
+ //allocate BFM's
+ eth = new[4];
+ v = new[4];
+ cpu = new[4];
+
+ //associate with virtual interfaces
+ eth[0] = new(.master(eth_rx[0]), .slave(eth_tx[0]));
+ eth[1] = new(.master(eth_rx[1]), .slave(eth_tx[1]));
+ eth[2] = new(.master(eth_rx[2]), .slave(eth_tx[2]));
+ eth[3] = new(.master(eth_rx[3]), .slave(eth_tx[3]));
+ v[0] = new(.master(v2e[0]), .slave(e2v[0]));
+ v[1] = new(.master(v2e[1]), .slave(e2v[1]));
+ v[2] = new(.master(v2e[2]), .slave(e2v[2]));
+ v[3] = new(.master(v2e[3]), .slave(e2v[3]));
+ cpu[0] = new(.master(c2e[0]), .slave(e2c[0]));
+ cpu[1] = new(.master(c2e[1]), .slave(e2c[1]));
+ cpu[2] = new(.master(c2e[2]), .slave(e2c[2]));
+ cpu[3] = new(.master(c2e[3]), .slave(e2c[3]));
+
+ test.start_test({TEST_NAME,"::Wait for Reset"}, 10us);
+
+ clk40_gen.reset();
+ clk100_gen.reset();
+ clk200_gen.reset();
+ if (!USE_MAC) userclk_gen.reset();
+ refclk_gen.reset();
+ // start tready high - MAC doesn't have a tready so we need model
+ // to always keep it's tready high
+ foreach(eth[lane])begin
+ if (!DISABLED[lane]) begin
+ eth[lane].slave_tready_init = 1;
+ eth[lane].run();
+ cpu[lane].run();
+ v[lane].run();
+ end
+ end
+ axi.run();
+ test_reset();
+
+ test.end_test();
+
+ foreach(eth[lane])begin
+ if (!DISABLED[lane]) begin
+ test_registers(lane);
+ end
+ end
+
+ if (USE_MAC) begin
+ automatic logic [31:0] data;
+ automatic resp_t resp;
+
+ // don't overflow/underflow the mac model
+ foreach(eth[lane])begin
+ if (!DISABLED[lane]) begin
+ eth[lane].set_master_stall_prob(0);
+ eth[lane].set_slave_stall_prob(0);
+ end
+ end
+ // bit errors need to be generated in a different
+ // way on the serial link. (Not Yet Implemented)
+ ERROR_PROB = 0;
+
+ // 10GBE INIT
+ foreach(eth[lane])begin
+ if (IS10GBE[lane]) begin
+ automatic int LANE_BASE = 32'h10000 * lane;
+
+ test.start_test({TEST_NAME,"::Wait for 10gbe MAC link_up"}, 150us);
+ axi.wr(LANE_BASE+REG_MAC_CTRL_STATUS,1); // turn on tx_enable
+ do begin
+ axi.rd_block(LANE_BASE+REG_PORT_INFO,data,resp);
+ assert (resp==OKAY);
+ end while (data[16] !== 1); //link_up
+ test.end_test();
+ test.start_test({TEST_NAME,"::Wait for 10gbe MODEL link_up"}, 150us);
+ // check that model link is up
+ do begin
+ @(posedge clk40);
+ end while (model_link_up[lane] !== 1);
+ test.end_test();
+ end
+ end
+
+ // 100GBE_INIT
+ if (PROTOCOL0 == `MGT_100GbE) begin
+ test.start_test({TEST_NAME,"::Wait for 100gbe phy_reset"}, 20us);
+ // check that the DUT phy is out of reset.
+ do begin
+ axi.rd_block(REG_PHY_CTRL_STATUS,data,resp);
+ assert (resp==OKAY);
+ end while (data[1:0] !== 0); //usr_tx_reset and usr_rx_reset
+
+ test.end_test();
+ test.start_test({TEST_NAME,"::Wait for 100gbe MODEL link_up"}, 150us);
+ // check that model link is up
+ do begin
+ @(posedge clk40);
+ end while (model_link_up[0] !== 1);
+ test.end_test();
+ test.start_test({TEST_NAME,"::Wait for 100gbe MAC link_up"}, 150us);
+ // Added Autoconnect which is on by default. uncomment to run manually
+ //Pkg100gbMac::init_mac(32'h4000,axi);
+ do begin
+ axi.rd_block(REG_PORT_INFO,data,resp);
+ assert (resp==OKAY);
+ end while (data[16] !== 1); //link_up
+
+ test.end_test();
+ test.start_test({TEST_NAME,"::Wait for auto config to complete"}, 10us);
+
+ // check that the MAC auto config completed.
+ do begin
+ axi.rd_block(REG_MAC_CTRL_STATUS,data,resp);
+ assert (resp==OKAY);
+ end while (data[4] !== 1); //auto config complete
+
+ data[0] = 1; // Autoconfig enable
+ data[24:16] = 9'h100; // pause mask (use global pause)
+ axi.wr(REG_MAC_CTRL_STATUS,data); // turn on tx_enable
+
+ data[15:0] = PAUSE_QUANTA;
+ data[31:16] = PAUSE_QUANTA;
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1+0*4,data);
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1+1*4,data);
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1+2*4,data);
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1+3*4,data);
+ data[15:0] = PAUSE_QUANTA;
+ data[31:16] = 0;
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1+4*4,data);
+
+ data[15:0] = PAUSE_REFRESH;
+ data[31:16] = PAUSE_REFRESH;
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1+0*4,data);
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1+1*4,data);
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1+2*4,data);
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1+3*4,data);
+ data[15:0] = PAUSE_REFRESH;
+ data[31:16] = 0;
+ axi.wr(REG_CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1+4*4,data);
+ data[15:0] = 64; // 64=4KBytes pause set
+ data[31:16] = 32; // 32=2KBytes pause clear
+ axi.wr(REG_PAUSE,data);
+ axi.block();
+
+ test.end_test();
+
+ end
+ end else begin
+ ERROR_PROB = 2;
+ end
+
+ //Checks that pausing is working.
+ if (CHECK_PAUSE) begin
+
+ // This test will take an excessive time when USE_MAC is true.
+
+ foreach(eth[lane])begin
+ if (!DISABLED[lane]) begin
+ eth[lane].set_master_stall_prob(0);
+ eth[lane].set_slave_stall_prob(0);
+ cpu[lane].set_master_stall_prob(0);
+ cpu[lane].set_slave_stall_prob(0);
+ v[lane].set_master_stall_prob(0);
+ v[lane].set_slave_stall_prob(0);
+ end
+ end
+ num_samples = {7936,7936,7936,7936,7936,320,
+ 7936,7936,320,320,320,320,
+ // 280 512 byte packets (to help back things up)
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,512,
+ 7936,7936
+ };
+
+
+ test_ethchdr(num_samples,.EXPECT_DROPS(0),.ERROR_PROB(0));
+ // NOTE : The test ethcpu doesn't finish because the final packet doesn't
+ // make it through, and the algorithm doesn't time out.
+ num_samples = {7936,7936,7936,7936,7936,320,
+ 7936,7936,320,320,320,320};
+ test_ethcpu(num_samples,.EXPECT_DROPS(9),.ERROR_PROB(0));
+
+ end
+
+ foreach(eth[lane])begin
+ if (!DISABLED[lane]) begin
+ if (USE_MAC) begin
+ eth[lane].set_master_stall_prob(0);
+ eth[lane].set_slave_stall_prob(0);
+ cpu[lane].set_master_stall_prob(0);
+ cpu[lane].set_slave_stall_prob(0);
+ v[lane].set_master_stall_prob(0);
+ v[lane].set_slave_stall_prob(0);
+ end else begin
+ eth[lane].set_master_stall_prob(38);
+ eth[lane].set_slave_stall_prob(38);
+ cpu[lane].set_master_stall_prob(38);
+ cpu[lane].set_slave_stall_prob(38);
+ v[lane].set_master_stall_prob(38);
+ v[lane].set_slave_stall_prob(38);
+ end
+ end
+ end
+
+ num_samples = {1,2,3,4,5,6,7,8,
+ ENET_W/8-1,ENET_W/8,ENET_W/8+1,
+ 2*ENET_W/8-1,2*ENET_W/8,2*ENET_W/8+1,
+ CPU_W/8-1,CPU_W/8,CPU_W/8+1,
+ 2*CPU_W/8-1,2*CPU_W/8,2*CPU_W/8+1,
+ CHDR_W/8-1,CHDR_W/8,CHDR_W/8+1,
+ 2*CHDR_W/8-1,2*CHDR_W/8,2*CHDR_W/8+1
+ };
+ //Option to add some extra samples for CPU packets to try to get
+ //above the min packet size of 64. (just the headers makes up 42 bytes)
+ //Packets less than 64 bytes should be padded to 64
+ foreach (num_samples[i]) cpu_num_samples[i] = num_samples[i]+20;
+ test.start_test({TEST_NAME,"::PacketW Combos NO Errors"}, 10us*5);
+ fork // run in parallel
+ // ethrx
+ test_ethcpu(cpu_num_samples,.ERROR_PROB(0));
+ test_ethchdr(num_samples,.ERROR_PROB(0));
+ // ethtx
+ test_chdreth(num_samples);
+ test_cpueth(num_samples);
+ join
+ test.end_test();
+
+ if (!QUICK) begin
+
+ test.start_test({TEST_NAME,"::PacketW Combos Errors"}, 10us*5);
+ fork // run in parallel
+ // ethrx
+ test_ethcpu(cpu_num_samples,.ERROR_PROB(ERROR_PROB));
+ test_ethchdr(num_samples,.ERROR_PROB(ERROR_PROB));
+ // ethtx
+ test_chdreth(num_samples);
+ test_cpueth(num_samples);
+ join
+ test.end_test();
+
+ if (USE_MAC) begin
+ // don't do huge packets with real MAC to save time
+ num_samples = {16,32,64,128,256,512,1024,1500};
+ end else begin
+ num_samples = {16,32,64,128,256,512,1024,1500,1522,9000};
+ end
+ test.start_test({TEST_NAME,"::Pwr2 NoErrors"}, 60us*5);
+ fork // run in parallel
+ // ethrx
+ test_ethcpu(cpu_num_samples,.ERROR_PROB(0));
+ test_ethchdr(num_samples,.ERROR_PROB(0));
+ // ethtx
+ test_chdreth(num_samples);
+ test_cpueth(num_samples);
+ join
+ test.end_test();
+ end
+
+ num_samples = {1,2,3,4,5,6,7,8,
+ ENET_W/8-1,ENET_W/8,ENET_W/8+1,
+ 2*ENET_W/8-1,2*ENET_W/8,2*ENET_W/8+1,
+ CPU_W/8-1,CPU_W/8,CPU_W/8+1,
+ 2*CPU_W/8-1,2*CPU_W/8,2*CPU_W/8+1,
+ CHDR_W/8-1,CHDR_W/8,CHDR_W/8+1,
+ 2*CHDR_W/8-1,2*CHDR_W/8,2*CHDR_W/8+1
+ };
+ test.start_test({TEST_NAME,"::Pktw NoStall+Error"}, 10us*5);
+ fork // run in parallel
+ // ethrx
+ test_ethcpu(cpu_num_samples,.ERROR_PROB(ERROR_PROB));
+ test_ethchdr(num_samples,.ERROR_PROB(ERROR_PROB));
+ // ethtx
+ test_chdreth(num_samples);
+ test_cpueth(num_samples);
+ join
+ test.end_test();
+
+ // repeat with back to back cpu/chdr packets
+ test.start_test({TEST_NAME,"::Serial Pktw NoStall+Error"}, 10us*5);
+ fork // run in parallel
+ // ethrx
+ begin
+ test_ethcpu(cpu_num_samples,.ERROR_PROB(ERROR_PROB));
+ test_ethchdr(num_samples,.ERROR_PROB(ERROR_PROB));
+ end
+ // ethtx
+ begin
+ test_chdreth(num_samples);
+ test_cpueth(num_samples);
+ end
+ join
+ test.end_test();
+
+ // End the TB, but don't $finish, since we don't want to kill other
+ // instances of this testbench that may be running.
+ test.end_tb(0);
+
+ // Kill the clocks to end this instance of the testbench
+ clk40_gen.kill();
+ clk100_gen.kill();
+ clk200_gen.kill();
+ if (!USE_MAC) userclk_gen.kill();
+ refclk_gen.kill();
+ done = 1;
+
+ end // initial begin
+
+endmodule
diff --git a/fpga/usrp3/top/x400/tools/get_dts_input.py b/fpga/usrp3/top/x400/tools/get_dts_input.py
new file mode 100644
index 000000000..caa731fc7
--- /dev/null
+++ b/fpga/usrp3/top/x400/tools/get_dts_input.py
@@ -0,0 +1,58 @@
+#!/usr/bin/env python3
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: GPL-3.0-or-later
+#
+"""
+This script parses the target DTS file name and determines which DTS input
+file to use as the source, then the DTS source file name to stdout. For
+example, if the target is:
+
+ build/usrp_x410_fpga_XG_100.dts
+
+then it will print the input DTS file name (replacing the directory and
+removing the _100):
+
+ dts/usrp_x410_fpga_XG.dts
+
+Note: This code assumes it's being run from the top x400 directory, because it
+ will always add dts/ input file path.
+
+usage: get_dts_input.py [-h] --target INPUT
+
+Arguments:
+
+ -h, --help Show this help message and exit
+ --target TARGET The target file name (e.g., build/usrp_x410_fpga_X4_200.dts)
+
+"""
+import argparse
+import os
+import sys
+
+def parse_args():
+ """Parser for the command line arguments"""
+ parser = argparse.ArgumentParser(description='Get input DTS path from target DTS path')
+ parser.add_argument('--target', required=True, help='The name of the target DTS file')
+ args = parser.parse_args()
+ return args
+
+def get_input(target):
+ # Remove the path from the beginning
+ dts_input = os.path.basename(target)
+ # Remove the _XXX and extension from the end of the file name
+ dts_input = "_".join(dts_input.split("_")[:-1])
+ # Add path and extension
+ dts_input = os.path.join('dts', dts_input + '.dts')
+ return dts_input
+
+def main():
+ """ main function """
+ args = parse_args()
+ dts_input = get_input(args.target)
+ print(dts_input)
+ return True
+
+if __name__ == '__main__':
+ sys.exit(not main())
diff --git a/fpga/usrp3/top/x400/tools/parse_versions_for_dts.py b/fpga/usrp3/top/x400/tools/parse_versions_for_dts.py
new file mode 100755
index 000000000..bf44611d9
--- /dev/null
+++ b/fpga/usrp3/top/x400/tools/parse_versions_for_dts.py
@@ -0,0 +1,153 @@
+#!/usr/bin/env python3
+#
+# Copyright 2021 Ettus Research, a National Instruments Brand
+#
+# SPDX-License-Identifier: GPL-3.0-or-later
+#
+
+"""
+This script parses versioning information from a Verilog file and generates a
+devicetree include file (.dtsi) that follows the syntax that is required by MPM
+for version checking.
+
+usage: parse-versions-for-dts.py [-h] --input INPUT --output OUTPUT
+ --components COMPONENTS
+
+Arguments:
+
+ -h, --help show this help message and exit
+ --input INPUT The input file(s) to parse. A comma separated list can
+ be used to specify multiple files
+ --output OUTPUT The output file
+ --components COMPONENTS
+ The components for which the version information is
+ extracted. A comma separated list can be used to
+ specify multiple components.
+
+Example call:
+
+./parse-versions-for-dts.py \
+ --input regmap/global_regs_regmap_utils.vh \
+ --output build/component_versions.dtsi \
+ --components fpga
+
+Example for variable definitions in a Verilog file
+(regmap/global_regs_regmap_utils.vh):
+
+ localparam FPGA_CURRENT_VERSION_MAJOR = 'h4;
+ localparam FPGA_CURRENT_VERSION_MINOR = 'h2;
+ localparam FPGA_CURRENT_VERSION_BUILD = 'h18;
+ localparam FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR = 'h4;
+ localparam FPGA_OLDEST_COMPATIBLE_VERSION_MINOR = 'h0;
+ localparam FPGA_OLDEST_COMPATIBLE_VERSION_BUILD = 'h0;
+ localparam FPGA_VERSION_LAST_MODIFIED_TIME = 'h20111013;
+
+Example for the generated dtsi include file (build/component_versions.dtsi)
+
+ // mpm_version fpga_current_version 4.2.24
+ // mpm_version fpga_oldest_compatible_version 4.0.0
+ // mpm_version fpga_version_last_modified_time 0x20111013
+"""
+import argparse
+import re
+import sys
+
+def parse_args():
+ """Parser for the command line arguments"""
+ parser = argparse.ArgumentParser(description='Parse variables from Verilog files')
+ parser.add_argument('--input', required=True,
+ help='The input file(s) to parse. A comma separated ' \
+ 'list can be used to specify multiple files')
+ parser.add_argument('--output', required=True, help='The output file')
+ parser.add_argument('--components', required=True,
+ help='The components for which the version information ' \
+ 'is extracted. A comma separated list can be used ' \
+ 'to specify multiple components.')
+ args = parser.parse_args()
+ args.input = args.input.split(',')
+ args.components = args.components.split(',')
+ return args
+
+def parse_variables_from_verilog(filename):
+ """Parses variables from a Verilog file and stores them in a dict.
+ The following syntax is supported:
+ localparam <VARIABLE> = <VALUE>;
+ :param str filename: input filename
+ """
+ pattern_dec = re.compile(r'^(\d*)$')
+ pattern_hex = re.compile(r'^\d*\'h(\w*)$')
+
+ def _convert_verilog_datatype(str_value):
+ """Converts the Verilog value string to the corresponding Python datatype
+ The following notations are supported:
+ - decimal (e.g. 1)
+ - hexadecimal (e.g. 'h4)
+ - hexadecimal with specification of the variable width (e.g. 32'h4)
+ :param str str_value: the string value to be converted
+ """
+ match_dec = pattern_dec.match(str_value)
+ if match_dec:
+ return int(match_dec.group(1))
+ match_hex = pattern_hex.match(str_value)
+ if match_hex:
+ return int(match_hex.group(1), 16)
+ return '?'
+
+ with open(filename) as f:
+ lines = f.read().splitlines()
+ pattern = re.compile(r'\s*localparam (\S*)\s*=\s*(\S*);')
+ variables = {}
+ for line in lines:
+ match = pattern.match(line)
+ if match:
+ key = match.group(1)
+ value = _convert_verilog_datatype(match.group(2))
+ variables[key] = value
+ return variables
+
+def map_variables(variables, component):
+ """map the variables as they shall appear in the generated dtsi file
+ :param dict variables: the variables that were parsed from the Verilog file(s)
+ :param str component: the name of the component
+ """
+ COMPONENT = component.upper()
+ mapped_vars = {
+ '{}_current_version'.format(component): '{}.{}.{}'.format(
+ variables['{}_CURRENT_VERSION_MAJOR'.format(COMPONENT)],
+ variables['{}_CURRENT_VERSION_MINOR'.format(COMPONENT)],
+ variables['{}_CURRENT_VERSION_BUILD'.format(COMPONENT)]
+ ),
+ '{}_oldest_compatible_version'.format(component): '{}.{}.{}'.format(
+ variables['{}_CURRENT_VERSION_MAJOR'.format(COMPONENT)],
+ variables['{}_CURRENT_VERSION_MINOR'.format(COMPONENT)],
+ variables['{}_CURRENT_VERSION_BUILD'.format(COMPONENT)]
+ ),
+ '{}_version_last_modified_time'.format(component): '0x{:X}'.format(
+ variables['{}_VERSION_LAST_MODIFIED_TIME'.format(COMPONENT)]
+ ),
+ }
+ return mapped_vars
+
+def generate_dtsi_file(filename, mapped_vars):
+ """Generate the dtsi file
+ :param str filename: the output filename
+ :param dict mapped_vars: the variables that shall be written to the file
+ """
+ with open(filename, 'w') as f:
+ for k, v in mapped_vars.items():
+ f.write('// mpm_version {} {}\n'.format(k, v))
+
+def main():
+ """ main function """
+ args = parse_args()
+ variables = {}
+ mapped_vars = {}
+ for file in args.input:
+ variables.update(parse_variables_from_verilog(file))
+ for component in args.components:
+ mapped_vars.update(map_variables(variables, component))
+ generate_dtsi_file(args.output, mapped_vars)
+ return True
+
+if __name__ == '__main__':
+ sys.exit(not main())
diff --git a/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.v b/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.v
new file mode 100644
index 000000000..f9a619749
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.v
@@ -0,0 +1,1092 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rfnoc_image_core (for x410)
+//
+// Description:
+//
+// The RFNoC Image Core contains the Verilog description of the RFNoC design
+// to be loaded onto the FPGA.
+//
+// This file was automatically generated by the RFNoC image builder tool.
+// Re-running that tool will overwrite this file!
+//
+// File generated on: 2021-05-03T08:46:03.650065
+// Source: x410_100_rfnoc_image_core.yml
+// Source SHA256: cb326c48a67d58ce1151b83a8943f02c24509946f974f9b1b090bc1780915f8a
+//
+
+`default_nettype none
+
+
+module rfnoc_image_core #(
+ parameter CHDR_W = 64,
+ parameter MTU = 10,
+ parameter [15:0] PROTOVER = {8'd1, 8'd0},
+ parameter RADIO_NIPC = 1
+) (
+ // Clocks
+ input wire chdr_aclk,
+ input wire ctrl_aclk,
+ input wire core_arst,
+ input wire radio_clk,
+ input wire radio_2x_clk,
+ // Basic
+ input wire [ 15:0] device_id,
+
+ // IO ports /////////////////////////
+
+ // ctrlport_radio0
+ output wire [ 0:0] m_ctrlport_radio0_req_wr,
+ output wire [ 0:0] m_ctrlport_radio0_req_rd,
+ output wire [ 19:0] m_ctrlport_radio0_req_addr,
+ output wire [ 31:0] m_ctrlport_radio0_req_data,
+ output wire [ 3:0] m_ctrlport_radio0_req_byte_en,
+ output wire [ 0:0] m_ctrlport_radio0_req_has_time,
+ output wire [ 63:0] m_ctrlport_radio0_req_time,
+ input wire [ 0:0] m_ctrlport_radio0_resp_ack,
+ input wire [ 1:0] m_ctrlport_radio0_resp_status,
+ input wire [ 31:0] m_ctrlport_radio0_resp_data,
+ // ctrlport_radio1
+ output wire [ 0:0] m_ctrlport_radio1_req_wr,
+ output wire [ 0:0] m_ctrlport_radio1_req_rd,
+ output wire [ 19:0] m_ctrlport_radio1_req_addr,
+ output wire [ 31:0] m_ctrlport_radio1_req_data,
+ output wire [ 3:0] m_ctrlport_radio1_req_byte_en,
+ output wire [ 0:0] m_ctrlport_radio1_req_has_time,
+ output wire [ 63:0] m_ctrlport_radio1_req_time,
+ input wire [ 0:0] m_ctrlport_radio1_resp_ack,
+ input wire [ 1:0] m_ctrlport_radio1_resp_status,
+ input wire [ 31:0] m_ctrlport_radio1_resp_data,
+ // time
+ input wire [ 63:0] radio_time,
+ // radio0
+ input wire [ 255:0] radio_rx_data_radio0,
+ input wire [ 7:0] radio_rx_stb_radio0,
+ output wire [ 7:0] radio_rx_running_radio0,
+ output wire [ 255:0] radio_tx_data_radio0,
+ input wire [ 7:0] radio_tx_stb_radio0,
+ output wire [ 7:0] radio_tx_running_radio0,
+ // radio1
+ input wire [ 255:0] radio_rx_data_radio1,
+ input wire [ 7:0] radio_rx_stb_radio1,
+ output wire [ 7:0] radio_rx_running_radio1,
+ output wire [ 255:0] radio_tx_data_radio1,
+ input wire [ 7:0] radio_tx_stb_radio1,
+ output wire [ 7:0] radio_tx_running_radio1,
+
+ // Transport Adapters ///////////////
+
+ // Transport 0 (eth0)
+ input wire [CHDR_W-1:0] s_eth0_tdata,
+ input wire s_eth0_tlast,
+ input wire s_eth0_tvalid,
+ output wire s_eth0_tready,
+ output wire [CHDR_W-1:0] m_eth0_tdata,
+ output wire m_eth0_tlast,
+ output wire m_eth0_tvalid,
+ input wire m_eth0_tready,
+ // Transport 1 (eth1)
+ input wire [CHDR_W-1:0] s_eth1_tdata,
+ input wire s_eth1_tlast,
+ input wire s_eth1_tvalid,
+ output wire s_eth1_tready,
+ output wire [CHDR_W-1:0] m_eth1_tdata,
+ output wire m_eth1_tlast,
+ output wire m_eth1_tvalid,
+ input wire m_eth1_tready,
+ // Transport 2 (eth2)
+ input wire [CHDR_W-1:0] s_eth2_tdata,
+ input wire s_eth2_tlast,
+ input wire s_eth2_tvalid,
+ output wire s_eth2_tready,
+ output wire [CHDR_W-1:0] m_eth2_tdata,
+ output wire m_eth2_tlast,
+ output wire m_eth2_tvalid,
+ input wire m_eth2_tready,
+ // Transport 3 (eth3)
+ input wire [CHDR_W-1:0] s_eth3_tdata,
+ input wire s_eth3_tlast,
+ input wire s_eth3_tvalid,
+ output wire s_eth3_tready,
+ output wire [CHDR_W-1:0] m_eth3_tdata,
+ output wire m_eth3_tlast,
+ output wire m_eth3_tvalid,
+ input wire m_eth3_tready,
+ // Transport 4 (eth4)
+ input wire [CHDR_W-1:0] s_eth4_tdata,
+ input wire s_eth4_tlast,
+ input wire s_eth4_tvalid,
+ output wire s_eth4_tready,
+ output wire [CHDR_W-1:0] m_eth4_tdata,
+ output wire m_eth4_tlast,
+ output wire m_eth4_tvalid,
+ input wire m_eth4_tready,
+ // Transport 5 (dma)
+ input wire [CHDR_W-1:0] s_dma_tdata,
+ input wire s_dma_tlast,
+ input wire s_dma_tvalid,
+ output wire s_dma_tready,
+ output wire [CHDR_W-1:0] m_dma_tdata,
+ output wire m_dma_tlast,
+ output wire m_dma_tvalid,
+ input wire m_dma_tready
+);
+
+ localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`";
+
+ wire rfnoc_chdr_clk, rfnoc_chdr_rst;
+ wire rfnoc_ctrl_clk, rfnoc_ctrl_rst;
+
+
+ //---------------------------------------------------------------------------
+ // CHDR Crossbar
+ //---------------------------------------------------------------------------
+
+ wire [CHDR_W-1:0] xb_to_ep0_tdata ;
+ wire xb_to_ep0_tlast ;
+ wire xb_to_ep0_tvalid;
+ wire xb_to_ep0_tready;
+ wire [CHDR_W-1:0] ep0_to_xb_tdata ;
+ wire ep0_to_xb_tlast ;
+ wire ep0_to_xb_tvalid;
+ wire ep0_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep1_tdata ;
+ wire xb_to_ep1_tlast ;
+ wire xb_to_ep1_tvalid;
+ wire xb_to_ep1_tready;
+ wire [CHDR_W-1:0] ep1_to_xb_tdata ;
+ wire ep1_to_xb_tlast ;
+ wire ep1_to_xb_tvalid;
+ wire ep1_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep2_tdata ;
+ wire xb_to_ep2_tlast ;
+ wire xb_to_ep2_tvalid;
+ wire xb_to_ep2_tready;
+ wire [CHDR_W-1:0] ep2_to_xb_tdata ;
+ wire ep2_to_xb_tlast ;
+ wire ep2_to_xb_tvalid;
+ wire ep2_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep3_tdata ;
+ wire xb_to_ep3_tlast ;
+ wire xb_to_ep3_tvalid;
+ wire xb_to_ep3_tready;
+ wire [CHDR_W-1:0] ep3_to_xb_tdata ;
+ wire ep3_to_xb_tlast ;
+ wire ep3_to_xb_tvalid;
+ wire ep3_to_xb_tready;
+
+ chdr_crossbar_nxn #(
+ .CHDR_W (CHDR_W),
+ .NPORTS (10),
+ .DEFAULT_PORT (0),
+ .MTU (MTU),
+ .ROUTE_TBL_SIZE (6),
+ .MUX_ALLOC ("ROUND-ROBIN"),
+ .OPTIMIZE ("AREA"),
+ .NPORTS_MGMT (6),
+ .EXT_RTCFG_PORT (0),
+ .PROTOVER (PROTOVER)
+ ) chdr_xb_i (
+ .clk (rfnoc_chdr_clk),
+ .reset (rfnoc_chdr_rst),
+ .device_id (device_id),
+ .s_axis_tdata ({ep3_to_xb_tdata , ep2_to_xb_tdata , ep1_to_xb_tdata , ep0_to_xb_tdata , s_dma_tdata , s_eth4_tdata , s_eth3_tdata , s_eth2_tdata , s_eth1_tdata , s_eth0_tdata }),
+ .s_axis_tlast ({ep3_to_xb_tlast , ep2_to_xb_tlast , ep1_to_xb_tlast , ep0_to_xb_tlast , s_dma_tlast , s_eth4_tlast , s_eth3_tlast , s_eth2_tlast , s_eth1_tlast , s_eth0_tlast }),
+ .s_axis_tvalid ({ep3_to_xb_tvalid, ep2_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth4_tvalid, s_eth3_tvalid, s_eth2_tvalid, s_eth1_tvalid, s_eth0_tvalid}),
+ .s_axis_tready ({ep3_to_xb_tready, ep2_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth4_tready, s_eth3_tready, s_eth2_tready, s_eth1_tready, s_eth0_tready}),
+ .m_axis_tdata ({xb_to_ep3_tdata , xb_to_ep2_tdata , xb_to_ep1_tdata , xb_to_ep0_tdata , m_dma_tdata , m_eth4_tdata , m_eth3_tdata , m_eth2_tdata , m_eth1_tdata , m_eth0_tdata }),
+ .m_axis_tlast ({xb_to_ep3_tlast , xb_to_ep2_tlast , xb_to_ep1_tlast , xb_to_ep0_tlast , m_dma_tlast , m_eth4_tlast , m_eth3_tlast , m_eth2_tlast , m_eth1_tlast , m_eth0_tlast }),
+ .m_axis_tvalid ({xb_to_ep3_tvalid, xb_to_ep2_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth4_tvalid, m_eth3_tvalid, m_eth2_tvalid, m_eth1_tvalid, m_eth0_tvalid}),
+ .m_axis_tready ({xb_to_ep3_tready, xb_to_ep2_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth4_tready, m_eth3_tready, m_eth2_tready, m_eth1_tready, m_eth0_tready}),
+ .ext_rtcfg_stb (1'h0),
+ .ext_rtcfg_addr (16'h0),
+ .ext_rtcfg_data (32'h0),
+ .ext_rtcfg_ack ()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Stream Endpoints
+ //---------------------------------------------------------------------------
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP0 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP0 =
+ REQ_BUFF_SIZE_EP0 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP0 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP0);
+
+ wire [CHDR_W-1:0] m_ep0_out0_tdata;
+ wire m_ep0_out0_tlast;
+ wire m_ep0_out0_tvalid;
+ wire m_ep0_out0_tready;
+ wire [CHDR_W-1:0] s_ep0_in0_tdata;
+ wire s_ep0_in0_tlast;
+ wire s_ep0_in0_tvalid;
+ wire s_ep0_in0_tready;
+ wire [ 31:0] m_ep0_ctrl_tdata, s_ep0_ctrl_tdata;
+ wire m_ep0_ctrl_tlast, s_ep0_ctrl_tlast;
+ wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid;
+ wire m_ep0_ctrl_tready, s_ep0_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (1),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (0),
+ .CTRL_XBAR_PORT (1),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP0),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep0_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep0_tdata),
+ .s_axis_chdr_tlast (xb_to_ep0_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep0_tvalid),
+ .s_axis_chdr_tready (xb_to_ep0_tready),
+ .m_axis_chdr_tdata (ep0_to_xb_tdata),
+ .m_axis_chdr_tlast (ep0_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep0_to_xb_tvalid),
+ .m_axis_chdr_tready (ep0_to_xb_tready),
+ .s_axis_data_tdata ({s_ep0_in0_tdata}),
+ .s_axis_data_tlast ({s_ep0_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep0_in0_tvalid}),
+ .s_axis_data_tready ({s_ep0_in0_tready}),
+ .m_axis_data_tdata ({m_ep0_out0_tdata}),
+ .m_axis_data_tlast ({m_ep0_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep0_out0_tvalid}),
+ .m_axis_data_tready ({m_ep0_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep0_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep0_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep0_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep0_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep0_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep0_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP1 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP1 =
+ REQ_BUFF_SIZE_EP1 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP1 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP1);
+
+ wire [CHDR_W-1:0] m_ep1_out0_tdata;
+ wire m_ep1_out0_tlast;
+ wire m_ep1_out0_tvalid;
+ wire m_ep1_out0_tready;
+ wire [CHDR_W-1:0] s_ep1_in0_tdata;
+ wire s_ep1_in0_tlast;
+ wire s_ep1_in0_tvalid;
+ wire s_ep1_in0_tready;
+ wire [ 31:0] m_ep1_ctrl_tdata, s_ep1_ctrl_tdata;
+ wire m_ep1_ctrl_tlast, s_ep1_ctrl_tlast;
+ wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid;
+ wire m_ep1_ctrl_tready, s_ep1_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (1),
+ .CTRL_XBAR_PORT (2),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP1),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep1_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep1_tdata),
+ .s_axis_chdr_tlast (xb_to_ep1_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep1_tvalid),
+ .s_axis_chdr_tready (xb_to_ep1_tready),
+ .m_axis_chdr_tdata (ep1_to_xb_tdata),
+ .m_axis_chdr_tlast (ep1_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep1_to_xb_tvalid),
+ .m_axis_chdr_tready (ep1_to_xb_tready),
+ .s_axis_data_tdata ({s_ep1_in0_tdata}),
+ .s_axis_data_tlast ({s_ep1_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep1_in0_tvalid}),
+ .s_axis_data_tready ({s_ep1_in0_tready}),
+ .m_axis_data_tdata ({m_ep1_out0_tdata}),
+ .m_axis_data_tlast ({m_ep1_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep1_out0_tvalid}),
+ .m_axis_data_tready ({m_ep1_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep1_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep1_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep1_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep1_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep1_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep1_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP2 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP2 =
+ REQ_BUFF_SIZE_EP2 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP2 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP2);
+
+ wire [CHDR_W-1:0] m_ep2_out0_tdata;
+ wire m_ep2_out0_tlast;
+ wire m_ep2_out0_tvalid;
+ wire m_ep2_out0_tready;
+ wire [CHDR_W-1:0] s_ep2_in0_tdata;
+ wire s_ep2_in0_tlast;
+ wire s_ep2_in0_tvalid;
+ wire s_ep2_in0_tready;
+ wire [ 31:0] m_ep2_ctrl_tdata, s_ep2_ctrl_tdata;
+ wire m_ep2_ctrl_tlast, s_ep2_ctrl_tlast;
+ wire m_ep2_ctrl_tvalid, s_ep2_ctrl_tvalid;
+ wire m_ep2_ctrl_tready, s_ep2_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (2),
+ .CTRL_XBAR_PORT (3),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP2),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep2_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep2_tdata),
+ .s_axis_chdr_tlast (xb_to_ep2_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep2_tvalid),
+ .s_axis_chdr_tready (xb_to_ep2_tready),
+ .m_axis_chdr_tdata (ep2_to_xb_tdata),
+ .m_axis_chdr_tlast (ep2_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep2_to_xb_tvalid),
+ .m_axis_chdr_tready (ep2_to_xb_tready),
+ .s_axis_data_tdata ({s_ep2_in0_tdata}),
+ .s_axis_data_tlast ({s_ep2_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep2_in0_tvalid}),
+ .s_axis_data_tready ({s_ep2_in0_tready}),
+ .m_axis_data_tdata ({m_ep2_out0_tdata}),
+ .m_axis_data_tlast ({m_ep2_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep2_out0_tvalid}),
+ .m_axis_data_tready ({m_ep2_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep2_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep2_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep2_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep2_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep2_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep2_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep2_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep2_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP3 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP3 =
+ REQ_BUFF_SIZE_EP3 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP3 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP3);
+
+ wire [CHDR_W-1:0] m_ep3_out0_tdata;
+ wire m_ep3_out0_tlast;
+ wire m_ep3_out0_tvalid;
+ wire m_ep3_out0_tready;
+ wire [CHDR_W-1:0] s_ep3_in0_tdata;
+ wire s_ep3_in0_tlast;
+ wire s_ep3_in0_tvalid;
+ wire s_ep3_in0_tready;
+ wire [ 31:0] m_ep3_ctrl_tdata, s_ep3_ctrl_tdata;
+ wire m_ep3_ctrl_tlast, s_ep3_ctrl_tlast;
+ wire m_ep3_ctrl_tvalid, s_ep3_ctrl_tvalid;
+ wire m_ep3_ctrl_tready, s_ep3_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (3),
+ .CTRL_XBAR_PORT (4),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP3),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep3_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep3_tdata),
+ .s_axis_chdr_tlast (xb_to_ep3_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep3_tvalid),
+ .s_axis_chdr_tready (xb_to_ep3_tready),
+ .m_axis_chdr_tdata (ep3_to_xb_tdata),
+ .m_axis_chdr_tlast (ep3_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep3_to_xb_tvalid),
+ .m_axis_chdr_tready (ep3_to_xb_tready),
+ .s_axis_data_tdata ({s_ep3_in0_tdata}),
+ .s_axis_data_tlast ({s_ep3_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep3_in0_tvalid}),
+ .s_axis_data_tready ({s_ep3_in0_tready}),
+ .m_axis_data_tdata ({m_ep3_out0_tdata}),
+ .m_axis_data_tlast ({m_ep3_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep3_out0_tvalid}),
+ .m_axis_data_tready ({m_ep3_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep3_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep3_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep3_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep3_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep3_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep3_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep3_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep3_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Control Crossbar
+ //---------------------------------------------------------------------------
+
+ wire [31:0] m_core_ctrl_tdata, s_core_ctrl_tdata;
+ wire m_core_ctrl_tlast, s_core_ctrl_tlast;
+ wire m_core_ctrl_tvalid, s_core_ctrl_tvalid;
+ wire m_core_ctrl_tready, s_core_ctrl_tready;
+ wire [31:0] m_duc0_ctrl_tdata, s_duc0_ctrl_tdata;
+ wire m_duc0_ctrl_tlast, s_duc0_ctrl_tlast;
+ wire m_duc0_ctrl_tvalid, s_duc0_ctrl_tvalid;
+ wire m_duc0_ctrl_tready, s_duc0_ctrl_tready;
+ wire [31:0] m_ddc0_ctrl_tdata, s_ddc0_ctrl_tdata;
+ wire m_ddc0_ctrl_tlast, s_ddc0_ctrl_tlast;
+ wire m_ddc0_ctrl_tvalid, s_ddc0_ctrl_tvalid;
+ wire m_ddc0_ctrl_tready, s_ddc0_ctrl_tready;
+ wire [31:0] m_radio0_ctrl_tdata, s_radio0_ctrl_tdata;
+ wire m_radio0_ctrl_tlast, s_radio0_ctrl_tlast;
+ wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid;
+ wire m_radio0_ctrl_tready, s_radio0_ctrl_tready;
+ wire [31:0] m_duc1_ctrl_tdata, s_duc1_ctrl_tdata;
+ wire m_duc1_ctrl_tlast, s_duc1_ctrl_tlast;
+ wire m_duc1_ctrl_tvalid, s_duc1_ctrl_tvalid;
+ wire m_duc1_ctrl_tready, s_duc1_ctrl_tready;
+ wire [31:0] m_ddc1_ctrl_tdata, s_ddc1_ctrl_tdata;
+ wire m_ddc1_ctrl_tlast, s_ddc1_ctrl_tlast;
+ wire m_ddc1_ctrl_tvalid, s_ddc1_ctrl_tvalid;
+ wire m_ddc1_ctrl_tready, s_ddc1_ctrl_tready;
+ wire [31:0] m_radio1_ctrl_tdata, s_radio1_ctrl_tdata;
+ wire m_radio1_ctrl_tlast, s_radio1_ctrl_tlast;
+ wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid;
+ wire m_radio1_ctrl_tready, s_radio1_ctrl_tready;
+
+ axis_ctrl_crossbar_nxn #(
+ .WIDTH (32),
+ .NPORTS (8),
+ .TOPOLOGY ("TORUS"),
+ .INGRESS_BUFF_SIZE(5),
+ .ROUTER_BUFF_SIZE (5),
+ .ROUTING_ALLOC ("WORMHOLE"),
+ .SWITCH_ALLOC ("PRIO")
+ ) ctrl_xb_i (
+ .clk (rfnoc_ctrl_clk),
+ .reset (rfnoc_ctrl_rst),
+ .s_axis_tdata ({m_radio1_ctrl_tdata , m_ddc1_ctrl_tdata , m_duc1_ctrl_tdata , m_radio0_ctrl_tdata , m_ddc0_ctrl_tdata , m_duc0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }),
+ .s_axis_tvalid ({m_radio1_ctrl_tvalid, m_ddc1_ctrl_tvalid, m_duc1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ddc0_ctrl_tvalid, m_duc0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}),
+ .s_axis_tlast ({m_radio1_ctrl_tlast , m_ddc1_ctrl_tlast , m_duc1_ctrl_tlast , m_radio0_ctrl_tlast , m_ddc0_ctrl_tlast , m_duc0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }),
+ .s_axis_tready ({m_radio1_ctrl_tready, m_ddc1_ctrl_tready, m_duc1_ctrl_tready, m_radio0_ctrl_tready, m_ddc0_ctrl_tready, m_duc0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}),
+ .m_axis_tdata ({s_radio1_ctrl_tdata , s_ddc1_ctrl_tdata , s_duc1_ctrl_tdata , s_radio0_ctrl_tdata , s_ddc0_ctrl_tdata , s_duc0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }),
+ .m_axis_tvalid ({s_radio1_ctrl_tvalid, s_ddc1_ctrl_tvalid, s_duc1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ddc0_ctrl_tvalid, s_duc0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}),
+ .m_axis_tlast ({s_radio1_ctrl_tlast , s_ddc1_ctrl_tlast , s_duc1_ctrl_tlast , s_radio0_ctrl_tlast , s_ddc0_ctrl_tlast , s_duc0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }),
+ .m_axis_tready ({s_radio1_ctrl_tready, s_ddc1_ctrl_tready, s_duc1_ctrl_tready, s_radio0_ctrl_tready, s_ddc0_ctrl_tready, s_duc0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}),
+ .deadlock_detected()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // RFNoC Core Kernel
+ //---------------------------------------------------------------------------
+
+ wire [(512*6)-1:0] rfnoc_core_config, rfnoc_core_status;
+
+ rfnoc_core_kernel #(
+ .PROTOVER (PROTOVER),
+ .DEVICE_TYPE (16'hA400),
+ .DEVICE_FAMILY ("ULTRASCALE"),
+ .SAFE_START_CLKS (0),
+ .NUM_BLOCKS (6),
+ .NUM_STREAM_ENDPOINTS(4),
+ .NUM_ENDPOINTS_CTRL (1),
+ .NUM_TRANSPORTS (6),
+ .NUM_EDGES (16),
+ .CHDR_XBAR_PRESENT (1),
+ .EDGE_TBL_FILE (EDGE_TBL_FILE)
+ ) core_kernel_i (
+ .chdr_aclk (chdr_aclk),
+ .chdr_aclk_locked (1'b1),
+ .ctrl_aclk (ctrl_aclk),
+ .ctrl_aclk_locked (1'b1),
+ .core_arst (core_arst),
+ .core_chdr_clk (rfnoc_chdr_clk),
+ .core_chdr_rst (rfnoc_chdr_rst),
+ .core_ctrl_clk (rfnoc_ctrl_clk),
+ .core_ctrl_rst (rfnoc_ctrl_rst),
+ .s_axis_ctrl_tdata (s_core_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_core_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_core_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_core_ctrl_tready),
+ .m_axis_ctrl_tdata (m_core_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_core_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_core_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_core_ctrl_tready),
+ .device_id (device_id),
+ .rfnoc_core_config (rfnoc_core_config),
+ .rfnoc_core_status (rfnoc_core_status)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Blocks
+ //---------------------------------------------------------------------------
+
+ //-----------------------------------
+ // duc0
+ //-----------------------------------
+
+ wire duc0_ce_clk;
+ wire [CHDR_W-1:0] s_duc0_in_1_tdata , s_duc0_in_0_tdata ;
+ wire s_duc0_in_1_tlast , s_duc0_in_0_tlast ;
+ wire s_duc0_in_1_tvalid, s_duc0_in_0_tvalid;
+ wire s_duc0_in_1_tready, s_duc0_in_0_tready;
+ wire [CHDR_W-1:0] m_duc0_out_1_tdata , m_duc0_out_0_tdata ;
+ wire m_duc0_out_1_tlast , m_duc0_out_0_tlast ;
+ wire m_duc0_out_1_tvalid, m_duc0_out_0_tvalid;
+ wire m_duc0_out_1_tready, m_duc0_out_0_tready;
+
+ rfnoc_block_duc #(
+ .THIS_PORTID (2),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_INTERP (255),
+ .MTU (MTU)
+ ) b_duc0_0 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (duc0_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]),
+ .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]),
+ .s_rfnoc_chdr_tdata ({s_duc0_in_1_tdata , s_duc0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_duc0_in_1_tlast , s_duc0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_duc0_in_1_tvalid, s_duc0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_duc0_in_1_tready, s_duc0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_duc0_out_1_tdata , m_duc0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_duc0_out_1_tlast , m_duc0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_duc0_out_1_tvalid, m_duc0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_duc0_out_1_tready, m_duc0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_duc0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_duc0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_duc0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_duc0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_duc0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_duc0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_duc0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_duc0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // ddc0
+ //-----------------------------------
+
+ wire ddc0_ce_clk;
+ wire [CHDR_W-1:0] s_ddc0_in_1_tdata , s_ddc0_in_0_tdata ;
+ wire s_ddc0_in_1_tlast , s_ddc0_in_0_tlast ;
+ wire s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid;
+ wire s_ddc0_in_1_tready, s_ddc0_in_0_tready;
+ wire [CHDR_W-1:0] m_ddc0_out_1_tdata , m_ddc0_out_0_tdata ;
+ wire m_ddc0_out_1_tlast , m_ddc0_out_0_tlast ;
+ wire m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid;
+ wire m_ddc0_out_1_tready, m_ddc0_out_0_tready;
+
+ rfnoc_block_ddc #(
+ .THIS_PORTID (3),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_DECIM (255),
+ .MTU (MTU)
+ ) b_ddc0_1 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (ddc0_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]),
+ .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]),
+ .s_rfnoc_chdr_tdata ({s_ddc0_in_1_tdata , s_ddc0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_ddc0_in_1_tlast , s_ddc0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_ddc0_in_1_tready, s_ddc0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_ddc0_out_1_tdata , m_ddc0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_ddc0_out_1_tlast , m_ddc0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_ddc0_out_1_tready, m_ddc0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_ddc0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_ddc0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_ddc0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_ddc0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_ddc0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_ddc0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_ddc0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_ddc0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // radio0
+ //-----------------------------------
+
+ wire radio0_radio_clk;
+ wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ;
+ wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ;
+ wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid;
+ wire s_radio0_in_1_tready, s_radio0_in_0_tready;
+ wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ;
+ wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ;
+ wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid;
+ wire m_radio0_out_1_tready, m_radio0_out_0_tready;
+
+ // ctrlport
+ wire [ 0:0] radio0_m_ctrlport_req_wr;
+ wire [ 0:0] radio0_m_ctrlport_req_rd;
+ wire [ 19:0] radio0_m_ctrlport_req_addr;
+ wire [ 31:0] radio0_m_ctrlport_req_data;
+ wire [ 3:0] radio0_m_ctrlport_req_byte_en;
+ wire [ 0:0] radio0_m_ctrlport_req_has_time;
+ wire [ 63:0] radio0_m_ctrlport_req_time;
+ wire [ 0:0] radio0_m_ctrlport_resp_ack;
+ wire [ 1:0] radio0_m_ctrlport_resp_status;
+ wire [ 31:0] radio0_m_ctrlport_resp_data;
+ // time
+ wire [ 63:0] radio0_radio_time;
+ // radio
+ wire [ 255:0] radio0_radio_rx_data;
+ wire [ 7:0] radio0_radio_rx_stb;
+ wire [ 7:0] radio0_radio_rx_running;
+ wire [ 255:0] radio0_radio_tx_data;
+ wire [ 7:0] radio0_radio_tx_stb;
+ wire [ 7:0] radio0_radio_tx_running;
+
+ rfnoc_block_radio #(
+ .THIS_PORTID (4),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NIPC (RADIO_NIPC),
+ .ITEM_W (32),
+ .MTU (MTU)
+ ) b_radio0_2 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .radio_clk (radio0_radio_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]),
+ .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]),
+ .m_ctrlport_req_wr (radio0_m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (radio0_m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (radio0_m_ctrlport_req_addr),
+ .m_ctrlport_req_data (radio0_m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en),
+ .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time),
+ .m_ctrlport_req_time (radio0_m_ctrlport_req_time),
+ .m_ctrlport_resp_ack (radio0_m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status),
+ .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data),
+ .radio_time (radio0_radio_time),
+ .radio_rx_data (radio0_radio_rx_data),
+ .radio_rx_stb (radio0_radio_rx_stb),
+ .radio_rx_running (radio0_radio_rx_running),
+ .radio_tx_data (radio0_radio_tx_data),
+ .radio_tx_stb (radio0_radio_tx_stb),
+ .radio_tx_running (radio0_radio_tx_running),
+ .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_radio0_in_1_tready, s_radio0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_radio0_out_1_tready, m_radio0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_radio0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_radio0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_radio0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_radio0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // duc1
+ //-----------------------------------
+
+ wire duc1_ce_clk;
+ wire [CHDR_W-1:0] s_duc1_in_1_tdata , s_duc1_in_0_tdata ;
+ wire s_duc1_in_1_tlast , s_duc1_in_0_tlast ;
+ wire s_duc1_in_1_tvalid, s_duc1_in_0_tvalid;
+ wire s_duc1_in_1_tready, s_duc1_in_0_tready;
+ wire [CHDR_W-1:0] m_duc1_out_1_tdata , m_duc1_out_0_tdata ;
+ wire m_duc1_out_1_tlast , m_duc1_out_0_tlast ;
+ wire m_duc1_out_1_tvalid, m_duc1_out_0_tvalid;
+ wire m_duc1_out_1_tready, m_duc1_out_0_tready;
+
+ rfnoc_block_duc #(
+ .THIS_PORTID (5),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_INTERP (255),
+ .MTU (MTU)
+ ) b_duc1_3 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (duc1_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*4-1:512*3]),
+ .rfnoc_core_status (rfnoc_core_status[512*4-1:512*3]),
+ .s_rfnoc_chdr_tdata ({s_duc1_in_1_tdata , s_duc1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_duc1_in_1_tlast , s_duc1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_duc1_in_1_tvalid, s_duc1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_duc1_in_1_tready, s_duc1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_duc1_out_1_tdata , m_duc1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_duc1_out_1_tlast , m_duc1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_duc1_out_1_tvalid, m_duc1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_duc1_out_1_tready, m_duc1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_duc1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_duc1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_duc1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_duc1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_duc1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_duc1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_duc1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_duc1_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // ddc1
+ //-----------------------------------
+
+ wire ddc1_ce_clk;
+ wire [CHDR_W-1:0] s_ddc1_in_1_tdata , s_ddc1_in_0_tdata ;
+ wire s_ddc1_in_1_tlast , s_ddc1_in_0_tlast ;
+ wire s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid;
+ wire s_ddc1_in_1_tready, s_ddc1_in_0_tready;
+ wire [CHDR_W-1:0] m_ddc1_out_1_tdata , m_ddc1_out_0_tdata ;
+ wire m_ddc1_out_1_tlast , m_ddc1_out_0_tlast ;
+ wire m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid;
+ wire m_ddc1_out_1_tready, m_ddc1_out_0_tready;
+
+ rfnoc_block_ddc #(
+ .THIS_PORTID (6),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_DECIM (255),
+ .MTU (MTU)
+ ) b_ddc1_4 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (ddc1_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*5-1:512*4]),
+ .rfnoc_core_status (rfnoc_core_status[512*5-1:512*4]),
+ .s_rfnoc_chdr_tdata ({s_ddc1_in_1_tdata , s_ddc1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_ddc1_in_1_tlast , s_ddc1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_ddc1_in_1_tready, s_ddc1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_ddc1_out_1_tdata , m_ddc1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_ddc1_out_1_tlast , m_ddc1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_ddc1_out_1_tready, m_ddc1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_ddc1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_ddc1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_ddc1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_ddc1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_ddc1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_ddc1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_ddc1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_ddc1_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // radio1
+ //-----------------------------------
+
+ wire radio1_radio_clk;
+ wire [CHDR_W-1:0] s_radio1_in_1_tdata , s_radio1_in_0_tdata ;
+ wire s_radio1_in_1_tlast , s_radio1_in_0_tlast ;
+ wire s_radio1_in_1_tvalid, s_radio1_in_0_tvalid;
+ wire s_radio1_in_1_tready, s_radio1_in_0_tready;
+ wire [CHDR_W-1:0] m_radio1_out_1_tdata , m_radio1_out_0_tdata ;
+ wire m_radio1_out_1_tlast , m_radio1_out_0_tlast ;
+ wire m_radio1_out_1_tvalid, m_radio1_out_0_tvalid;
+ wire m_radio1_out_1_tready, m_radio1_out_0_tready;
+
+ // ctrlport
+ wire [ 0:0] radio1_m_ctrlport_req_wr;
+ wire [ 0:0] radio1_m_ctrlport_req_rd;
+ wire [ 19:0] radio1_m_ctrlport_req_addr;
+ wire [ 31:0] radio1_m_ctrlport_req_data;
+ wire [ 3:0] radio1_m_ctrlport_req_byte_en;
+ wire [ 0:0] radio1_m_ctrlport_req_has_time;
+ wire [ 63:0] radio1_m_ctrlport_req_time;
+ wire [ 0:0] radio1_m_ctrlport_resp_ack;
+ wire [ 1:0] radio1_m_ctrlport_resp_status;
+ wire [ 31:0] radio1_m_ctrlport_resp_data;
+ // time
+ wire [ 63:0] radio1_radio_time;
+ // radio
+ wire [ 255:0] radio1_radio_rx_data;
+ wire [ 7:0] radio1_radio_rx_stb;
+ wire [ 7:0] radio1_radio_rx_running;
+ wire [ 255:0] radio1_radio_tx_data;
+ wire [ 7:0] radio1_radio_tx_stb;
+ wire [ 7:0] radio1_radio_tx_running;
+
+ rfnoc_block_radio #(
+ .THIS_PORTID (7),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NIPC (RADIO_NIPC),
+ .ITEM_W (32),
+ .MTU (MTU)
+ ) b_radio1_5 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .radio_clk (radio1_radio_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*6-1:512*5]),
+ .rfnoc_core_status (rfnoc_core_status[512*6-1:512*5]),
+ .m_ctrlport_req_wr (radio1_m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (radio1_m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (radio1_m_ctrlport_req_addr),
+ .m_ctrlport_req_data (radio1_m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en),
+ .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time),
+ .m_ctrlport_req_time (radio1_m_ctrlport_req_time),
+ .m_ctrlport_resp_ack (radio1_m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status),
+ .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data),
+ .radio_time (radio1_radio_time),
+ .radio_rx_data (radio1_radio_rx_data),
+ .radio_rx_stb (radio1_radio_rx_stb),
+ .radio_rx_running (radio1_radio_rx_running),
+ .radio_tx_data (radio1_radio_tx_data),
+ .radio_tx_stb (radio1_radio_tx_stb),
+ .radio_tx_running (radio1_radio_tx_running),
+ .s_rfnoc_chdr_tdata ({s_radio1_in_1_tdata , s_radio1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_radio1_in_1_tlast , s_radio1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_radio1_in_1_tvalid, s_radio1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_radio1_in_1_tready, s_radio1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_radio1_out_1_tdata , m_radio1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_radio1_out_1_tlast , m_radio1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_radio1_out_1_tvalid, m_radio1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_radio1_out_1_tready, m_radio1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_radio1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_radio1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_radio1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_radio1_ctrl_tready)
+ );
+
+ //---------------------------------------------------------------------------
+ // Static Router
+ //---------------------------------------------------------------------------
+
+ assign s_duc0_in_0_tdata = m_ep0_out0_tdata;
+ assign s_duc0_in_0_tlast = m_ep0_out0_tlast;
+ assign s_duc0_in_0_tvalid = m_ep0_out0_tvalid;
+ assign m_ep0_out0_tready = s_duc0_in_0_tready;
+
+ assign s_radio0_in_0_tdata = m_duc0_out_0_tdata;
+ assign s_radio0_in_0_tlast = m_duc0_out_0_tlast;
+ assign s_radio0_in_0_tvalid = m_duc0_out_0_tvalid;
+ assign m_duc0_out_0_tready = s_radio0_in_0_tready;
+
+ assign s_ddc0_in_0_tdata = m_radio0_out_0_tdata;
+ assign s_ddc0_in_0_tlast = m_radio0_out_0_tlast;
+ assign s_ddc0_in_0_tvalid = m_radio0_out_0_tvalid;
+ assign m_radio0_out_0_tready = s_ddc0_in_0_tready;
+
+ assign s_ep0_in0_tdata = m_ddc0_out_0_tdata;
+ assign s_ep0_in0_tlast = m_ddc0_out_0_tlast;
+ assign s_ep0_in0_tvalid = m_ddc0_out_0_tvalid;
+ assign m_ddc0_out_0_tready = s_ep0_in0_tready;
+
+ assign s_duc0_in_1_tdata = m_ep1_out0_tdata;
+ assign s_duc0_in_1_tlast = m_ep1_out0_tlast;
+ assign s_duc0_in_1_tvalid = m_ep1_out0_tvalid;
+ assign m_ep1_out0_tready = s_duc0_in_1_tready;
+
+ assign s_radio0_in_1_tdata = m_duc0_out_1_tdata;
+ assign s_radio0_in_1_tlast = m_duc0_out_1_tlast;
+ assign s_radio0_in_1_tvalid = m_duc0_out_1_tvalid;
+ assign m_duc0_out_1_tready = s_radio0_in_1_tready;
+
+ assign s_ddc0_in_1_tdata = m_radio0_out_1_tdata;
+ assign s_ddc0_in_1_tlast = m_radio0_out_1_tlast;
+ assign s_ddc0_in_1_tvalid = m_radio0_out_1_tvalid;
+ assign m_radio0_out_1_tready = s_ddc0_in_1_tready;
+
+ assign s_ep1_in0_tdata = m_ddc0_out_1_tdata;
+ assign s_ep1_in0_tlast = m_ddc0_out_1_tlast;
+ assign s_ep1_in0_tvalid = m_ddc0_out_1_tvalid;
+ assign m_ddc0_out_1_tready = s_ep1_in0_tready;
+
+ assign s_duc1_in_0_tdata = m_ep2_out0_tdata;
+ assign s_duc1_in_0_tlast = m_ep2_out0_tlast;
+ assign s_duc1_in_0_tvalid = m_ep2_out0_tvalid;
+ assign m_ep2_out0_tready = s_duc1_in_0_tready;
+
+ assign s_radio1_in_0_tdata = m_duc1_out_0_tdata;
+ assign s_radio1_in_0_tlast = m_duc1_out_0_tlast;
+ assign s_radio1_in_0_tvalid = m_duc1_out_0_tvalid;
+ assign m_duc1_out_0_tready = s_radio1_in_0_tready;
+
+ assign s_ddc1_in_0_tdata = m_radio1_out_0_tdata;
+ assign s_ddc1_in_0_tlast = m_radio1_out_0_tlast;
+ assign s_ddc1_in_0_tvalid = m_radio1_out_0_tvalid;
+ assign m_radio1_out_0_tready = s_ddc1_in_0_tready;
+
+ assign s_ep2_in0_tdata = m_ddc1_out_0_tdata;
+ assign s_ep2_in0_tlast = m_ddc1_out_0_tlast;
+ assign s_ep2_in0_tvalid = m_ddc1_out_0_tvalid;
+ assign m_ddc1_out_0_tready = s_ep2_in0_tready;
+
+ assign s_duc1_in_1_tdata = m_ep3_out0_tdata;
+ assign s_duc1_in_1_tlast = m_ep3_out0_tlast;
+ assign s_duc1_in_1_tvalid = m_ep3_out0_tvalid;
+ assign m_ep3_out0_tready = s_duc1_in_1_tready;
+
+ assign s_radio1_in_1_tdata = m_duc1_out_1_tdata;
+ assign s_radio1_in_1_tlast = m_duc1_out_1_tlast;
+ assign s_radio1_in_1_tvalid = m_duc1_out_1_tvalid;
+ assign m_duc1_out_1_tready = s_radio1_in_1_tready;
+
+ assign s_ddc1_in_1_tdata = m_radio1_out_1_tdata;
+ assign s_ddc1_in_1_tlast = m_radio1_out_1_tlast;
+ assign s_ddc1_in_1_tvalid = m_radio1_out_1_tvalid;
+ assign m_radio1_out_1_tready = s_ddc1_in_1_tready;
+
+ assign s_ep3_in0_tdata = m_ddc1_out_1_tdata;
+ assign s_ep3_in0_tlast = m_ddc1_out_1_tlast;
+ assign s_ep3_in0_tvalid = m_ddc1_out_1_tvalid;
+ assign m_ddc1_out_1_tready = s_ep3_in0_tready;
+
+
+ //---------------------------------------------------------------------------
+ // Unused Ports
+ //---------------------------------------------------------------------------
+
+
+
+ //---------------------------------------------------------------------------
+ // Clock Domains
+ //---------------------------------------------------------------------------
+
+ assign radio0_radio_clk = radio_clk;
+ assign duc0_ce_clk = radio_clk;
+ assign ddc0_ce_clk = radio_clk;
+ assign radio1_radio_clk = radio_clk;
+ assign duc1_ce_clk = radio_clk;
+ assign ddc1_ce_clk = radio_clk;
+
+
+ //---------------------------------------------------------------------------
+ // IO Port Connection
+ //---------------------------------------------------------------------------
+
+ // Master/Slave Connections:
+ assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr;
+ assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd;
+ assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr;
+ assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data;
+ assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en;
+ assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time;
+ assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time;
+ assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack;
+ assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status;
+ assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data;
+
+ assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr;
+ assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd;
+ assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr;
+ assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data;
+ assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en;
+ assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time;
+ assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time;
+ assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack;
+ assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status;
+ assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data;
+
+ assign radio0_radio_rx_data = radio_rx_data_radio0;
+ assign radio0_radio_rx_stb = radio_rx_stb_radio0;
+ assign radio_rx_running_radio0 = radio0_radio_rx_running;
+ assign radio_tx_data_radio0 = radio0_radio_tx_data;
+ assign radio0_radio_tx_stb = radio_tx_stb_radio0;
+ assign radio_tx_running_radio0 = radio0_radio_tx_running;
+
+ assign radio1_radio_rx_data = radio_rx_data_radio1;
+ assign radio1_radio_rx_stb = radio_rx_stb_radio1;
+ assign radio_rx_running_radio1 = radio1_radio_rx_running;
+ assign radio_tx_data_radio1 = radio1_radio_tx_data;
+ assign radio1_radio_tx_stb = radio_tx_stb_radio1;
+ assign radio_tx_running_radio1 = radio1_radio_tx_running;
+
+ // Broadcaster/Listener Connections:
+ assign radio0_radio_time = radio_time;
+
+ assign radio1_radio_time = radio_time;
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.vh b/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.vh
new file mode 100644
index 000000000..a3e3f3a7b
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.vh
@@ -0,0 +1,21 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Header: rfnoc_image_core.vh (for x410)
+//
+// Description:
+//
+// This is the header file for the RFNoC Image Core.
+//
+// This file was automatically generated by the RFNoC image builder tool.
+// Re-running that tool will overwrite this file!
+//
+// File generated on: 2021-05-03T08:46:03.696404
+// Source: x410_100_rfnoc_image_core.yml
+// Source SHA256: cb326c48a67d58ce1151b83a8943f02c24509946f974f9b1b090bc1780915f8a
+//
+
+`define CHDR_WIDTH 64
+`define RFNOC_PROTOVER { 8'd1, 8'd0 }
diff --git a/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.yml b/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.yml
new file mode 100644
index 000000000..740944ee6
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_100_rfnoc_image_core.yml
@@ -0,0 +1,117 @@
+# General parameters
+# -----------------------------------------
+schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file
+copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers
+license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers
+version: '1.0' # File version
+rfnoc_version: '1.0' # RFNoC protocol version
+chdr_width: 64 # Bit width of the CHDR bus for this image
+device: 'x410' # USRP type
+image_core_name: 'x410_100' # Name to use for the RFNoC Image Core files
+default_target: 'X410_XG_100' # Default make target
+
+# A list of all stream endpoints in design
+# ----------------------------------------
+stream_endpoints:
+ ep0: # Stream endpoint name
+ ctrl: True # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+ ep1: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+ ep2: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+ ep3: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+
+# A list of all NoC blocks in design
+# ----------------------------------
+noc_blocks:
+ duc0: # NoC block name
+ block_desc: 'duc.yml' # Block device descriptor file
+ parameters:
+ NUM_PORTS: 2
+ ddc0:
+ block_desc: 'ddc.yml'
+ parameters:
+ NUM_PORTS: 2
+ radio0:
+ block_desc: 'radio.yml'
+ parameters:
+ NUM_PORTS: 2
+ NIPC: RADIO_NIPC
+ duc1:
+ block_desc: 'duc.yml'
+ parameters:
+ NUM_PORTS: 2
+ ddc1:
+ block_desc: 'ddc.yml'
+ parameters:
+ NUM_PORTS: 2
+ radio1:
+ block_desc: 'radio.yml'
+ parameters:
+ NUM_PORTS: 2
+ NIPC: RADIO_NIPC
+
+# A list of all static connections in design
+# ------------------------------------------
+# Format: A list of connection maps (list of key-value pairs) with the following keys
+# - srcblk = Source block to connect
+# - srcport = Port on the source block to connect
+# - dstblk = Destination block to connect
+# - dstport = Port on the destination block to connect
+connections:
+ # ep0 to radio0(0) - RFA:0 TX
+ - { srcblk: ep0, srcport: out0, dstblk: duc0, dstport: in_0 }
+ - { srcblk: duc0, srcport: out_0, dstblk: radio0, dstport: in_0 }
+ # radio0(0) to ep0 - RFA:0 RX
+ - { srcblk: radio0, srcport: out_0, dstblk: ddc0, dstport: in_0 }
+ - { srcblk: ddc0, srcport: out_0, dstblk: ep0, dstport: in0 }
+ # ep1 to radio0(1) - RFA:1 TX
+ - { srcblk: ep1, srcport: out0, dstblk: duc0, dstport: in_1 }
+ - { srcblk: duc0, srcport: out_1, dstblk: radio0, dstport: in_1 }
+ # radio0(1) to ep1 - RFA:1 RX
+ - { srcblk: radio0, srcport: out_1, dstblk: ddc0, dstport: in_1 }
+ - { srcblk: ddc0, srcport: out_1, dstblk: ep1, dstport: in0 }
+ #
+ # ep2 to radio1(0) - RFB:0 TX
+ - { srcblk: ep2, srcport: out0, dstblk: duc1, dstport: in_0 }
+ - { srcblk: duc1, srcport: out_0, dstblk: radio1, dstport: in_0 }
+ # radio1(0) to ep2 - RFB:0 RX
+ - { srcblk: radio1, srcport: out_0, dstblk: ddc1, dstport: in_0 }
+ - { srcblk: ddc1, srcport: out_0, dstblk: ep2, dstport: in0 }
+ # ep3 to radio1(1) - RFB:1 TX
+ - { srcblk: ep3, srcport: out0, dstblk: duc1, dstport: in_1 }
+ - { srcblk: duc1, srcport: out_1, dstblk: radio1, dstport: in_1 }
+ # radio1(1) to ep3 - RFB:1 RX
+ - { srcblk: radio1, srcport: out_1, dstblk: ddc1, dstport: in_1 }
+ - { srcblk: ddc1, srcport: out_1, dstblk: ep3, dstport: in0 }
+ # BSP Connections
+ - { srcblk: radio0, srcport: ctrlport, dstblk: _device_, dstport: ctrlport_radio0 }
+ - { srcblk: radio1, srcport: ctrlport, dstblk: _device_, dstport: ctrlport_radio1 }
+ - { srcblk: _device_, srcport: radio0, dstblk: radio0, dstport: radio }
+ - { srcblk: _device_, srcport: radio1, dstblk: radio1, dstport: radio }
+ - { srcblk: _device_, srcport: time, dstblk: radio0, dstport: time }
+ - { srcblk: _device_, srcport: time, dstblk: radio1, dstport: time }
+
+# A list of all clock domain connections in design
+# ------------------------------------------
+# Format: A list of connection maps (list of key-value pairs) with the following keys
+# - srcblk = Source block to connect (Always "_device"_)
+# - srcport = Clock domain on the source block to connect
+# - dstblk = Destination block to connect
+# - dstport = Clock domain on the destination block to connect
+clk_domains:
+ - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio }
+ - { srcblk: _device_, srcport: radio, dstblk: duc0, dstport: ce }
+ - { srcblk: _device_, srcport: radio, dstblk: ddc0, dstport: ce }
+ - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio }
+ - { srcblk: _device_, srcport: radio, dstblk: duc1, dstport: ce }
+ - { srcblk: _device_, srcport: radio, dstblk: ddc1, dstport: ce }
diff --git a/fpga/usrp3/top/x400/x410_100_static_router.hex b/fpga/usrp3/top/x400/x410_100_static_router.hex
new file mode 100644
index 000000000..73449b968
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_100_static_router.hex
@@ -0,0 +1,17 @@
+00000010
+00400140
+014001c0
+01c00180
+01800040
+00800141
+014101c1
+01c10181
+01810080
+00c00200
+02000280
+02800240
+024000c0
+01000201
+02010281
+02810241
+02410100
diff --git a/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.v b/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.v
new file mode 100644
index 000000000..9c1bd3c5a
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.v
@@ -0,0 +1,1092 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rfnoc_image_core (for x410)
+//
+// Description:
+//
+// The RFNoC Image Core contains the Verilog description of the RFNoC design
+// to be loaded onto the FPGA.
+//
+// This file was automatically generated by the RFNoC image builder tool.
+// Re-running that tool will overwrite this file!
+//
+// File generated on: 2021-05-03T08:46:07.693716
+// Source: x410_200_rfnoc_image_core.yml
+// Source SHA256: e841d2a58556840a822f9398b8888cc871bf0473c364bcdcb0f46ffce88224c9
+//
+
+`default_nettype none
+
+
+module rfnoc_image_core #(
+ parameter CHDR_W = 64,
+ parameter MTU = 10,
+ parameter [15:0] PROTOVER = {8'd1, 8'd0},
+ parameter RADIO_NIPC = 1
+) (
+ // Clocks
+ input wire chdr_aclk,
+ input wire ctrl_aclk,
+ input wire core_arst,
+ input wire radio_clk,
+ input wire radio_2x_clk,
+ // Basic
+ input wire [ 15:0] device_id,
+
+ // IO ports /////////////////////////
+
+ // ctrlport_radio0
+ output wire [ 0:0] m_ctrlport_radio0_req_wr,
+ output wire [ 0:0] m_ctrlport_radio0_req_rd,
+ output wire [ 19:0] m_ctrlport_radio0_req_addr,
+ output wire [ 31:0] m_ctrlport_radio0_req_data,
+ output wire [ 3:0] m_ctrlport_radio0_req_byte_en,
+ output wire [ 0:0] m_ctrlport_radio0_req_has_time,
+ output wire [ 63:0] m_ctrlport_radio0_req_time,
+ input wire [ 0:0] m_ctrlport_radio0_resp_ack,
+ input wire [ 1:0] m_ctrlport_radio0_resp_status,
+ input wire [ 31:0] m_ctrlport_radio0_resp_data,
+ // ctrlport_radio1
+ output wire [ 0:0] m_ctrlport_radio1_req_wr,
+ output wire [ 0:0] m_ctrlport_radio1_req_rd,
+ output wire [ 19:0] m_ctrlport_radio1_req_addr,
+ output wire [ 31:0] m_ctrlport_radio1_req_data,
+ output wire [ 3:0] m_ctrlport_radio1_req_byte_en,
+ output wire [ 0:0] m_ctrlport_radio1_req_has_time,
+ output wire [ 63:0] m_ctrlport_radio1_req_time,
+ input wire [ 0:0] m_ctrlport_radio1_resp_ack,
+ input wire [ 1:0] m_ctrlport_radio1_resp_status,
+ input wire [ 31:0] m_ctrlport_radio1_resp_data,
+ // time
+ input wire [ 63:0] radio_time,
+ // radio0
+ input wire [ 255:0] radio_rx_data_radio0,
+ input wire [ 7:0] radio_rx_stb_radio0,
+ output wire [ 7:0] radio_rx_running_radio0,
+ output wire [ 255:0] radio_tx_data_radio0,
+ input wire [ 7:0] radio_tx_stb_radio0,
+ output wire [ 7:0] radio_tx_running_radio0,
+ // radio1
+ input wire [ 255:0] radio_rx_data_radio1,
+ input wire [ 7:0] radio_rx_stb_radio1,
+ output wire [ 7:0] radio_rx_running_radio1,
+ output wire [ 255:0] radio_tx_data_radio1,
+ input wire [ 7:0] radio_tx_stb_radio1,
+ output wire [ 7:0] radio_tx_running_radio1,
+
+ // Transport Adapters ///////////////
+
+ // Transport 0 (eth0)
+ input wire [CHDR_W-1:0] s_eth0_tdata,
+ input wire s_eth0_tlast,
+ input wire s_eth0_tvalid,
+ output wire s_eth0_tready,
+ output wire [CHDR_W-1:0] m_eth0_tdata,
+ output wire m_eth0_tlast,
+ output wire m_eth0_tvalid,
+ input wire m_eth0_tready,
+ // Transport 1 (eth1)
+ input wire [CHDR_W-1:0] s_eth1_tdata,
+ input wire s_eth1_tlast,
+ input wire s_eth1_tvalid,
+ output wire s_eth1_tready,
+ output wire [CHDR_W-1:0] m_eth1_tdata,
+ output wire m_eth1_tlast,
+ output wire m_eth1_tvalid,
+ input wire m_eth1_tready,
+ // Transport 2 (eth2)
+ input wire [CHDR_W-1:0] s_eth2_tdata,
+ input wire s_eth2_tlast,
+ input wire s_eth2_tvalid,
+ output wire s_eth2_tready,
+ output wire [CHDR_W-1:0] m_eth2_tdata,
+ output wire m_eth2_tlast,
+ output wire m_eth2_tvalid,
+ input wire m_eth2_tready,
+ // Transport 3 (eth3)
+ input wire [CHDR_W-1:0] s_eth3_tdata,
+ input wire s_eth3_tlast,
+ input wire s_eth3_tvalid,
+ output wire s_eth3_tready,
+ output wire [CHDR_W-1:0] m_eth3_tdata,
+ output wire m_eth3_tlast,
+ output wire m_eth3_tvalid,
+ input wire m_eth3_tready,
+ // Transport 4 (eth4)
+ input wire [CHDR_W-1:0] s_eth4_tdata,
+ input wire s_eth4_tlast,
+ input wire s_eth4_tvalid,
+ output wire s_eth4_tready,
+ output wire [CHDR_W-1:0] m_eth4_tdata,
+ output wire m_eth4_tlast,
+ output wire m_eth4_tvalid,
+ input wire m_eth4_tready,
+ // Transport 5 (dma)
+ input wire [CHDR_W-1:0] s_dma_tdata,
+ input wire s_dma_tlast,
+ input wire s_dma_tvalid,
+ output wire s_dma_tready,
+ output wire [CHDR_W-1:0] m_dma_tdata,
+ output wire m_dma_tlast,
+ output wire m_dma_tvalid,
+ input wire m_dma_tready
+);
+
+ localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`";
+
+ wire rfnoc_chdr_clk, rfnoc_chdr_rst;
+ wire rfnoc_ctrl_clk, rfnoc_ctrl_rst;
+
+
+ //---------------------------------------------------------------------------
+ // CHDR Crossbar
+ //---------------------------------------------------------------------------
+
+ wire [CHDR_W-1:0] xb_to_ep0_tdata ;
+ wire xb_to_ep0_tlast ;
+ wire xb_to_ep0_tvalid;
+ wire xb_to_ep0_tready;
+ wire [CHDR_W-1:0] ep0_to_xb_tdata ;
+ wire ep0_to_xb_tlast ;
+ wire ep0_to_xb_tvalid;
+ wire ep0_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep1_tdata ;
+ wire xb_to_ep1_tlast ;
+ wire xb_to_ep1_tvalid;
+ wire xb_to_ep1_tready;
+ wire [CHDR_W-1:0] ep1_to_xb_tdata ;
+ wire ep1_to_xb_tlast ;
+ wire ep1_to_xb_tvalid;
+ wire ep1_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep2_tdata ;
+ wire xb_to_ep2_tlast ;
+ wire xb_to_ep2_tvalid;
+ wire xb_to_ep2_tready;
+ wire [CHDR_W-1:0] ep2_to_xb_tdata ;
+ wire ep2_to_xb_tlast ;
+ wire ep2_to_xb_tvalid;
+ wire ep2_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep3_tdata ;
+ wire xb_to_ep3_tlast ;
+ wire xb_to_ep3_tvalid;
+ wire xb_to_ep3_tready;
+ wire [CHDR_W-1:0] ep3_to_xb_tdata ;
+ wire ep3_to_xb_tlast ;
+ wire ep3_to_xb_tvalid;
+ wire ep3_to_xb_tready;
+
+ chdr_crossbar_nxn #(
+ .CHDR_W (CHDR_W),
+ .NPORTS (10),
+ .DEFAULT_PORT (0),
+ .MTU (MTU),
+ .ROUTE_TBL_SIZE (6),
+ .MUX_ALLOC ("ROUND-ROBIN"),
+ .OPTIMIZE ("AREA"),
+ .NPORTS_MGMT (6),
+ .EXT_RTCFG_PORT (0),
+ .PROTOVER (PROTOVER)
+ ) chdr_xb_i (
+ .clk (rfnoc_chdr_clk),
+ .reset (rfnoc_chdr_rst),
+ .device_id (device_id),
+ .s_axis_tdata ({ep3_to_xb_tdata , ep2_to_xb_tdata , ep1_to_xb_tdata , ep0_to_xb_tdata , s_dma_tdata , s_eth4_tdata , s_eth3_tdata , s_eth2_tdata , s_eth1_tdata , s_eth0_tdata }),
+ .s_axis_tlast ({ep3_to_xb_tlast , ep2_to_xb_tlast , ep1_to_xb_tlast , ep0_to_xb_tlast , s_dma_tlast , s_eth4_tlast , s_eth3_tlast , s_eth2_tlast , s_eth1_tlast , s_eth0_tlast }),
+ .s_axis_tvalid ({ep3_to_xb_tvalid, ep2_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth4_tvalid, s_eth3_tvalid, s_eth2_tvalid, s_eth1_tvalid, s_eth0_tvalid}),
+ .s_axis_tready ({ep3_to_xb_tready, ep2_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth4_tready, s_eth3_tready, s_eth2_tready, s_eth1_tready, s_eth0_tready}),
+ .m_axis_tdata ({xb_to_ep3_tdata , xb_to_ep2_tdata , xb_to_ep1_tdata , xb_to_ep0_tdata , m_dma_tdata , m_eth4_tdata , m_eth3_tdata , m_eth2_tdata , m_eth1_tdata , m_eth0_tdata }),
+ .m_axis_tlast ({xb_to_ep3_tlast , xb_to_ep2_tlast , xb_to_ep1_tlast , xb_to_ep0_tlast , m_dma_tlast , m_eth4_tlast , m_eth3_tlast , m_eth2_tlast , m_eth1_tlast , m_eth0_tlast }),
+ .m_axis_tvalid ({xb_to_ep3_tvalid, xb_to_ep2_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth4_tvalid, m_eth3_tvalid, m_eth2_tvalid, m_eth1_tvalid, m_eth0_tvalid}),
+ .m_axis_tready ({xb_to_ep3_tready, xb_to_ep2_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth4_tready, m_eth3_tready, m_eth2_tready, m_eth1_tready, m_eth0_tready}),
+ .ext_rtcfg_stb (1'h0),
+ .ext_rtcfg_addr (16'h0),
+ .ext_rtcfg_data (32'h0),
+ .ext_rtcfg_ack ()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Stream Endpoints
+ //---------------------------------------------------------------------------
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP0 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP0 =
+ REQ_BUFF_SIZE_EP0 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP0 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP0);
+
+ wire [CHDR_W-1:0] m_ep0_out0_tdata;
+ wire m_ep0_out0_tlast;
+ wire m_ep0_out0_tvalid;
+ wire m_ep0_out0_tready;
+ wire [CHDR_W-1:0] s_ep0_in0_tdata;
+ wire s_ep0_in0_tlast;
+ wire s_ep0_in0_tvalid;
+ wire s_ep0_in0_tready;
+ wire [ 31:0] m_ep0_ctrl_tdata, s_ep0_ctrl_tdata;
+ wire m_ep0_ctrl_tlast, s_ep0_ctrl_tlast;
+ wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid;
+ wire m_ep0_ctrl_tready, s_ep0_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (1),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (0),
+ .CTRL_XBAR_PORT (1),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP0),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep0_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep0_tdata),
+ .s_axis_chdr_tlast (xb_to_ep0_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep0_tvalid),
+ .s_axis_chdr_tready (xb_to_ep0_tready),
+ .m_axis_chdr_tdata (ep0_to_xb_tdata),
+ .m_axis_chdr_tlast (ep0_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep0_to_xb_tvalid),
+ .m_axis_chdr_tready (ep0_to_xb_tready),
+ .s_axis_data_tdata ({s_ep0_in0_tdata}),
+ .s_axis_data_tlast ({s_ep0_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep0_in0_tvalid}),
+ .s_axis_data_tready ({s_ep0_in0_tready}),
+ .m_axis_data_tdata ({m_ep0_out0_tdata}),
+ .m_axis_data_tlast ({m_ep0_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep0_out0_tvalid}),
+ .m_axis_data_tready ({m_ep0_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep0_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep0_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep0_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep0_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep0_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep0_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP1 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP1 =
+ REQ_BUFF_SIZE_EP1 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP1 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP1);
+
+ wire [CHDR_W-1:0] m_ep1_out0_tdata;
+ wire m_ep1_out0_tlast;
+ wire m_ep1_out0_tvalid;
+ wire m_ep1_out0_tready;
+ wire [CHDR_W-1:0] s_ep1_in0_tdata;
+ wire s_ep1_in0_tlast;
+ wire s_ep1_in0_tvalid;
+ wire s_ep1_in0_tready;
+ wire [ 31:0] m_ep1_ctrl_tdata, s_ep1_ctrl_tdata;
+ wire m_ep1_ctrl_tlast, s_ep1_ctrl_tlast;
+ wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid;
+ wire m_ep1_ctrl_tready, s_ep1_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (1),
+ .CTRL_XBAR_PORT (2),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP1),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep1_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep1_tdata),
+ .s_axis_chdr_tlast (xb_to_ep1_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep1_tvalid),
+ .s_axis_chdr_tready (xb_to_ep1_tready),
+ .m_axis_chdr_tdata (ep1_to_xb_tdata),
+ .m_axis_chdr_tlast (ep1_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep1_to_xb_tvalid),
+ .m_axis_chdr_tready (ep1_to_xb_tready),
+ .s_axis_data_tdata ({s_ep1_in0_tdata}),
+ .s_axis_data_tlast ({s_ep1_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep1_in0_tvalid}),
+ .s_axis_data_tready ({s_ep1_in0_tready}),
+ .m_axis_data_tdata ({m_ep1_out0_tdata}),
+ .m_axis_data_tlast ({m_ep1_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep1_out0_tvalid}),
+ .m_axis_data_tready ({m_ep1_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep1_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep1_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep1_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep1_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep1_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep1_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP2 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP2 =
+ REQ_BUFF_SIZE_EP2 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP2 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP2);
+
+ wire [CHDR_W-1:0] m_ep2_out0_tdata;
+ wire m_ep2_out0_tlast;
+ wire m_ep2_out0_tvalid;
+ wire m_ep2_out0_tready;
+ wire [CHDR_W-1:0] s_ep2_in0_tdata;
+ wire s_ep2_in0_tlast;
+ wire s_ep2_in0_tvalid;
+ wire s_ep2_in0_tready;
+ wire [ 31:0] m_ep2_ctrl_tdata, s_ep2_ctrl_tdata;
+ wire m_ep2_ctrl_tlast, s_ep2_ctrl_tlast;
+ wire m_ep2_ctrl_tvalid, s_ep2_ctrl_tvalid;
+ wire m_ep2_ctrl_tready, s_ep2_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (2),
+ .CTRL_XBAR_PORT (3),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP2),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep2_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep2_tdata),
+ .s_axis_chdr_tlast (xb_to_ep2_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep2_tvalid),
+ .s_axis_chdr_tready (xb_to_ep2_tready),
+ .m_axis_chdr_tdata (ep2_to_xb_tdata),
+ .m_axis_chdr_tlast (ep2_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep2_to_xb_tvalid),
+ .m_axis_chdr_tready (ep2_to_xb_tready),
+ .s_axis_data_tdata ({s_ep2_in0_tdata}),
+ .s_axis_data_tlast ({s_ep2_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep2_in0_tvalid}),
+ .s_axis_data_tready ({s_ep2_in0_tready}),
+ .m_axis_data_tdata ({m_ep2_out0_tdata}),
+ .m_axis_data_tlast ({m_ep2_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep2_out0_tvalid}),
+ .m_axis_data_tready ({m_ep2_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep2_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep2_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep2_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep2_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep2_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep2_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep2_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep2_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP3 = (262144)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP3 =
+ REQ_BUFF_SIZE_EP3 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP3 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP3);
+
+ wire [CHDR_W-1:0] m_ep3_out0_tdata;
+ wire m_ep3_out0_tlast;
+ wire m_ep3_out0_tvalid;
+ wire m_ep3_out0_tready;
+ wire [CHDR_W-1:0] s_ep3_in0_tdata;
+ wire s_ep3_in0_tlast;
+ wire s_ep3_in0_tvalid;
+ wire s_ep3_in0_tready;
+ wire [ 31:0] m_ep3_ctrl_tdata, s_ep3_ctrl_tdata;
+ wire m_ep3_ctrl_tlast, s_ep3_ctrl_tlast;
+ wire m_ep3_ctrl_tvalid, s_ep3_ctrl_tvalid;
+ wire m_ep3_ctrl_tready, s_ep3_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (3),
+ .CTRL_XBAR_PORT (4),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP3),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep3_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep3_tdata),
+ .s_axis_chdr_tlast (xb_to_ep3_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep3_tvalid),
+ .s_axis_chdr_tready (xb_to_ep3_tready),
+ .m_axis_chdr_tdata (ep3_to_xb_tdata),
+ .m_axis_chdr_tlast (ep3_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep3_to_xb_tvalid),
+ .m_axis_chdr_tready (ep3_to_xb_tready),
+ .s_axis_data_tdata ({s_ep3_in0_tdata}),
+ .s_axis_data_tlast ({s_ep3_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep3_in0_tvalid}),
+ .s_axis_data_tready ({s_ep3_in0_tready}),
+ .m_axis_data_tdata ({m_ep3_out0_tdata}),
+ .m_axis_data_tlast ({m_ep3_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep3_out0_tvalid}),
+ .m_axis_data_tready ({m_ep3_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep3_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep3_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep3_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep3_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep3_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep3_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep3_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep3_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Control Crossbar
+ //---------------------------------------------------------------------------
+
+ wire [31:0] m_core_ctrl_tdata, s_core_ctrl_tdata;
+ wire m_core_ctrl_tlast, s_core_ctrl_tlast;
+ wire m_core_ctrl_tvalid, s_core_ctrl_tvalid;
+ wire m_core_ctrl_tready, s_core_ctrl_tready;
+ wire [31:0] m_duc0_ctrl_tdata, s_duc0_ctrl_tdata;
+ wire m_duc0_ctrl_tlast, s_duc0_ctrl_tlast;
+ wire m_duc0_ctrl_tvalid, s_duc0_ctrl_tvalid;
+ wire m_duc0_ctrl_tready, s_duc0_ctrl_tready;
+ wire [31:0] m_ddc0_ctrl_tdata, s_ddc0_ctrl_tdata;
+ wire m_ddc0_ctrl_tlast, s_ddc0_ctrl_tlast;
+ wire m_ddc0_ctrl_tvalid, s_ddc0_ctrl_tvalid;
+ wire m_ddc0_ctrl_tready, s_ddc0_ctrl_tready;
+ wire [31:0] m_radio0_ctrl_tdata, s_radio0_ctrl_tdata;
+ wire m_radio0_ctrl_tlast, s_radio0_ctrl_tlast;
+ wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid;
+ wire m_radio0_ctrl_tready, s_radio0_ctrl_tready;
+ wire [31:0] m_duc1_ctrl_tdata, s_duc1_ctrl_tdata;
+ wire m_duc1_ctrl_tlast, s_duc1_ctrl_tlast;
+ wire m_duc1_ctrl_tvalid, s_duc1_ctrl_tvalid;
+ wire m_duc1_ctrl_tready, s_duc1_ctrl_tready;
+ wire [31:0] m_ddc1_ctrl_tdata, s_ddc1_ctrl_tdata;
+ wire m_ddc1_ctrl_tlast, s_ddc1_ctrl_tlast;
+ wire m_ddc1_ctrl_tvalid, s_ddc1_ctrl_tvalid;
+ wire m_ddc1_ctrl_tready, s_ddc1_ctrl_tready;
+ wire [31:0] m_radio1_ctrl_tdata, s_radio1_ctrl_tdata;
+ wire m_radio1_ctrl_tlast, s_radio1_ctrl_tlast;
+ wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid;
+ wire m_radio1_ctrl_tready, s_radio1_ctrl_tready;
+
+ axis_ctrl_crossbar_nxn #(
+ .WIDTH (32),
+ .NPORTS (8),
+ .TOPOLOGY ("TORUS"),
+ .INGRESS_BUFF_SIZE(5),
+ .ROUTER_BUFF_SIZE (5),
+ .ROUTING_ALLOC ("WORMHOLE"),
+ .SWITCH_ALLOC ("PRIO")
+ ) ctrl_xb_i (
+ .clk (rfnoc_ctrl_clk),
+ .reset (rfnoc_ctrl_rst),
+ .s_axis_tdata ({m_radio1_ctrl_tdata , m_ddc1_ctrl_tdata , m_duc1_ctrl_tdata , m_radio0_ctrl_tdata , m_ddc0_ctrl_tdata , m_duc0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }),
+ .s_axis_tvalid ({m_radio1_ctrl_tvalid, m_ddc1_ctrl_tvalid, m_duc1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ddc0_ctrl_tvalid, m_duc0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}),
+ .s_axis_tlast ({m_radio1_ctrl_tlast , m_ddc1_ctrl_tlast , m_duc1_ctrl_tlast , m_radio0_ctrl_tlast , m_ddc0_ctrl_tlast , m_duc0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }),
+ .s_axis_tready ({m_radio1_ctrl_tready, m_ddc1_ctrl_tready, m_duc1_ctrl_tready, m_radio0_ctrl_tready, m_ddc0_ctrl_tready, m_duc0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}),
+ .m_axis_tdata ({s_radio1_ctrl_tdata , s_ddc1_ctrl_tdata , s_duc1_ctrl_tdata , s_radio0_ctrl_tdata , s_ddc0_ctrl_tdata , s_duc0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }),
+ .m_axis_tvalid ({s_radio1_ctrl_tvalid, s_ddc1_ctrl_tvalid, s_duc1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ddc0_ctrl_tvalid, s_duc0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}),
+ .m_axis_tlast ({s_radio1_ctrl_tlast , s_ddc1_ctrl_tlast , s_duc1_ctrl_tlast , s_radio0_ctrl_tlast , s_ddc0_ctrl_tlast , s_duc0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }),
+ .m_axis_tready ({s_radio1_ctrl_tready, s_ddc1_ctrl_tready, s_duc1_ctrl_tready, s_radio0_ctrl_tready, s_ddc0_ctrl_tready, s_duc0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}),
+ .deadlock_detected()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // RFNoC Core Kernel
+ //---------------------------------------------------------------------------
+
+ wire [(512*6)-1:0] rfnoc_core_config, rfnoc_core_status;
+
+ rfnoc_core_kernel #(
+ .PROTOVER (PROTOVER),
+ .DEVICE_TYPE (16'hA400),
+ .DEVICE_FAMILY ("ULTRASCALE"),
+ .SAFE_START_CLKS (0),
+ .NUM_BLOCKS (6),
+ .NUM_STREAM_ENDPOINTS(4),
+ .NUM_ENDPOINTS_CTRL (1),
+ .NUM_TRANSPORTS (6),
+ .NUM_EDGES (16),
+ .CHDR_XBAR_PRESENT (1),
+ .EDGE_TBL_FILE (EDGE_TBL_FILE)
+ ) core_kernel_i (
+ .chdr_aclk (chdr_aclk),
+ .chdr_aclk_locked (1'b1),
+ .ctrl_aclk (ctrl_aclk),
+ .ctrl_aclk_locked (1'b1),
+ .core_arst (core_arst),
+ .core_chdr_clk (rfnoc_chdr_clk),
+ .core_chdr_rst (rfnoc_chdr_rst),
+ .core_ctrl_clk (rfnoc_ctrl_clk),
+ .core_ctrl_rst (rfnoc_ctrl_rst),
+ .s_axis_ctrl_tdata (s_core_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_core_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_core_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_core_ctrl_tready),
+ .m_axis_ctrl_tdata (m_core_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_core_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_core_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_core_ctrl_tready),
+ .device_id (device_id),
+ .rfnoc_core_config (rfnoc_core_config),
+ .rfnoc_core_status (rfnoc_core_status)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Blocks
+ //---------------------------------------------------------------------------
+
+ //-----------------------------------
+ // duc0
+ //-----------------------------------
+
+ wire duc0_ce_clk;
+ wire [CHDR_W-1:0] s_duc0_in_1_tdata , s_duc0_in_0_tdata ;
+ wire s_duc0_in_1_tlast , s_duc0_in_0_tlast ;
+ wire s_duc0_in_1_tvalid, s_duc0_in_0_tvalid;
+ wire s_duc0_in_1_tready, s_duc0_in_0_tready;
+ wire [CHDR_W-1:0] m_duc0_out_1_tdata , m_duc0_out_0_tdata ;
+ wire m_duc0_out_1_tlast , m_duc0_out_0_tlast ;
+ wire m_duc0_out_1_tvalid, m_duc0_out_0_tvalid;
+ wire m_duc0_out_1_tready, m_duc0_out_0_tready;
+
+ rfnoc_block_duc #(
+ .THIS_PORTID (2),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_INTERP (255),
+ .MTU (MTU)
+ ) b_duc0_0 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (duc0_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]),
+ .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]),
+ .s_rfnoc_chdr_tdata ({s_duc0_in_1_tdata , s_duc0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_duc0_in_1_tlast , s_duc0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_duc0_in_1_tvalid, s_duc0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_duc0_in_1_tready, s_duc0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_duc0_out_1_tdata , m_duc0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_duc0_out_1_tlast , m_duc0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_duc0_out_1_tvalid, m_duc0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_duc0_out_1_tready, m_duc0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_duc0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_duc0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_duc0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_duc0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_duc0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_duc0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_duc0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_duc0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // ddc0
+ //-----------------------------------
+
+ wire ddc0_ce_clk;
+ wire [CHDR_W-1:0] s_ddc0_in_1_tdata , s_ddc0_in_0_tdata ;
+ wire s_ddc0_in_1_tlast , s_ddc0_in_0_tlast ;
+ wire s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid;
+ wire s_ddc0_in_1_tready, s_ddc0_in_0_tready;
+ wire [CHDR_W-1:0] m_ddc0_out_1_tdata , m_ddc0_out_0_tdata ;
+ wire m_ddc0_out_1_tlast , m_ddc0_out_0_tlast ;
+ wire m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid;
+ wire m_ddc0_out_1_tready, m_ddc0_out_0_tready;
+
+ rfnoc_block_ddc #(
+ .THIS_PORTID (3),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_DECIM (255),
+ .MTU (MTU)
+ ) b_ddc0_1 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (ddc0_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]),
+ .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]),
+ .s_rfnoc_chdr_tdata ({s_ddc0_in_1_tdata , s_ddc0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_ddc0_in_1_tlast , s_ddc0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_ddc0_in_1_tvalid, s_ddc0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_ddc0_in_1_tready, s_ddc0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_ddc0_out_1_tdata , m_ddc0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_ddc0_out_1_tlast , m_ddc0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_ddc0_out_1_tvalid, m_ddc0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_ddc0_out_1_tready, m_ddc0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_ddc0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_ddc0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_ddc0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_ddc0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_ddc0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_ddc0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_ddc0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_ddc0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // radio0
+ //-----------------------------------
+
+ wire radio0_radio_clk;
+ wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ;
+ wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ;
+ wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid;
+ wire s_radio0_in_1_tready, s_radio0_in_0_tready;
+ wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ;
+ wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ;
+ wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid;
+ wire m_radio0_out_1_tready, m_radio0_out_0_tready;
+
+ // ctrlport
+ wire [ 0:0] radio0_m_ctrlport_req_wr;
+ wire [ 0:0] radio0_m_ctrlport_req_rd;
+ wire [ 19:0] radio0_m_ctrlport_req_addr;
+ wire [ 31:0] radio0_m_ctrlport_req_data;
+ wire [ 3:0] radio0_m_ctrlport_req_byte_en;
+ wire [ 0:0] radio0_m_ctrlport_req_has_time;
+ wire [ 63:0] radio0_m_ctrlport_req_time;
+ wire [ 0:0] radio0_m_ctrlport_resp_ack;
+ wire [ 1:0] radio0_m_ctrlport_resp_status;
+ wire [ 31:0] radio0_m_ctrlport_resp_data;
+ // time
+ wire [ 63:0] radio0_radio_time;
+ // radio
+ wire [ 255:0] radio0_radio_rx_data;
+ wire [ 7:0] radio0_radio_rx_stb;
+ wire [ 7:0] radio0_radio_rx_running;
+ wire [ 255:0] radio0_radio_tx_data;
+ wire [ 7:0] radio0_radio_tx_stb;
+ wire [ 7:0] radio0_radio_tx_running;
+
+ rfnoc_block_radio #(
+ .THIS_PORTID (4),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NIPC (RADIO_NIPC),
+ .ITEM_W (32),
+ .MTU (MTU)
+ ) b_radio0_2 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .radio_clk (radio0_radio_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*3-1:512*2]),
+ .rfnoc_core_status (rfnoc_core_status[512*3-1:512*2]),
+ .m_ctrlport_req_wr (radio0_m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (radio0_m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (radio0_m_ctrlport_req_addr),
+ .m_ctrlport_req_data (radio0_m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en),
+ .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time),
+ .m_ctrlport_req_time (radio0_m_ctrlport_req_time),
+ .m_ctrlport_resp_ack (radio0_m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status),
+ .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data),
+ .radio_time (radio0_radio_time),
+ .radio_rx_data (radio0_radio_rx_data),
+ .radio_rx_stb (radio0_radio_rx_stb),
+ .radio_rx_running (radio0_radio_rx_running),
+ .radio_tx_data (radio0_radio_tx_data),
+ .radio_tx_stb (radio0_radio_tx_stb),
+ .radio_tx_running (radio0_radio_tx_running),
+ .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_radio0_in_1_tready, s_radio0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_radio0_out_1_tready, m_radio0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_radio0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_radio0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_radio0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_radio0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // duc1
+ //-----------------------------------
+
+ wire duc1_ce_clk;
+ wire [CHDR_W-1:0] s_duc1_in_1_tdata , s_duc1_in_0_tdata ;
+ wire s_duc1_in_1_tlast , s_duc1_in_0_tlast ;
+ wire s_duc1_in_1_tvalid, s_duc1_in_0_tvalid;
+ wire s_duc1_in_1_tready, s_duc1_in_0_tready;
+ wire [CHDR_W-1:0] m_duc1_out_1_tdata , m_duc1_out_0_tdata ;
+ wire m_duc1_out_1_tlast , m_duc1_out_0_tlast ;
+ wire m_duc1_out_1_tvalid, m_duc1_out_0_tvalid;
+ wire m_duc1_out_1_tready, m_duc1_out_0_tready;
+
+ rfnoc_block_duc #(
+ .THIS_PORTID (5),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_INTERP (255),
+ .MTU (MTU)
+ ) b_duc1_3 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (duc1_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*4-1:512*3]),
+ .rfnoc_core_status (rfnoc_core_status[512*4-1:512*3]),
+ .s_rfnoc_chdr_tdata ({s_duc1_in_1_tdata , s_duc1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_duc1_in_1_tlast , s_duc1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_duc1_in_1_tvalid, s_duc1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_duc1_in_1_tready, s_duc1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_duc1_out_1_tdata , m_duc1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_duc1_out_1_tlast , m_duc1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_duc1_out_1_tvalid, m_duc1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_duc1_out_1_tready, m_duc1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_duc1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_duc1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_duc1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_duc1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_duc1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_duc1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_duc1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_duc1_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // ddc1
+ //-----------------------------------
+
+ wire ddc1_ce_clk;
+ wire [CHDR_W-1:0] s_ddc1_in_1_tdata , s_ddc1_in_0_tdata ;
+ wire s_ddc1_in_1_tlast , s_ddc1_in_0_tlast ;
+ wire s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid;
+ wire s_ddc1_in_1_tready, s_ddc1_in_0_tready;
+ wire [CHDR_W-1:0] m_ddc1_out_1_tdata , m_ddc1_out_0_tdata ;
+ wire m_ddc1_out_1_tlast , m_ddc1_out_0_tlast ;
+ wire m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid;
+ wire m_ddc1_out_1_tready, m_ddc1_out_0_tready;
+
+ rfnoc_block_ddc #(
+ .THIS_PORTID (6),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NUM_HB (3),
+ .CIC_MAX_DECIM (255),
+ .MTU (MTU)
+ ) b_ddc1_4 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .ce_clk (ddc1_ce_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*5-1:512*4]),
+ .rfnoc_core_status (rfnoc_core_status[512*5-1:512*4]),
+ .s_rfnoc_chdr_tdata ({s_ddc1_in_1_tdata , s_ddc1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_ddc1_in_1_tlast , s_ddc1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_ddc1_in_1_tvalid, s_ddc1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_ddc1_in_1_tready, s_ddc1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_ddc1_out_1_tdata , m_ddc1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_ddc1_out_1_tlast , m_ddc1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_ddc1_out_1_tvalid, m_ddc1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_ddc1_out_1_tready, m_ddc1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_ddc1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_ddc1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_ddc1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_ddc1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_ddc1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_ddc1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_ddc1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_ddc1_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // radio1
+ //-----------------------------------
+
+ wire radio1_radio_clk;
+ wire [CHDR_W-1:0] s_radio1_in_1_tdata , s_radio1_in_0_tdata ;
+ wire s_radio1_in_1_tlast , s_radio1_in_0_tlast ;
+ wire s_radio1_in_1_tvalid, s_radio1_in_0_tvalid;
+ wire s_radio1_in_1_tready, s_radio1_in_0_tready;
+ wire [CHDR_W-1:0] m_radio1_out_1_tdata , m_radio1_out_0_tdata ;
+ wire m_radio1_out_1_tlast , m_radio1_out_0_tlast ;
+ wire m_radio1_out_1_tvalid, m_radio1_out_0_tvalid;
+ wire m_radio1_out_1_tready, m_radio1_out_0_tready;
+
+ // ctrlport
+ wire [ 0:0] radio1_m_ctrlport_req_wr;
+ wire [ 0:0] radio1_m_ctrlport_req_rd;
+ wire [ 19:0] radio1_m_ctrlport_req_addr;
+ wire [ 31:0] radio1_m_ctrlport_req_data;
+ wire [ 3:0] radio1_m_ctrlport_req_byte_en;
+ wire [ 0:0] radio1_m_ctrlport_req_has_time;
+ wire [ 63:0] radio1_m_ctrlport_req_time;
+ wire [ 0:0] radio1_m_ctrlport_resp_ack;
+ wire [ 1:0] radio1_m_ctrlport_resp_status;
+ wire [ 31:0] radio1_m_ctrlport_resp_data;
+ // time
+ wire [ 63:0] radio1_radio_time;
+ // radio
+ wire [ 255:0] radio1_radio_rx_data;
+ wire [ 7:0] radio1_radio_rx_stb;
+ wire [ 7:0] radio1_radio_rx_running;
+ wire [ 255:0] radio1_radio_tx_data;
+ wire [ 7:0] radio1_radio_tx_stb;
+ wire [ 7:0] radio1_radio_tx_running;
+
+ rfnoc_block_radio #(
+ .THIS_PORTID (7),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NIPC (RADIO_NIPC),
+ .ITEM_W (32),
+ .MTU (MTU)
+ ) b_radio1_5 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .radio_clk (radio1_radio_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*6-1:512*5]),
+ .rfnoc_core_status (rfnoc_core_status[512*6-1:512*5]),
+ .m_ctrlport_req_wr (radio1_m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (radio1_m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (radio1_m_ctrlport_req_addr),
+ .m_ctrlport_req_data (radio1_m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en),
+ .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time),
+ .m_ctrlport_req_time (radio1_m_ctrlport_req_time),
+ .m_ctrlport_resp_ack (radio1_m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status),
+ .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data),
+ .radio_time (radio1_radio_time),
+ .radio_rx_data (radio1_radio_rx_data),
+ .radio_rx_stb (radio1_radio_rx_stb),
+ .radio_rx_running (radio1_radio_rx_running),
+ .radio_tx_data (radio1_radio_tx_data),
+ .radio_tx_stb (radio1_radio_tx_stb),
+ .radio_tx_running (radio1_radio_tx_running),
+ .s_rfnoc_chdr_tdata ({s_radio1_in_1_tdata , s_radio1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_radio1_in_1_tlast , s_radio1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_radio1_in_1_tvalid, s_radio1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_radio1_in_1_tready, s_radio1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_radio1_out_1_tdata , m_radio1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_radio1_out_1_tlast , m_radio1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_radio1_out_1_tvalid, m_radio1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_radio1_out_1_tready, m_radio1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_radio1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_radio1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_radio1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_radio1_ctrl_tready)
+ );
+
+ //---------------------------------------------------------------------------
+ // Static Router
+ //---------------------------------------------------------------------------
+
+ assign s_duc0_in_0_tdata = m_ep0_out0_tdata;
+ assign s_duc0_in_0_tlast = m_ep0_out0_tlast;
+ assign s_duc0_in_0_tvalid = m_ep0_out0_tvalid;
+ assign m_ep0_out0_tready = s_duc0_in_0_tready;
+
+ assign s_radio0_in_0_tdata = m_duc0_out_0_tdata;
+ assign s_radio0_in_0_tlast = m_duc0_out_0_tlast;
+ assign s_radio0_in_0_tvalid = m_duc0_out_0_tvalid;
+ assign m_duc0_out_0_tready = s_radio0_in_0_tready;
+
+ assign s_ddc0_in_0_tdata = m_radio0_out_0_tdata;
+ assign s_ddc0_in_0_tlast = m_radio0_out_0_tlast;
+ assign s_ddc0_in_0_tvalid = m_radio0_out_0_tvalid;
+ assign m_radio0_out_0_tready = s_ddc0_in_0_tready;
+
+ assign s_ep0_in0_tdata = m_ddc0_out_0_tdata;
+ assign s_ep0_in0_tlast = m_ddc0_out_0_tlast;
+ assign s_ep0_in0_tvalid = m_ddc0_out_0_tvalid;
+ assign m_ddc0_out_0_tready = s_ep0_in0_tready;
+
+ assign s_duc0_in_1_tdata = m_ep1_out0_tdata;
+ assign s_duc0_in_1_tlast = m_ep1_out0_tlast;
+ assign s_duc0_in_1_tvalid = m_ep1_out0_tvalid;
+ assign m_ep1_out0_tready = s_duc0_in_1_tready;
+
+ assign s_radio0_in_1_tdata = m_duc0_out_1_tdata;
+ assign s_radio0_in_1_tlast = m_duc0_out_1_tlast;
+ assign s_radio0_in_1_tvalid = m_duc0_out_1_tvalid;
+ assign m_duc0_out_1_tready = s_radio0_in_1_tready;
+
+ assign s_ddc0_in_1_tdata = m_radio0_out_1_tdata;
+ assign s_ddc0_in_1_tlast = m_radio0_out_1_tlast;
+ assign s_ddc0_in_1_tvalid = m_radio0_out_1_tvalid;
+ assign m_radio0_out_1_tready = s_ddc0_in_1_tready;
+
+ assign s_ep1_in0_tdata = m_ddc0_out_1_tdata;
+ assign s_ep1_in0_tlast = m_ddc0_out_1_tlast;
+ assign s_ep1_in0_tvalid = m_ddc0_out_1_tvalid;
+ assign m_ddc0_out_1_tready = s_ep1_in0_tready;
+
+ assign s_duc1_in_0_tdata = m_ep2_out0_tdata;
+ assign s_duc1_in_0_tlast = m_ep2_out0_tlast;
+ assign s_duc1_in_0_tvalid = m_ep2_out0_tvalid;
+ assign m_ep2_out0_tready = s_duc1_in_0_tready;
+
+ assign s_radio1_in_0_tdata = m_duc1_out_0_tdata;
+ assign s_radio1_in_0_tlast = m_duc1_out_0_tlast;
+ assign s_radio1_in_0_tvalid = m_duc1_out_0_tvalid;
+ assign m_duc1_out_0_tready = s_radio1_in_0_tready;
+
+ assign s_ddc1_in_0_tdata = m_radio1_out_0_tdata;
+ assign s_ddc1_in_0_tlast = m_radio1_out_0_tlast;
+ assign s_ddc1_in_0_tvalid = m_radio1_out_0_tvalid;
+ assign m_radio1_out_0_tready = s_ddc1_in_0_tready;
+
+ assign s_ep2_in0_tdata = m_ddc1_out_0_tdata;
+ assign s_ep2_in0_tlast = m_ddc1_out_0_tlast;
+ assign s_ep2_in0_tvalid = m_ddc1_out_0_tvalid;
+ assign m_ddc1_out_0_tready = s_ep2_in0_tready;
+
+ assign s_duc1_in_1_tdata = m_ep3_out0_tdata;
+ assign s_duc1_in_1_tlast = m_ep3_out0_tlast;
+ assign s_duc1_in_1_tvalid = m_ep3_out0_tvalid;
+ assign m_ep3_out0_tready = s_duc1_in_1_tready;
+
+ assign s_radio1_in_1_tdata = m_duc1_out_1_tdata;
+ assign s_radio1_in_1_tlast = m_duc1_out_1_tlast;
+ assign s_radio1_in_1_tvalid = m_duc1_out_1_tvalid;
+ assign m_duc1_out_1_tready = s_radio1_in_1_tready;
+
+ assign s_ddc1_in_1_tdata = m_radio1_out_1_tdata;
+ assign s_ddc1_in_1_tlast = m_radio1_out_1_tlast;
+ assign s_ddc1_in_1_tvalid = m_radio1_out_1_tvalid;
+ assign m_radio1_out_1_tready = s_ddc1_in_1_tready;
+
+ assign s_ep3_in0_tdata = m_ddc1_out_1_tdata;
+ assign s_ep3_in0_tlast = m_ddc1_out_1_tlast;
+ assign s_ep3_in0_tvalid = m_ddc1_out_1_tvalid;
+ assign m_ddc1_out_1_tready = s_ep3_in0_tready;
+
+
+ //---------------------------------------------------------------------------
+ // Unused Ports
+ //---------------------------------------------------------------------------
+
+
+
+ //---------------------------------------------------------------------------
+ // Clock Domains
+ //---------------------------------------------------------------------------
+
+ assign radio0_radio_clk = radio_clk;
+ assign duc0_ce_clk = radio_2x_clk;
+ assign ddc0_ce_clk = radio_2x_clk;
+ assign radio1_radio_clk = radio_clk;
+ assign duc1_ce_clk = radio_2x_clk;
+ assign ddc1_ce_clk = radio_2x_clk;
+
+
+ //---------------------------------------------------------------------------
+ // IO Port Connection
+ //---------------------------------------------------------------------------
+
+ // Master/Slave Connections:
+ assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr;
+ assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd;
+ assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr;
+ assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data;
+ assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en;
+ assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time;
+ assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time;
+ assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack;
+ assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status;
+ assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data;
+
+ assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr;
+ assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd;
+ assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr;
+ assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data;
+ assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en;
+ assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time;
+ assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time;
+ assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack;
+ assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status;
+ assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data;
+
+ assign radio0_radio_rx_data = radio_rx_data_radio0;
+ assign radio0_radio_rx_stb = radio_rx_stb_radio0;
+ assign radio_rx_running_radio0 = radio0_radio_rx_running;
+ assign radio_tx_data_radio0 = radio0_radio_tx_data;
+ assign radio0_radio_tx_stb = radio_tx_stb_radio0;
+ assign radio_tx_running_radio0 = radio0_radio_tx_running;
+
+ assign radio1_radio_rx_data = radio_rx_data_radio1;
+ assign radio1_radio_rx_stb = radio_rx_stb_radio1;
+ assign radio_rx_running_radio1 = radio1_radio_rx_running;
+ assign radio_tx_data_radio1 = radio1_radio_tx_data;
+ assign radio1_radio_tx_stb = radio_tx_stb_radio1;
+ assign radio_tx_running_radio1 = radio1_radio_tx_running;
+
+ // Broadcaster/Listener Connections:
+ assign radio0_radio_time = radio_time;
+
+ assign radio1_radio_time = radio_time;
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.vh b/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.vh
new file mode 100644
index 000000000..3ba2707d4
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.vh
@@ -0,0 +1,21 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Header: rfnoc_image_core.vh (for x410)
+//
+// Description:
+//
+// This is the header file for the RFNoC Image Core.
+//
+// This file was automatically generated by the RFNoC image builder tool.
+// Re-running that tool will overwrite this file!
+//
+// File generated on: 2021-05-03T08:46:07.744077
+// Source: x410_200_rfnoc_image_core.yml
+// Source SHA256: e841d2a58556840a822f9398b8888cc871bf0473c364bcdcb0f46ffce88224c9
+//
+
+`define CHDR_WIDTH 64
+`define RFNOC_PROTOVER { 8'd1, 8'd0 }
diff --git a/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.yml b/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.yml
new file mode 100644
index 000000000..abbbfa6b0
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_200_rfnoc_image_core.yml
@@ -0,0 +1,117 @@
+# General parameters
+# -----------------------------------------
+schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file
+copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers
+license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers
+version: '1.0' # File version
+rfnoc_version: '1.0' # RFNoC protocol version
+chdr_width: 64 # Bit width of the CHDR bus for this image
+device: 'x410' # USRP type
+image_core_name: 'x410_200' # Name to use for the RFNoC Image Core files
+default_target: 'X410_X4_200' # Default make target
+
+# A list of all stream endpoints in design
+# ----------------------------------------
+stream_endpoints:
+ ep0: # Stream endpoint name
+ ctrl: True # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+ ep1: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+ ep2: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+ ep3: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 262144 # Ingress buffer size for data
+
+# A list of all NoC blocks in design
+# ----------------------------------
+noc_blocks:
+ duc0: # NoC block name
+ block_desc: 'duc.yml' # Block device descriptor file
+ parameters:
+ NUM_PORTS: 2
+ ddc0:
+ block_desc: 'ddc.yml'
+ parameters:
+ NUM_PORTS: 2
+ radio0:
+ block_desc: 'radio.yml'
+ parameters:
+ NUM_PORTS: 2
+ NIPC: RADIO_NIPC
+ duc1:
+ block_desc: 'duc.yml'
+ parameters:
+ NUM_PORTS: 2
+ ddc1:
+ block_desc: 'ddc.yml'
+ parameters:
+ NUM_PORTS: 2
+ radio1:
+ block_desc: 'radio.yml'
+ parameters:
+ NUM_PORTS: 2
+ NIPC: RADIO_NIPC
+
+# A list of all static connections in design
+# ------------------------------------------
+# Format: A list of connection maps (list of key-value pairs) with the following keys
+# - srcblk = Source block to connect
+# - srcport = Port on the source block to connect
+# - dstblk = Destination block to connect
+# - dstport = Port on the destination block to connect
+connections:
+ # ep0 to radio0(0) - RFA:0 TX
+ - { srcblk: ep0, srcport: out0, dstblk: duc0, dstport: in_0 }
+ - { srcblk: duc0, srcport: out_0, dstblk: radio0, dstport: in_0 }
+ # radio0(0) to ep0 - RFA:0 RX
+ - { srcblk: radio0, srcport: out_0, dstblk: ddc0, dstport: in_0 }
+ - { srcblk: ddc0, srcport: out_0, dstblk: ep0, dstport: in0 }
+ # ep1 to radio0(1) - RFA:1 TX
+ - { srcblk: ep1, srcport: out0, dstblk: duc0, dstport: in_1 }
+ - { srcblk: duc0, srcport: out_1, dstblk: radio0, dstport: in_1 }
+ # radio0(1) to ep1 - RFA:1 RX
+ - { srcblk: radio0, srcport: out_1, dstblk: ddc0, dstport: in_1 }
+ - { srcblk: ddc0, srcport: out_1, dstblk: ep1, dstport: in0 }
+ #
+ # ep2 to radio1(0) - RFB:0 TX
+ - { srcblk: ep2, srcport: out0, dstblk: duc1, dstport: in_0 }
+ - { srcblk: duc1, srcport: out_0, dstblk: radio1, dstport: in_0 }
+ # radio1(0) to ep2 - RFB:0 RX
+ - { srcblk: radio1, srcport: out_0, dstblk: ddc1, dstport: in_0 }
+ - { srcblk: ddc1, srcport: out_0, dstblk: ep2, dstport: in0 }
+ # ep3 to radio1(1) - RFB:1 TX
+ - { srcblk: ep3, srcport: out0, dstblk: duc1, dstport: in_1 }
+ - { srcblk: duc1, srcport: out_1, dstblk: radio1, dstport: in_1 }
+ # radio1(1) to ep3 - RFB:1 RX
+ - { srcblk: radio1, srcport: out_1, dstblk: ddc1, dstport: in_1 }
+ - { srcblk: ddc1, srcport: out_1, dstblk: ep3, dstport: in0 }
+ # BSP Connections
+ - { srcblk: radio0, srcport: ctrlport, dstblk: _device_, dstport: ctrlport_radio0 }
+ - { srcblk: radio1, srcport: ctrlport, dstblk: _device_, dstport: ctrlport_radio1 }
+ - { srcblk: _device_, srcport: radio0, dstblk: radio0, dstport: radio }
+ - { srcblk: _device_, srcport: radio1, dstblk: radio1, dstport: radio }
+ - { srcblk: _device_, srcport: time, dstblk: radio0, dstport: time }
+ - { srcblk: _device_, srcport: time, dstblk: radio1, dstport: time }
+
+# A list of all clock domain connections in design
+# ------------------------------------------
+# Format: A list of connection maps (list of key-value pairs) with the following keys
+# - srcblk = Source block to connect (Always "_device"_)
+# - srcport = Clock domain on the source block to connect
+# - dstblk = Destination block to connect
+# - dstport = Clock domain on the destination block to connect
+clk_domains:
+ - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio }
+ - { srcblk: _device_, srcport: radio_2x, dstblk: duc0, dstport: ce }
+ - { srcblk: _device_, srcport: radio_2x, dstblk: ddc0, dstport: ce }
+ - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio }
+ - { srcblk: _device_, srcport: radio_2x, dstblk: duc1, dstport: ce }
+ - { srcblk: _device_, srcport: radio_2x, dstblk: ddc1, dstport: ce }
diff --git a/fpga/usrp3/top/x400/x410_200_static_router.hex b/fpga/usrp3/top/x400/x410_200_static_router.hex
new file mode 100644
index 000000000..73449b968
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_200_static_router.hex
@@ -0,0 +1,17 @@
+00000010
+00400140
+014001c0
+01c00180
+01800040
+00800141
+014101c1
+01c10181
+01810080
+00c00200
+02000280
+02800240
+024000c0
+01000201
+02010281
+02810241
+02410100
diff --git a/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.v b/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.v
new file mode 100644
index 000000000..e1a7aa1f9
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.v
@@ -0,0 +1,852 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: rfnoc_image_core (for x410)
+//
+// Description:
+//
+// The RFNoC Image Core contains the Verilog description of the RFNoC design
+// to be loaded onto the FPGA.
+//
+// This file was automatically generated by the RFNoC image builder tool.
+// Re-running that tool will overwrite this file!
+//
+// File generated on: 2021-05-03T08:46:11.559326
+// Source: x410_400_rfnoc_image_core.yml
+// Source SHA256: aff421903b98211e4822bd2968fd02c679bf30a215ee65e906d4f9a1d79df71e
+//
+
+`default_nettype none
+
+
+module rfnoc_image_core #(
+ parameter CHDR_W = 512,
+ parameter MTU = 10,
+ parameter [15:0] PROTOVER = {8'd1, 8'd0},
+ parameter RADIO_NIPC = 1
+) (
+ // Clocks
+ input wire chdr_aclk,
+ input wire ctrl_aclk,
+ input wire core_arst,
+ input wire radio_clk,
+ input wire radio_2x_clk,
+ // Basic
+ input wire [ 15:0] device_id,
+
+ // IO ports /////////////////////////
+
+ // ctrlport_radio0
+ output wire [ 0:0] m_ctrlport_radio0_req_wr,
+ output wire [ 0:0] m_ctrlport_radio0_req_rd,
+ output wire [ 19:0] m_ctrlport_radio0_req_addr,
+ output wire [ 31:0] m_ctrlport_radio0_req_data,
+ output wire [ 3:0] m_ctrlport_radio0_req_byte_en,
+ output wire [ 0:0] m_ctrlport_radio0_req_has_time,
+ output wire [ 63:0] m_ctrlport_radio0_req_time,
+ input wire [ 0:0] m_ctrlport_radio0_resp_ack,
+ input wire [ 1:0] m_ctrlport_radio0_resp_status,
+ input wire [ 31:0] m_ctrlport_radio0_resp_data,
+ // ctrlport_radio1
+ output wire [ 0:0] m_ctrlport_radio1_req_wr,
+ output wire [ 0:0] m_ctrlport_radio1_req_rd,
+ output wire [ 19:0] m_ctrlport_radio1_req_addr,
+ output wire [ 31:0] m_ctrlport_radio1_req_data,
+ output wire [ 3:0] m_ctrlport_radio1_req_byte_en,
+ output wire [ 0:0] m_ctrlport_radio1_req_has_time,
+ output wire [ 63:0] m_ctrlport_radio1_req_time,
+ input wire [ 0:0] m_ctrlport_radio1_resp_ack,
+ input wire [ 1:0] m_ctrlport_radio1_resp_status,
+ input wire [ 31:0] m_ctrlport_radio1_resp_data,
+ // time
+ input wire [ 63:0] radio_time,
+ // radio0
+ input wire [ 255:0] radio_rx_data_radio0,
+ input wire [ 7:0] radio_rx_stb_radio0,
+ output wire [ 7:0] radio_rx_running_radio0,
+ output wire [ 255:0] radio_tx_data_radio0,
+ input wire [ 7:0] radio_tx_stb_radio0,
+ output wire [ 7:0] radio_tx_running_radio0,
+ // radio1
+ input wire [ 255:0] radio_rx_data_radio1,
+ input wire [ 7:0] radio_rx_stb_radio1,
+ output wire [ 7:0] radio_rx_running_radio1,
+ output wire [ 255:0] radio_tx_data_radio1,
+ input wire [ 7:0] radio_tx_stb_radio1,
+ output wire [ 7:0] radio_tx_running_radio1,
+
+ // Transport Adapters ///////////////
+
+ // Transport 0 (eth0)
+ input wire [CHDR_W-1:0] s_eth0_tdata,
+ input wire s_eth0_tlast,
+ input wire s_eth0_tvalid,
+ output wire s_eth0_tready,
+ output wire [CHDR_W-1:0] m_eth0_tdata,
+ output wire m_eth0_tlast,
+ output wire m_eth0_tvalid,
+ input wire m_eth0_tready,
+ // Transport 1 (eth1)
+ input wire [CHDR_W-1:0] s_eth1_tdata,
+ input wire s_eth1_tlast,
+ input wire s_eth1_tvalid,
+ output wire s_eth1_tready,
+ output wire [CHDR_W-1:0] m_eth1_tdata,
+ output wire m_eth1_tlast,
+ output wire m_eth1_tvalid,
+ input wire m_eth1_tready,
+ // Transport 2 (eth2)
+ input wire [CHDR_W-1:0] s_eth2_tdata,
+ input wire s_eth2_tlast,
+ input wire s_eth2_tvalid,
+ output wire s_eth2_tready,
+ output wire [CHDR_W-1:0] m_eth2_tdata,
+ output wire m_eth2_tlast,
+ output wire m_eth2_tvalid,
+ input wire m_eth2_tready,
+ // Transport 3 (eth3)
+ input wire [CHDR_W-1:0] s_eth3_tdata,
+ input wire s_eth3_tlast,
+ input wire s_eth3_tvalid,
+ output wire s_eth3_tready,
+ output wire [CHDR_W-1:0] m_eth3_tdata,
+ output wire m_eth3_tlast,
+ output wire m_eth3_tvalid,
+ input wire m_eth3_tready,
+ // Transport 4 (eth4)
+ input wire [CHDR_W-1:0] s_eth4_tdata,
+ input wire s_eth4_tlast,
+ input wire s_eth4_tvalid,
+ output wire s_eth4_tready,
+ output wire [CHDR_W-1:0] m_eth4_tdata,
+ output wire m_eth4_tlast,
+ output wire m_eth4_tvalid,
+ input wire m_eth4_tready,
+ // Transport 5 (dma)
+ input wire [CHDR_W-1:0] s_dma_tdata,
+ input wire s_dma_tlast,
+ input wire s_dma_tvalid,
+ output wire s_dma_tready,
+ output wire [CHDR_W-1:0] m_dma_tdata,
+ output wire m_dma_tlast,
+ output wire m_dma_tvalid,
+ input wire m_dma_tready
+);
+
+ localparam EDGE_TBL_FILE = `"`RFNOC_EDGE_TBL_FILE`";
+
+ wire rfnoc_chdr_clk, rfnoc_chdr_rst;
+ wire rfnoc_ctrl_clk, rfnoc_ctrl_rst;
+
+
+ //---------------------------------------------------------------------------
+ // CHDR Crossbar
+ //---------------------------------------------------------------------------
+
+ wire [CHDR_W-1:0] xb_to_ep0_tdata ;
+ wire xb_to_ep0_tlast ;
+ wire xb_to_ep0_tvalid;
+ wire xb_to_ep0_tready;
+ wire [CHDR_W-1:0] ep0_to_xb_tdata ;
+ wire ep0_to_xb_tlast ;
+ wire ep0_to_xb_tvalid;
+ wire ep0_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep1_tdata ;
+ wire xb_to_ep1_tlast ;
+ wire xb_to_ep1_tvalid;
+ wire xb_to_ep1_tready;
+ wire [CHDR_W-1:0] ep1_to_xb_tdata ;
+ wire ep1_to_xb_tlast ;
+ wire ep1_to_xb_tvalid;
+ wire ep1_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep2_tdata ;
+ wire xb_to_ep2_tlast ;
+ wire xb_to_ep2_tvalid;
+ wire xb_to_ep2_tready;
+ wire [CHDR_W-1:0] ep2_to_xb_tdata ;
+ wire ep2_to_xb_tlast ;
+ wire ep2_to_xb_tvalid;
+ wire ep2_to_xb_tready;
+ wire [CHDR_W-1:0] xb_to_ep3_tdata ;
+ wire xb_to_ep3_tlast ;
+ wire xb_to_ep3_tvalid;
+ wire xb_to_ep3_tready;
+ wire [CHDR_W-1:0] ep3_to_xb_tdata ;
+ wire ep3_to_xb_tlast ;
+ wire ep3_to_xb_tvalid;
+ wire ep3_to_xb_tready;
+
+ chdr_crossbar_nxn #(
+ .CHDR_W (CHDR_W),
+ .NPORTS (10),
+ .DEFAULT_PORT (0),
+ .MTU (MTU),
+ .ROUTE_TBL_SIZE (6),
+ .MUX_ALLOC ("ROUND-ROBIN"),
+ .OPTIMIZE ("AREA"),
+ .NPORTS_MGMT (6),
+ .EXT_RTCFG_PORT (0),
+ .PROTOVER (PROTOVER)
+ ) chdr_xb_i (
+ .clk (rfnoc_chdr_clk),
+ .reset (rfnoc_chdr_rst),
+ .device_id (device_id),
+ .s_axis_tdata ({ep3_to_xb_tdata , ep2_to_xb_tdata , ep1_to_xb_tdata , ep0_to_xb_tdata , s_dma_tdata , s_eth4_tdata , s_eth3_tdata , s_eth2_tdata , s_eth1_tdata , s_eth0_tdata }),
+ .s_axis_tlast ({ep3_to_xb_tlast , ep2_to_xb_tlast , ep1_to_xb_tlast , ep0_to_xb_tlast , s_dma_tlast , s_eth4_tlast , s_eth3_tlast , s_eth2_tlast , s_eth1_tlast , s_eth0_tlast }),
+ .s_axis_tvalid ({ep3_to_xb_tvalid, ep2_to_xb_tvalid, ep1_to_xb_tvalid, ep0_to_xb_tvalid, s_dma_tvalid, s_eth4_tvalid, s_eth3_tvalid, s_eth2_tvalid, s_eth1_tvalid, s_eth0_tvalid}),
+ .s_axis_tready ({ep3_to_xb_tready, ep2_to_xb_tready, ep1_to_xb_tready, ep0_to_xb_tready, s_dma_tready, s_eth4_tready, s_eth3_tready, s_eth2_tready, s_eth1_tready, s_eth0_tready}),
+ .m_axis_tdata ({xb_to_ep3_tdata , xb_to_ep2_tdata , xb_to_ep1_tdata , xb_to_ep0_tdata , m_dma_tdata , m_eth4_tdata , m_eth3_tdata , m_eth2_tdata , m_eth1_tdata , m_eth0_tdata }),
+ .m_axis_tlast ({xb_to_ep3_tlast , xb_to_ep2_tlast , xb_to_ep1_tlast , xb_to_ep0_tlast , m_dma_tlast , m_eth4_tlast , m_eth3_tlast , m_eth2_tlast , m_eth1_tlast , m_eth0_tlast }),
+ .m_axis_tvalid ({xb_to_ep3_tvalid, xb_to_ep2_tvalid, xb_to_ep1_tvalid, xb_to_ep0_tvalid, m_dma_tvalid, m_eth4_tvalid, m_eth3_tvalid, m_eth2_tvalid, m_eth1_tvalid, m_eth0_tvalid}),
+ .m_axis_tready ({xb_to_ep3_tready, xb_to_ep2_tready, xb_to_ep1_tready, xb_to_ep0_tready, m_dma_tready, m_eth4_tready, m_eth3_tready, m_eth2_tready, m_eth1_tready, m_eth0_tready}),
+ .ext_rtcfg_stb (1'h0),
+ .ext_rtcfg_addr (16'h0),
+ .ext_rtcfg_data (32'h0),
+ .ext_rtcfg_ack ()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Stream Endpoints
+ //---------------------------------------------------------------------------
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP0 = (524288)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP0 =
+ REQ_BUFF_SIZE_EP0 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP0 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP0);
+
+ wire [CHDR_W-1:0] m_ep0_out0_tdata;
+ wire m_ep0_out0_tlast;
+ wire m_ep0_out0_tvalid;
+ wire m_ep0_out0_tready;
+ wire [CHDR_W-1:0] s_ep0_in0_tdata;
+ wire s_ep0_in0_tlast;
+ wire s_ep0_in0_tvalid;
+ wire s_ep0_in0_tready;
+ wire [ 31:0] m_ep0_ctrl_tdata, s_ep0_ctrl_tdata;
+ wire m_ep0_ctrl_tlast, s_ep0_ctrl_tlast;
+ wire m_ep0_ctrl_tvalid, s_ep0_ctrl_tvalid;
+ wire m_ep0_ctrl_tready, s_ep0_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (1),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (0),
+ .CTRL_XBAR_PORT (1),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP0),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep0_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep0_tdata),
+ .s_axis_chdr_tlast (xb_to_ep0_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep0_tvalid),
+ .s_axis_chdr_tready (xb_to_ep0_tready),
+ .m_axis_chdr_tdata (ep0_to_xb_tdata),
+ .m_axis_chdr_tlast (ep0_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep0_to_xb_tvalid),
+ .m_axis_chdr_tready (ep0_to_xb_tready),
+ .s_axis_data_tdata ({s_ep0_in0_tdata}),
+ .s_axis_data_tlast ({s_ep0_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep0_in0_tvalid}),
+ .s_axis_data_tready ({s_ep0_in0_tready}),
+ .m_axis_data_tdata ({m_ep0_out0_tdata}),
+ .m_axis_data_tlast ({m_ep0_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep0_out0_tvalid}),
+ .m_axis_data_tready ({m_ep0_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep0_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep0_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep0_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep0_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep0_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep0_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep0_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep0_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP1 = (524288)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP1 =
+ REQ_BUFF_SIZE_EP1 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP1 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP1);
+
+ wire [CHDR_W-1:0] m_ep1_out0_tdata;
+ wire m_ep1_out0_tlast;
+ wire m_ep1_out0_tvalid;
+ wire m_ep1_out0_tready;
+ wire [CHDR_W-1:0] s_ep1_in0_tdata;
+ wire s_ep1_in0_tlast;
+ wire s_ep1_in0_tvalid;
+ wire s_ep1_in0_tready;
+ wire [ 31:0] m_ep1_ctrl_tdata, s_ep1_ctrl_tdata;
+ wire m_ep1_ctrl_tlast, s_ep1_ctrl_tlast;
+ wire m_ep1_ctrl_tvalid, s_ep1_ctrl_tvalid;
+ wire m_ep1_ctrl_tready, s_ep1_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (1),
+ .CTRL_XBAR_PORT (2),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP1),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep1_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep1_tdata),
+ .s_axis_chdr_tlast (xb_to_ep1_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep1_tvalid),
+ .s_axis_chdr_tready (xb_to_ep1_tready),
+ .m_axis_chdr_tdata (ep1_to_xb_tdata),
+ .m_axis_chdr_tlast (ep1_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep1_to_xb_tvalid),
+ .m_axis_chdr_tready (ep1_to_xb_tready),
+ .s_axis_data_tdata ({s_ep1_in0_tdata}),
+ .s_axis_data_tlast ({s_ep1_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep1_in0_tvalid}),
+ .s_axis_data_tready ({s_ep1_in0_tready}),
+ .m_axis_data_tdata ({m_ep1_out0_tdata}),
+ .m_axis_data_tlast ({m_ep1_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep1_out0_tvalid}),
+ .m_axis_data_tready ({m_ep1_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep1_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep1_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep1_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep1_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep1_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep1_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep1_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep1_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP2 = (524288)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP2 =
+ REQ_BUFF_SIZE_EP2 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP2 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP2);
+
+ wire [CHDR_W-1:0] m_ep2_out0_tdata;
+ wire m_ep2_out0_tlast;
+ wire m_ep2_out0_tvalid;
+ wire m_ep2_out0_tready;
+ wire [CHDR_W-1:0] s_ep2_in0_tdata;
+ wire s_ep2_in0_tlast;
+ wire s_ep2_in0_tvalid;
+ wire s_ep2_in0_tready;
+ wire [ 31:0] m_ep2_ctrl_tdata, s_ep2_ctrl_tdata;
+ wire m_ep2_ctrl_tlast, s_ep2_ctrl_tlast;
+ wire m_ep2_ctrl_tvalid, s_ep2_ctrl_tvalid;
+ wire m_ep2_ctrl_tready, s_ep2_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (2),
+ .CTRL_XBAR_PORT (3),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP2),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep2_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep2_tdata),
+ .s_axis_chdr_tlast (xb_to_ep2_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep2_tvalid),
+ .s_axis_chdr_tready (xb_to_ep2_tready),
+ .m_axis_chdr_tdata (ep2_to_xb_tdata),
+ .m_axis_chdr_tlast (ep2_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep2_to_xb_tvalid),
+ .m_axis_chdr_tready (ep2_to_xb_tready),
+ .s_axis_data_tdata ({s_ep2_in0_tdata}),
+ .s_axis_data_tlast ({s_ep2_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep2_in0_tvalid}),
+ .s_axis_data_tready ({s_ep2_in0_tready}),
+ .m_axis_data_tdata ({m_ep2_out0_tdata}),
+ .m_axis_data_tlast ({m_ep2_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep2_out0_tvalid}),
+ .m_axis_data_tready ({m_ep2_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep2_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep2_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep2_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep2_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep2_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep2_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep2_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep2_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+ // If requested buffer size is 0, use the minimum SRL-based FIFO size.
+ // Otherwise, make sure it's at least two MTU-sized packets.
+ localparam REQ_BUFF_SIZE_EP3 = (524288)/(CHDR_W/8);
+ localparam INGRESS_BUFF_SIZE_EP3 =
+ REQ_BUFF_SIZE_EP3 == 0 ? 5 :
+ REQ_BUFF_SIZE_EP3 < 2*(2**MTU) ? MTU+1 :
+ $clog2(REQ_BUFF_SIZE_EP3);
+
+ wire [CHDR_W-1:0] m_ep3_out0_tdata;
+ wire m_ep3_out0_tlast;
+ wire m_ep3_out0_tvalid;
+ wire m_ep3_out0_tready;
+ wire [CHDR_W-1:0] s_ep3_in0_tdata;
+ wire s_ep3_in0_tlast;
+ wire s_ep3_in0_tvalid;
+ wire s_ep3_in0_tready;
+ wire [ 31:0] m_ep3_ctrl_tdata, s_ep3_ctrl_tdata;
+ wire m_ep3_ctrl_tlast, s_ep3_ctrl_tlast;
+ wire m_ep3_ctrl_tvalid, s_ep3_ctrl_tvalid;
+ wire m_ep3_ctrl_tready, s_ep3_ctrl_tready;
+
+ chdr_stream_endpoint #(
+ .PROTOVER (PROTOVER),
+ .CHDR_W (CHDR_W),
+ .AXIS_CTRL_EN (0),
+ .AXIS_DATA_EN (1),
+ .NUM_DATA_I (1),
+ .NUM_DATA_O (1),
+ .INST_NUM (3),
+ .CTRL_XBAR_PORT (4),
+ .INGRESS_BUFF_SIZE (INGRESS_BUFF_SIZE_EP3),
+ .MTU (MTU),
+ .REPORT_STRM_ERRS (1)
+ ) ep3_i (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .device_id (device_id),
+ .s_axis_chdr_tdata (xb_to_ep3_tdata),
+ .s_axis_chdr_tlast (xb_to_ep3_tlast),
+ .s_axis_chdr_tvalid (xb_to_ep3_tvalid),
+ .s_axis_chdr_tready (xb_to_ep3_tready),
+ .m_axis_chdr_tdata (ep3_to_xb_tdata),
+ .m_axis_chdr_tlast (ep3_to_xb_tlast),
+ .m_axis_chdr_tvalid (ep3_to_xb_tvalid),
+ .m_axis_chdr_tready (ep3_to_xb_tready),
+ .s_axis_data_tdata ({s_ep3_in0_tdata}),
+ .s_axis_data_tlast ({s_ep3_in0_tlast}),
+ .s_axis_data_tvalid ({s_ep3_in0_tvalid}),
+ .s_axis_data_tready ({s_ep3_in0_tready}),
+ .m_axis_data_tdata ({m_ep3_out0_tdata}),
+ .m_axis_data_tlast ({m_ep3_out0_tlast}),
+ .m_axis_data_tvalid ({m_ep3_out0_tvalid}),
+ .m_axis_data_tready ({m_ep3_out0_tready}),
+ .s_axis_ctrl_tdata (s_ep3_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_ep3_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_ep3_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_ep3_ctrl_tready),
+ .m_axis_ctrl_tdata (m_ep3_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_ep3_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_ep3_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_ep3_ctrl_tready),
+ .strm_seq_err_stb (),
+ .strm_data_err_stb (),
+ .strm_route_err_stb (),
+ .signal_data_err (1'b0)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Control Crossbar
+ //---------------------------------------------------------------------------
+
+ wire [31:0] m_core_ctrl_tdata, s_core_ctrl_tdata;
+ wire m_core_ctrl_tlast, s_core_ctrl_tlast;
+ wire m_core_ctrl_tvalid, s_core_ctrl_tvalid;
+ wire m_core_ctrl_tready, s_core_ctrl_tready;
+ wire [31:0] m_radio0_ctrl_tdata, s_radio0_ctrl_tdata;
+ wire m_radio0_ctrl_tlast, s_radio0_ctrl_tlast;
+ wire m_radio0_ctrl_tvalid, s_radio0_ctrl_tvalid;
+ wire m_radio0_ctrl_tready, s_radio0_ctrl_tready;
+ wire [31:0] m_radio1_ctrl_tdata, s_radio1_ctrl_tdata;
+ wire m_radio1_ctrl_tlast, s_radio1_ctrl_tlast;
+ wire m_radio1_ctrl_tvalid, s_radio1_ctrl_tvalid;
+ wire m_radio1_ctrl_tready, s_radio1_ctrl_tready;
+
+ axis_ctrl_crossbar_nxn #(
+ .WIDTH (32),
+ .NPORTS (4),
+ .TOPOLOGY ("TORUS"),
+ .INGRESS_BUFF_SIZE(5),
+ .ROUTER_BUFF_SIZE (5),
+ .ROUTING_ALLOC ("WORMHOLE"),
+ .SWITCH_ALLOC ("PRIO")
+ ) ctrl_xb_i (
+ .clk (rfnoc_ctrl_clk),
+ .reset (rfnoc_ctrl_rst),
+ .s_axis_tdata ({m_radio1_ctrl_tdata , m_radio0_ctrl_tdata , m_ep0_ctrl_tdata , m_core_ctrl_tdata }),
+ .s_axis_tvalid ({m_radio1_ctrl_tvalid, m_radio0_ctrl_tvalid, m_ep0_ctrl_tvalid, m_core_ctrl_tvalid}),
+ .s_axis_tlast ({m_radio1_ctrl_tlast , m_radio0_ctrl_tlast , m_ep0_ctrl_tlast , m_core_ctrl_tlast }),
+ .s_axis_tready ({m_radio1_ctrl_tready, m_radio0_ctrl_tready, m_ep0_ctrl_tready, m_core_ctrl_tready}),
+ .m_axis_tdata ({s_radio1_ctrl_tdata , s_radio0_ctrl_tdata , s_ep0_ctrl_tdata , s_core_ctrl_tdata }),
+ .m_axis_tvalid ({s_radio1_ctrl_tvalid, s_radio0_ctrl_tvalid, s_ep0_ctrl_tvalid, s_core_ctrl_tvalid}),
+ .m_axis_tlast ({s_radio1_ctrl_tlast , s_radio0_ctrl_tlast , s_ep0_ctrl_tlast , s_core_ctrl_tlast }),
+ .m_axis_tready ({s_radio1_ctrl_tready, s_radio0_ctrl_tready, s_ep0_ctrl_tready, s_core_ctrl_tready}),
+ .deadlock_detected()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // RFNoC Core Kernel
+ //---------------------------------------------------------------------------
+
+ wire [(512*2)-1:0] rfnoc_core_config, rfnoc_core_status;
+
+ rfnoc_core_kernel #(
+ .PROTOVER (PROTOVER),
+ .DEVICE_TYPE (16'hA400),
+ .DEVICE_FAMILY ("ULTRASCALE"),
+ .SAFE_START_CLKS (0),
+ .NUM_BLOCKS (2),
+ .NUM_STREAM_ENDPOINTS(4),
+ .NUM_ENDPOINTS_CTRL (1),
+ .NUM_TRANSPORTS (6),
+ .NUM_EDGES (8),
+ .CHDR_XBAR_PRESENT (1),
+ .EDGE_TBL_FILE (EDGE_TBL_FILE)
+ ) core_kernel_i (
+ .chdr_aclk (chdr_aclk),
+ .chdr_aclk_locked (1'b1),
+ .ctrl_aclk (ctrl_aclk),
+ .ctrl_aclk_locked (1'b1),
+ .core_arst (core_arst),
+ .core_chdr_clk (rfnoc_chdr_clk),
+ .core_chdr_rst (rfnoc_chdr_rst),
+ .core_ctrl_clk (rfnoc_ctrl_clk),
+ .core_ctrl_rst (rfnoc_ctrl_rst),
+ .s_axis_ctrl_tdata (s_core_ctrl_tdata),
+ .s_axis_ctrl_tlast (s_core_ctrl_tlast),
+ .s_axis_ctrl_tvalid (s_core_ctrl_tvalid),
+ .s_axis_ctrl_tready (s_core_ctrl_tready),
+ .m_axis_ctrl_tdata (m_core_ctrl_tdata),
+ .m_axis_ctrl_tlast (m_core_ctrl_tlast),
+ .m_axis_ctrl_tvalid (m_core_ctrl_tvalid),
+ .m_axis_ctrl_tready (m_core_ctrl_tready),
+ .device_id (device_id),
+ .rfnoc_core_config (rfnoc_core_config),
+ .rfnoc_core_status (rfnoc_core_status)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Blocks
+ //---------------------------------------------------------------------------
+
+ //-----------------------------------
+ // radio0
+ //-----------------------------------
+
+ wire radio0_radio_clk;
+ wire [CHDR_W-1:0] s_radio0_in_1_tdata , s_radio0_in_0_tdata ;
+ wire s_radio0_in_1_tlast , s_radio0_in_0_tlast ;
+ wire s_radio0_in_1_tvalid, s_radio0_in_0_tvalid;
+ wire s_radio0_in_1_tready, s_radio0_in_0_tready;
+ wire [CHDR_W-1:0] m_radio0_out_1_tdata , m_radio0_out_0_tdata ;
+ wire m_radio0_out_1_tlast , m_radio0_out_0_tlast ;
+ wire m_radio0_out_1_tvalid, m_radio0_out_0_tvalid;
+ wire m_radio0_out_1_tready, m_radio0_out_0_tready;
+
+ // ctrlport
+ wire [ 0:0] radio0_m_ctrlport_req_wr;
+ wire [ 0:0] radio0_m_ctrlport_req_rd;
+ wire [ 19:0] radio0_m_ctrlport_req_addr;
+ wire [ 31:0] radio0_m_ctrlport_req_data;
+ wire [ 3:0] radio0_m_ctrlport_req_byte_en;
+ wire [ 0:0] radio0_m_ctrlport_req_has_time;
+ wire [ 63:0] radio0_m_ctrlport_req_time;
+ wire [ 0:0] radio0_m_ctrlport_resp_ack;
+ wire [ 1:0] radio0_m_ctrlport_resp_status;
+ wire [ 31:0] radio0_m_ctrlport_resp_data;
+ // time
+ wire [ 63:0] radio0_radio_time;
+ // radio
+ wire [ 255:0] radio0_radio_rx_data;
+ wire [ 7:0] radio0_radio_rx_stb;
+ wire [ 7:0] radio0_radio_rx_running;
+ wire [ 255:0] radio0_radio_tx_data;
+ wire [ 7:0] radio0_radio_tx_stb;
+ wire [ 7:0] radio0_radio_tx_running;
+
+ rfnoc_block_radio #(
+ .THIS_PORTID (2),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NIPC (RADIO_NIPC),
+ .ITEM_W (32),
+ .MTU (MTU)
+ ) b_radio0_0 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .radio_clk (radio0_radio_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*1-1:512*0]),
+ .rfnoc_core_status (rfnoc_core_status[512*1-1:512*0]),
+ .m_ctrlport_req_wr (radio0_m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (radio0_m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (radio0_m_ctrlport_req_addr),
+ .m_ctrlport_req_data (radio0_m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en(radio0_m_ctrlport_req_byte_en),
+ .m_ctrlport_req_has_time(radio0_m_ctrlport_req_has_time),
+ .m_ctrlport_req_time (radio0_m_ctrlport_req_time),
+ .m_ctrlport_resp_ack (radio0_m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status(radio0_m_ctrlport_resp_status),
+ .m_ctrlport_resp_data(radio0_m_ctrlport_resp_data),
+ .radio_time (radio0_radio_time),
+ .radio_rx_data (radio0_radio_rx_data),
+ .radio_rx_stb (radio0_radio_rx_stb),
+ .radio_rx_running (radio0_radio_rx_running),
+ .radio_tx_data (radio0_radio_tx_data),
+ .radio_tx_stb (radio0_radio_tx_stb),
+ .radio_tx_running (radio0_radio_tx_running),
+ .s_rfnoc_chdr_tdata ({s_radio0_in_1_tdata , s_radio0_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_radio0_in_1_tlast , s_radio0_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_radio0_in_1_tvalid, s_radio0_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_radio0_in_1_tready, s_radio0_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_radio0_out_1_tdata , m_radio0_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_radio0_out_1_tlast , m_radio0_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_radio0_out_1_tvalid, m_radio0_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_radio0_out_1_tready, m_radio0_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_radio0_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_radio0_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_radio0_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_radio0_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_radio0_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_radio0_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_radio0_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_radio0_ctrl_tready)
+ );
+
+ //-----------------------------------
+ // radio1
+ //-----------------------------------
+
+ wire radio1_radio_clk;
+ wire [CHDR_W-1:0] s_radio1_in_1_tdata , s_radio1_in_0_tdata ;
+ wire s_radio1_in_1_tlast , s_radio1_in_0_tlast ;
+ wire s_radio1_in_1_tvalid, s_radio1_in_0_tvalid;
+ wire s_radio1_in_1_tready, s_radio1_in_0_tready;
+ wire [CHDR_W-1:0] m_radio1_out_1_tdata , m_radio1_out_0_tdata ;
+ wire m_radio1_out_1_tlast , m_radio1_out_0_tlast ;
+ wire m_radio1_out_1_tvalid, m_radio1_out_0_tvalid;
+ wire m_radio1_out_1_tready, m_radio1_out_0_tready;
+
+ // ctrlport
+ wire [ 0:0] radio1_m_ctrlport_req_wr;
+ wire [ 0:0] radio1_m_ctrlport_req_rd;
+ wire [ 19:0] radio1_m_ctrlport_req_addr;
+ wire [ 31:0] radio1_m_ctrlport_req_data;
+ wire [ 3:0] radio1_m_ctrlport_req_byte_en;
+ wire [ 0:0] radio1_m_ctrlport_req_has_time;
+ wire [ 63:0] radio1_m_ctrlport_req_time;
+ wire [ 0:0] radio1_m_ctrlport_resp_ack;
+ wire [ 1:0] radio1_m_ctrlport_resp_status;
+ wire [ 31:0] radio1_m_ctrlport_resp_data;
+ // time
+ wire [ 63:0] radio1_radio_time;
+ // radio
+ wire [ 255:0] radio1_radio_rx_data;
+ wire [ 7:0] radio1_radio_rx_stb;
+ wire [ 7:0] radio1_radio_rx_running;
+ wire [ 255:0] radio1_radio_tx_data;
+ wire [ 7:0] radio1_radio_tx_stb;
+ wire [ 7:0] radio1_radio_tx_running;
+
+ rfnoc_block_radio #(
+ .THIS_PORTID (3),
+ .CHDR_W (CHDR_W),
+ .NUM_PORTS (2),
+ .NIPC (RADIO_NIPC),
+ .ITEM_W (32),
+ .MTU (MTU)
+ ) b_radio1_1 (
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .radio_clk (radio1_radio_clk),
+ .rfnoc_core_config (rfnoc_core_config[512*2-1:512*1]),
+ .rfnoc_core_status (rfnoc_core_status[512*2-1:512*1]),
+ .m_ctrlport_req_wr (radio1_m_ctrlport_req_wr),
+ .m_ctrlport_req_rd (radio1_m_ctrlport_req_rd),
+ .m_ctrlport_req_addr (radio1_m_ctrlport_req_addr),
+ .m_ctrlport_req_data (radio1_m_ctrlport_req_data),
+ .m_ctrlport_req_byte_en(radio1_m_ctrlport_req_byte_en),
+ .m_ctrlport_req_has_time(radio1_m_ctrlport_req_has_time),
+ .m_ctrlport_req_time (radio1_m_ctrlport_req_time),
+ .m_ctrlport_resp_ack (radio1_m_ctrlport_resp_ack),
+ .m_ctrlport_resp_status(radio1_m_ctrlport_resp_status),
+ .m_ctrlport_resp_data(radio1_m_ctrlport_resp_data),
+ .radio_time (radio1_radio_time),
+ .radio_rx_data (radio1_radio_rx_data),
+ .radio_rx_stb (radio1_radio_rx_stb),
+ .radio_rx_running (radio1_radio_rx_running),
+ .radio_tx_data (radio1_radio_tx_data),
+ .radio_tx_stb (radio1_radio_tx_stb),
+ .radio_tx_running (radio1_radio_tx_running),
+ .s_rfnoc_chdr_tdata ({s_radio1_in_1_tdata , s_radio1_in_0_tdata }),
+ .s_rfnoc_chdr_tlast ({s_radio1_in_1_tlast , s_radio1_in_0_tlast }),
+ .s_rfnoc_chdr_tvalid ({s_radio1_in_1_tvalid, s_radio1_in_0_tvalid}),
+ .s_rfnoc_chdr_tready ({s_radio1_in_1_tready, s_radio1_in_0_tready}),
+ .m_rfnoc_chdr_tdata ({m_radio1_out_1_tdata , m_radio1_out_0_tdata }),
+ .m_rfnoc_chdr_tlast ({m_radio1_out_1_tlast , m_radio1_out_0_tlast }),
+ .m_rfnoc_chdr_tvalid ({m_radio1_out_1_tvalid, m_radio1_out_0_tvalid}),
+ .m_rfnoc_chdr_tready ({m_radio1_out_1_tready, m_radio1_out_0_tready}),
+ .s_rfnoc_ctrl_tdata (s_radio1_ctrl_tdata),
+ .s_rfnoc_ctrl_tlast (s_radio1_ctrl_tlast),
+ .s_rfnoc_ctrl_tvalid (s_radio1_ctrl_tvalid),
+ .s_rfnoc_ctrl_tready (s_radio1_ctrl_tready),
+ .m_rfnoc_ctrl_tdata (m_radio1_ctrl_tdata),
+ .m_rfnoc_ctrl_tlast (m_radio1_ctrl_tlast),
+ .m_rfnoc_ctrl_tvalid (m_radio1_ctrl_tvalid),
+ .m_rfnoc_ctrl_tready (m_radio1_ctrl_tready)
+ );
+
+ //---------------------------------------------------------------------------
+ // Static Router
+ //---------------------------------------------------------------------------
+
+ assign s_radio0_in_0_tdata = m_ep0_out0_tdata;
+ assign s_radio0_in_0_tlast = m_ep0_out0_tlast;
+ assign s_radio0_in_0_tvalid = m_ep0_out0_tvalid;
+ assign m_ep0_out0_tready = s_radio0_in_0_tready;
+
+ assign s_ep0_in0_tdata = m_radio0_out_0_tdata;
+ assign s_ep0_in0_tlast = m_radio0_out_0_tlast;
+ assign s_ep0_in0_tvalid = m_radio0_out_0_tvalid;
+ assign m_radio0_out_0_tready = s_ep0_in0_tready;
+
+ assign s_radio0_in_1_tdata = m_ep1_out0_tdata;
+ assign s_radio0_in_1_tlast = m_ep1_out0_tlast;
+ assign s_radio0_in_1_tvalid = m_ep1_out0_tvalid;
+ assign m_ep1_out0_tready = s_radio0_in_1_tready;
+
+ assign s_ep1_in0_tdata = m_radio0_out_1_tdata;
+ assign s_ep1_in0_tlast = m_radio0_out_1_tlast;
+ assign s_ep1_in0_tvalid = m_radio0_out_1_tvalid;
+ assign m_radio0_out_1_tready = s_ep1_in0_tready;
+
+ assign s_radio1_in_0_tdata = m_ep2_out0_tdata;
+ assign s_radio1_in_0_tlast = m_ep2_out0_tlast;
+ assign s_radio1_in_0_tvalid = m_ep2_out0_tvalid;
+ assign m_ep2_out0_tready = s_radio1_in_0_tready;
+
+ assign s_ep2_in0_tdata = m_radio1_out_0_tdata;
+ assign s_ep2_in0_tlast = m_radio1_out_0_tlast;
+ assign s_ep2_in0_tvalid = m_radio1_out_0_tvalid;
+ assign m_radio1_out_0_tready = s_ep2_in0_tready;
+
+ assign s_radio1_in_1_tdata = m_ep3_out0_tdata;
+ assign s_radio1_in_1_tlast = m_ep3_out0_tlast;
+ assign s_radio1_in_1_tvalid = m_ep3_out0_tvalid;
+ assign m_ep3_out0_tready = s_radio1_in_1_tready;
+
+ assign s_ep3_in0_tdata = m_radio1_out_1_tdata;
+ assign s_ep3_in0_tlast = m_radio1_out_1_tlast;
+ assign s_ep3_in0_tvalid = m_radio1_out_1_tvalid;
+ assign m_radio1_out_1_tready = s_ep3_in0_tready;
+
+
+ //---------------------------------------------------------------------------
+ // Unused Ports
+ //---------------------------------------------------------------------------
+
+
+
+ //---------------------------------------------------------------------------
+ // Clock Domains
+ //---------------------------------------------------------------------------
+
+ assign radio0_radio_clk = radio_clk;
+ assign radio1_radio_clk = radio_clk;
+
+
+ //---------------------------------------------------------------------------
+ // IO Port Connection
+ //---------------------------------------------------------------------------
+
+ // Master/Slave Connections:
+ assign m_ctrlport_radio0_req_wr = radio0_m_ctrlport_req_wr;
+ assign m_ctrlport_radio0_req_rd = radio0_m_ctrlport_req_rd;
+ assign m_ctrlport_radio0_req_addr = radio0_m_ctrlport_req_addr;
+ assign m_ctrlport_radio0_req_data = radio0_m_ctrlport_req_data;
+ assign m_ctrlport_radio0_req_byte_en = radio0_m_ctrlport_req_byte_en;
+ assign m_ctrlport_radio0_req_has_time = radio0_m_ctrlport_req_has_time;
+ assign m_ctrlport_radio0_req_time = radio0_m_ctrlport_req_time;
+ assign radio0_m_ctrlport_resp_ack = m_ctrlport_radio0_resp_ack;
+ assign radio0_m_ctrlport_resp_status = m_ctrlport_radio0_resp_status;
+ assign radio0_m_ctrlport_resp_data = m_ctrlport_radio0_resp_data;
+
+ assign m_ctrlport_radio1_req_wr = radio1_m_ctrlport_req_wr;
+ assign m_ctrlport_radio1_req_rd = radio1_m_ctrlport_req_rd;
+ assign m_ctrlport_radio1_req_addr = radio1_m_ctrlport_req_addr;
+ assign m_ctrlport_radio1_req_data = radio1_m_ctrlport_req_data;
+ assign m_ctrlport_radio1_req_byte_en = radio1_m_ctrlport_req_byte_en;
+ assign m_ctrlport_radio1_req_has_time = radio1_m_ctrlport_req_has_time;
+ assign m_ctrlport_radio1_req_time = radio1_m_ctrlport_req_time;
+ assign radio1_m_ctrlport_resp_ack = m_ctrlport_radio1_resp_ack;
+ assign radio1_m_ctrlport_resp_status = m_ctrlport_radio1_resp_status;
+ assign radio1_m_ctrlport_resp_data = m_ctrlport_radio1_resp_data;
+
+ assign radio0_radio_rx_data = radio_rx_data_radio0;
+ assign radio0_radio_rx_stb = radio_rx_stb_radio0;
+ assign radio_rx_running_radio0 = radio0_radio_rx_running;
+ assign radio_tx_data_radio0 = radio0_radio_tx_data;
+ assign radio0_radio_tx_stb = radio_tx_stb_radio0;
+ assign radio_tx_running_radio0 = radio0_radio_tx_running;
+
+ assign radio1_radio_rx_data = radio_rx_data_radio1;
+ assign radio1_radio_rx_stb = radio_rx_stb_radio1;
+ assign radio_rx_running_radio1 = radio1_radio_rx_running;
+ assign radio_tx_data_radio1 = radio1_radio_tx_data;
+ assign radio1_radio_tx_stb = radio_tx_stb_radio1;
+ assign radio_tx_running_radio1 = radio1_radio_tx_running;
+
+ // Broadcaster/Listener Connections:
+ assign radio0_radio_time = radio_time;
+
+ assign radio1_radio_time = radio_time;
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.vh b/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.vh
new file mode 100644
index 000000000..da7e01f79
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.vh
@@ -0,0 +1,21 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Header: rfnoc_image_core.vh (for x410)
+//
+// Description:
+//
+// This is the header file for the RFNoC Image Core.
+//
+// This file was automatically generated by the RFNoC image builder tool.
+// Re-running that tool will overwrite this file!
+//
+// File generated on: 2021-05-03T08:46:11.605928
+// Source: x410_400_rfnoc_image_core.yml
+// Source SHA256: aff421903b98211e4822bd2968fd02c679bf30a215ee65e906d4f9a1d79df71e
+//
+
+`define CHDR_WIDTH 512
+`define RFNOC_PROTOVER { 8'd1, 8'd0 }
diff --git a/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.yml b/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.yml
new file mode 100644
index 000000000..edcdd4c66
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_400_rfnoc_image_core.yml
@@ -0,0 +1,90 @@
+# General parameters
+# -----------------------------------------
+schema: rfnoc_imagebuilder_args # Identifier for the schema used to validate this file
+copyright: 'Ettus Research, A National Instruments Brand' # Copyright information used in file headers
+license: 'SPDX-License-Identifier: LGPL-3.0-or-later' # License information used in file headers
+version: '1.0' # File version
+rfnoc_version: '1.0' # RFNoC protocol version
+chdr_width: 512 # Bit width of the CHDR bus for this image
+device: 'x410' # USRP type
+image_core_name: 'x410_400' # Name to use for the RFNoC Image Core files
+default_target: 'X410_CG_400' # Default make target
+
+# A list of all stream endpoints in design
+# ----------------------------------------
+stream_endpoints:
+ ep0: # Stream endpoint name
+ ctrl: True # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 524288 # Ingress buffer size for data
+ ep1: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 524288 # Ingress buffer size for data
+ ep2: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 524288 # Ingress buffer size for data
+ ep3: # Stream endpoint name
+ ctrl: False # Endpoint passes control traffic
+ data: True # Endpoint passes data traffic
+ buff_size_bytes: 524288 # Ingress buffer size for data
+
+# A list of all NoC blocks in design
+# ----------------------------------
+noc_blocks:
+ radio0:
+ block_desc: 'radio.yml'
+ parameters:
+ NUM_PORTS: 2
+ NIPC: RADIO_NIPC
+ radio1:
+ block_desc: 'radio.yml'
+ parameters:
+ NUM_PORTS: 2
+ NIPC: RADIO_NIPC
+
+# A list of all static connections in design
+# ------------------------------------------
+# Format: A list of connection maps (list of key-value pairs) with the following keys
+# - srcblk = Source block to connect
+# - srcport = Port on the source block to connect
+# - dstblk = Destination block to connect
+# - dstport = Port on the destination block to connect
+connections:
+ # ep0 to radio0(0) - RFA:0 TX
+ - { srcblk: ep0, srcport: out0, dstblk: radio0, dstport: in_0 }
+ # radio0(0) to ep0 - RFA:0 RX
+ - { srcblk: radio0, srcport: out_0, dstblk: ep0, dstport: in0 }
+ # ep1 to radio0(1) - RFA:1 TX
+ - { srcblk: ep1, srcport: out0, dstblk: radio0, dstport: in_1 }
+ # radio0(1) to ep1 - RFA:1 RX
+ - { srcblk: radio0, srcport: out_1, dstblk: ep1, dstport: in0 }
+ #
+ # ep2 to radio1(0) - RFB:0 TX
+ - { srcblk: ep2, srcport: out0, dstblk: radio1, dstport: in_0 }
+ # radio1(0) to ep2 - RFB:0 RX
+ - { srcblk: radio1, srcport: out_0, dstblk: ep2, dstport: in0 }
+ # ep3 to radio1(1) - RFB:1 TX
+ - { srcblk: ep3, srcport: out0, dstblk: radio1, dstport: in_1 }
+ # radio1(1) to ep3 - RFB:1 RX
+ - { srcblk: radio1, srcport: out_1, dstblk: ep3, dstport: in0 }
+ #
+ # BSP Connections
+ - { srcblk: radio0, srcport: ctrlport, dstblk: _device_, dstport: ctrlport_radio0 }
+ - { srcblk: radio1, srcport: ctrlport, dstblk: _device_, dstport: ctrlport_radio1 }
+ - { srcblk: _device_, srcport: radio0, dstblk: radio0, dstport: radio }
+ - { srcblk: _device_, srcport: radio1, dstblk: radio1, dstport: radio }
+ - { srcblk: _device_, srcport: time, dstblk: radio0, dstport: time }
+ - { srcblk: _device_, srcport: time, dstblk: radio1, dstport: time }
+
+# A list of all clock domain connections in design
+# ------------------------------------------------
+# Format: A list of connection maps (list of key-value pairs) with the following keys
+# - srcblk = Source block to connect (Always "_device"_)
+# - srcport = Clock domain on the source block to connect
+# - dstblk = Destination block to connect
+# - dstport = Clock domain on the destination block to connect
+clk_domains:
+ - { srcblk: _device_, srcport: radio, dstblk: radio0, dstport: radio }
+ - { srcblk: _device_, srcport: radio, dstblk: radio1, dstport: radio }
diff --git a/fpga/usrp3/top/x400/x410_400_static_router.hex b/fpga/usrp3/top/x400/x410_400_static_router.hex
new file mode 100644
index 000000000..99c3acfdf
--- /dev/null
+++ b/fpga/usrp3/top/x400/x410_400_static_router.hex
@@ -0,0 +1,9 @@
+00000008
+00400140
+01400040
+00800141
+01410080
+00c00180
+018000c0
+01000181
+01810100
diff --git a/fpga/usrp3/top/x400/x4xx.v b/fpga/usrp3/top/x400/x4xx.v
new file mode 100644
index 000000000..4b1ffd11c
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx.v
@@ -0,0 +1,2232 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx
+//
+// Description: Top-level module for X410 devices.
+//
+
+`default_nettype none
+
+
+module x4xx (
+
+ //-----------------------------------
+ // RF block signals
+ //-----------------------------------
+
+ // Clocking and sync
+ input wire SYSREF_RF_P,
+ input wire SYSREF_RF_N,
+ input wire [3:0] ADC_CLK_P,
+ input wire [3:0] ADC_CLK_N,
+ input wire [1:0] DAC_CLK_P,
+ input wire [1:0] DAC_CLK_N,
+
+ // Analog ports
+ input wire [1:0] DB0_RX_P,
+ input wire [1:0] DB0_RX_N,
+ input wire [1:0] DB1_RX_P,
+ input wire [1:0] DB1_RX_N,
+ output wire [1:0] DB0_TX_P,
+ output wire [1:0] DB0_TX_N,
+ output wire [1:0] DB1_TX_P,
+ output wire [1:0] DB1_TX_N,
+
+
+ //-----------------------------------
+ // MGTs (Quad 128-131)
+ //-----------------------------------
+ //
+ // Quad | Connector
+ // Bank 128 | QSFP28 (1)
+ // Bank 129 | iPass+zHD (1)
+ // Bank 130 | iPass+zHD (0)
+ // Bank 131 | QSFP28 (0)
+ //
+ //-----------------------------------
+
+ // Clock references
+ input wire MGT_REFCLK_LMK0_P,
+ input wire MGT_REFCLK_LMK0_N,
+ input wire MGT_REFCLK_LMK1_P,
+ input wire MGT_REFCLK_LMK1_N,
+ input wire MGT_REFCLK_LMK2_P,
+ input wire MGT_REFCLK_LMK2_N,
+ input wire MGT_REFCLK_LMK3_P,
+ input wire MGT_REFCLK_LMK3_N,
+
+ // Quad 128 transceivers: QSFP28 (1)
+ `ifdef QSFP1_0
+ input wire QSFP1_0_RX_P,
+ input wire QSFP1_0_RX_N,
+ output wire QSFP1_0_TX_P,
+ output wire QSFP1_0_TX_N,
+ `endif
+ `ifdef QSFP1_1
+ input wire QSFP1_1_RX_P,
+ input wire QSFP1_1_RX_N,
+ output wire QSFP1_1_TX_P,
+ output wire QSFP1_1_TX_N,
+ `endif
+ `ifdef QSFP1_2
+ input wire QSFP1_2_RX_P,
+ input wire QSFP1_2_RX_N,
+ output wire QSFP1_2_TX_P,
+ output wire QSFP1_2_TX_N,
+ `endif
+ `ifdef QSFP1_3
+ input wire QSFP1_3_RX_P,
+ input wire QSFP1_3_RX_N,
+ output wire QSFP1_3_TX_P,
+ output wire QSFP1_3_TX_N,
+ `endif
+
+ // Quad 129 transceivers: iPass+zHD (1)
+ `ifdef IPASS1_LANES
+ input wire [`IPASS1_LANES-1:0] IPASS1_RX_P,
+ input wire [`IPASS1_LANES-1:0] IPASS1_RX_N,
+ output wire [`IPASS1_LANES-1:0] IPASS1_TX_P,
+ output wire [`IPASS1_LANES-1:0] IPASS1_TX_N,
+ `endif
+
+ // Quad 130 transceivers: iPass+zHD (0)
+ `ifdef IPASS0_LANES
+ input wire [`IPASS0_LANES-1:0] IPASS0_RX_P,
+ input wire [`IPASS0_LANES-1:0] IPASS0_RX_N,
+ output wire [`IPASS0_LANES-1:0] IPASS0_TX_P,
+ output wire [`IPASS0_LANES-1:0] IPASS0_TX_N,
+ `endif
+
+ // Quad 131 transceivers: QSFP28 (0)
+ `ifdef QSFP0_0
+ input wire QSFP0_0_RX_P,
+ input wire QSFP0_0_RX_N,
+ output wire QSFP0_0_TX_P,
+ output wire QSFP0_0_TX_N,
+ `endif
+ `ifdef QSFP0_1
+ input wire QSFP0_1_RX_P,
+ input wire QSFP0_1_RX_N,
+ output wire QSFP0_1_TX_P,
+ output wire QSFP0_1_TX_N,
+ `endif
+ `ifdef QSFP0_2
+ input wire QSFP0_2_RX_P,
+ input wire QSFP0_2_RX_N,
+ output wire QSFP0_2_TX_P,
+ output wire QSFP0_2_TX_N,
+ `endif
+ `ifdef QSFP0_3
+ input wire QSFP0_3_RX_P,
+ input wire QSFP0_3_RX_N,
+ output wire QSFP0_3_TX_P,
+ output wire QSFP0_3_TX_N,
+ `endif
+
+
+ //-----------------------------------
+ // HD banks
+ //-----------------------------------
+
+ inout wire [19:0] DB0_GPIO,
+ output wire DB0_SYNTH_SYNC,
+ inout wire [19:0] DB1_GPIO,
+ output wire DB1_SYNTH_SYNC,
+
+ output wire LMK_SYNC,
+ input wire PPS_IN,
+ output wire PL_CPLD_SCLK, // Dual-purpose CPLD JTAG TCK
+ output wire PL_CPLD_MOSI, // Dual-purpose CPLD JTAG TDI
+ input wire PL_CPLD_MISO, // Dual-purpose CPLD JTAG TDO
+
+
+ //-----------------------------------
+ // eCPRI
+ //-----------------------------------
+
+ input wire FPGA_AUX_REF,
+
+ `ifdef QSFP1_0
+ output wire GTY_RCV_CLK_P,
+ output wire GTY_RCV_CLK_N,
+ `endif
+
+ output wire FABRIC_CLK_OUT_P,
+ output wire FABRIC_CLK_OUT_N,
+
+
+ //-----------------------------------
+ // Misc HP banks
+ //-----------------------------------
+
+ input wire PLL_REFCLK_FPGA_P,
+ input wire PLL_REFCLK_FPGA_N,
+ input wire BASE_REFCLK_FPGA_P,
+ input wire BASE_REFCLK_FPGA_N,
+
+ input wire SYSREF_FABRIC_P,
+ input wire SYSREF_FABRIC_N,
+
+ input wire QSFP0_MODPRS_n,
+ output wire QSFP0_RESET_n,
+ output wire QSFP0_LPMODE_n,
+ input wire QSFP1_MODPRS_n,
+ output wire QSFP1_RESET_n,
+ output wire QSFP1_LPMODE_n,
+
+ inout wire [11:0] DIOA_FPGA,
+ inout wire [11:0] DIOB_FPGA,
+
+ output wire CPLD_JTAG_OE_n,
+
+ output wire PPS_LED,
+ inout wire TRIG_IO,
+ output wire PL_CPLD_JTAGEN,
+ output wire PL_CPLD_CS0_n, // Dual-purpose CPLD JTAG TMS
+ output wire PL_CPLD_CS1_n
+
+
+ //-----------------------------------
+ // Unused pins
+ //-----------------------------------
+
+ // DRAM Controller 0
+ // input wire DRAM0_REFCLK_P,
+ // input wire DRAM0_REFCLK_N,
+ // output wire DRAM0_ACT_n,
+ // output wire [16:0] DRAM0_ADDR,
+ // output wire [ 1:0] DRAM0_BA,
+ // output wire [ 0:0] DRAM0_BG,
+ // output wire [ 0:0] DRAM0_CKE,
+ // output wire [ 0:0] DRAM0_ODT,
+ // output wire [ 0:0] DRAM0_CS_n,
+ // output wire [ 0:0] DRAM0_CLK_P,
+ // output wire [ 0:0] DRAM0_CLK_N,
+ // output wire DRAM0_RESET_n,
+ // inout wire [ 7:0] DRAM0_DM_n,
+ // inout wire [63:0] DRAM0_DQ,
+ // inout wire [ 7:0] DRAM0_DQS_p,
+ // inout wire [ 7:0] DRAM0_DQS_n,
+
+ // DRAM Controller 1
+ // input wire DRAM1_REFCLK_P,
+ // input wire DRAM1_REFCLK_N,
+ // output wire DRAM1_ACT_n,
+ // output wire [16:0] DRAM1_ADDR,
+ // output wire [ 1:0] DRAM1_BA,
+ // output wire [ 0:0] DRAM1_BG,
+ // output wire [ 0:0] DRAM1_CKE,
+ // output wire [ 0:0] DRAM1_ODT,
+ // output wire [ 0:0] DRAM1_CS_n,
+ // output wire [ 0:0] DRAM1_CLK_P,
+ // output wire [ 0:0] DRAM1_CLK_N,
+ // output wire DRAM1_RESET_n,
+ // inout wire [ 7:0] DRAM1_DM_n,
+ // inout wire [63:0] DRAM1_DQ,
+ // inout wire [ 7:0] DRAM1_DQS_p,
+ // inout wire [ 7:0] DRAM1_DQS_n,
+
+ // input wire [1:0] IPASS_SIDEBAND,
+ // input wire PCIE_RESET,
+ // input wire PL_CPLD_IRQ,
+ // output wire FPGA_TEST,
+ // output wire TDC_SPARE_0,
+ // output wire TDC_SPARE_1
+
+);
+
+ `include "regmap/global_regs_regmap_utils.vh"
+ `include "regmap/versioning_regs_regmap_utils.vh"
+ `include "regmap/versioning_utils.vh"
+ `include "x4xx_mgt_types.vh"
+
+
+ //---------------------------------------------------------------------------
+ // Build Configuration
+ //---------------------------------------------------------------------------
+
+ // Include the RFNoC image core header file
+ `ifdef RFNOC_IMAGE_CORE_HDR
+ `include `"`RFNOC_IMAGE_CORE_HDR`"
+ `else
+ ERROR_RFNOC_IMAGE_CORE_HDR_not_defined();
+ `define CHDR_WIDTH 64
+ `define RFNOC_PROTOVER { 8'd1, 8'd0 }
+ `endif
+
+ // Check the requested bandwidth
+ `ifdef RFBW_100M
+ localparam RF_BANDWIDTH = 100; // RF Bandwidth (MHz)
+ localparam RADIO_SPC = 1; // Number of samples per cycle
+ `elsif RFBW_200M
+ localparam RF_BANDWIDTH = 200; // RF Bandwidth (MHz)
+ localparam RADIO_SPC = 2; // Number of samples per cycle
+ `elsif RFBW_400M
+ localparam RF_BANDWIDTH = 400; // RF Bandwidth (MHz)
+ localparam RADIO_SPC = 4; // Number of samples per cycle
+ `else
+ ERROR_RF_bandwidth_must_be_defined();
+ localparam RF_BANDWIDTH = 100; // RF Bandwidth (MHz)
+ localparam RADIO_SPC = 1; // Number of samples per cycle
+ `endif
+
+ // See global_regs_regmap_utils.vh for definition of CHDR_CLK_VALUE.
+ localparam CHDR_CLK_RATE = CHDR_CLK_VALUE[CHDR_CLK_SIZE-1:0];
+ localparam RFNOC_PROTOVER = `RFNOC_PROTOVER;
+ localparam CHDR_W = `CHDR_WIDTH;
+ localparam CPU_W = 64;
+
+ localparam REG_AWIDTH = 15;
+ localparam REG_DWIDTH = 32;
+
+ // Log2 of the maximum transmission unit (MTU)
+ localparam BYTE_MTU = $clog2(8192); // MTU in bytes
+ localparam CHDR_MTU = BYTE_MTU - $clog2(CHDR_W/8); // MTU in CHDR words
+
+
+ //---------------------------------------------------------------------------
+ // Clocks and Resets
+ //---------------------------------------------------------------------------
+
+ // Clocking and sync signals for RFDC
+ wire pll_ref_clk_in, pll_ref_clk;
+ wire sysref_pl;
+ wire base_ref_clk;
+
+ // Buffer the incoming RFDC PLL clock
+ IBUFGDS ibufgds_pll_ref_clk (
+ .O (pll_ref_clk_in),
+ .I (PLL_REFCLK_FPGA_P),
+ .IB (PLL_REFCLK_FPGA_N)
+ );
+
+ assign DB0_SYNTH_SYNC = 1'b0;
+ assign DB1_SYNTH_SYNC = 1'b0;
+
+ // Buffer the incoming RFDC PL SYSREF
+ IBUFGDS ibufgds_pl_sysref (
+ .O (sysref_pl),
+ .I (SYSREF_FABRIC_P),
+ .IB (SYSREF_FABRIC_N)
+ );
+
+ // Buffer the incoming base reference clock
+ IBUFGDS ibufgds_base_ref_clk (
+ .O (base_ref_clk),
+ .I (BASE_REFCLK_FPGA_P),
+ .IB (BASE_REFCLK_FPGA_N)
+ );
+
+ // Clocking signals for RF data processing/moving
+ wire rfdc_clk, rfdc_clk_2x;
+ wire data_clk;
+ wire data_clk_2x;
+ wire radio_clk;
+ wire radio_clk_2x;
+
+ // Low-power output clocks from PS to PL
+ wire clk40; // 40.000 MHz
+ wire clk100; // 100.000 MHz
+ wire clk200; // 200.000 MHz
+
+ // Asynchronous resets from PS to PL
+ wire pl_resetn0;
+ wire areset;
+
+ assign areset = ~pl_resetn0;
+
+ // Synchronous resets derived from the reset coming from the PS
+ wire clk40_rst, clk40_rstn;
+ wire clk200_rst, clk200_rstn;
+ wire radio_rst;
+ wire brc_rst;
+ wire prc_rst;
+
+ reset_sync reset_sync_clk40 (
+ .clk (clk40),
+ .reset_in (areset),
+ .reset_out (clk40_rst)
+ );
+
+ reset_sync reset_sync_clk200 (
+ .clk (clk200),
+ .reset_in (areset),
+ .reset_out (clk200_rst)
+ );
+
+ reset_sync reset_sync_radio (
+ .clk (radio_clk),
+ .reset_in (areset),
+ .reset_out (radio_rst)
+ );
+
+ reset_sync reset_sync_brc (
+ .clk (base_ref_clk),
+ .reset_in (areset),
+ .reset_out (brc_rst)
+ );
+
+ reset_sync reset_sync_prc (
+ .clk (pll_ref_clk),
+ .reset_in (areset),
+ .reset_out (prc_rst)
+ );
+
+ // Invert reset for various modules.
+ assign clk40_rstn = ~clk40_rst;
+ assign clk200_rstn = ~clk200_rst;
+
+
+ //---------------------------------------------------------------------------
+ // PPS Handling
+ //---------------------------------------------------------------------------
+
+ wire pps_refclk;
+ wire pps_radioclk;
+ wire [ 1:0] pps_select;
+ wire pll_sync_trigger;
+ wire pll_sync_done;
+ wire [ 7:0] pll_sync_delay;
+ wire [ 7:0] pps_brc_delay;
+ wire [25:0] pps_prc_delay;
+ wire [ 1:0] prc_rc_divider;
+ wire pps_rc_enabled;
+
+ x4xx_pps_sync x4xx_pps_sync_i (
+ .base_ref_clk (base_ref_clk),
+ .pll_ref_clk (pll_ref_clk),
+ .ctrl_clk (clk40),
+ .radio_clk (data_clk),
+ .brc_rst (brc_rst),
+ .pps_in (PPS_IN),
+ .pps_out_brc (pps_refclk),
+ .pps_out_rc (pps_radioclk),
+ .sync (LMK_SYNC),
+ .pps_select (pps_select),
+ .pll_sync_trigger (pll_sync_trigger),
+ .pll_sync_delay (pll_sync_delay),
+ .pll_sync_done (pll_sync_done),
+ .pps_brc_delay (pps_brc_delay),
+ .pps_prc_delay (pps_prc_delay),
+ .prc_rc_divider (prc_rc_divider),
+ .pps_rc_enabled (pps_rc_enabled),
+ .debug ()
+ );
+
+ // IMPORTANT! Trigger I/O tri-sate buffer is controlled through a SW API that
+ // also switches external buffers on the X410 mboard and clocking aux board.
+ //
+ // SW must ensure that any downstream device receiving TRIG_IO ignores or
+ // re-synchronizes after enabling this port.
+ wire [1:0] trig_io_select;
+ assign TRIG_IO = (trig_io_select == TRIG_IO_PPS_OUTPUT) ? pps_refclk : 1'bz;
+ assign PPS_LED = pps_refclk;
+
+
+ //---------------------------------------------------------------------------
+ // Processor System (PS) + RF Data Converter (RFDC)
+ //---------------------------------------------------------------------------
+
+ wire [ 48:0] axi_hp0_araddr;
+ wire [ 1:0] axi_hp0_arburst;
+ wire [ 3:0] axi_hp0_arcache;
+ wire [ 5:0] axi_hp0_arid;
+ wire [ 7:0] axi_hp0_arlen;
+ wire axi_hp0_arlock;
+ wire [ 2:0] axi_hp0_arprot;
+ wire [ 3:0] axi_hp0_arqos;
+ wire axi_hp0_arready;
+ wire [ 2:0] axi_hp0_arsize;
+ wire axi_hp0_aruser;
+ wire axi_hp0_arvalid;
+ wire [ 48:0] axi_hp0_awaddr;
+ wire [ 1:0] axi_hp0_awburst;
+ wire [ 3:0] axi_hp0_awcache;
+ wire [ 5:0] axi_hp0_awid;
+ wire [ 7:0] axi_hp0_awlen;
+ wire axi_hp0_awlock;
+ wire [ 2:0] axi_hp0_awprot;
+ wire [ 3:0] axi_hp0_awqos;
+ wire axi_hp0_awready;
+ wire [ 2:0] axi_hp0_awsize;
+ wire axi_hp0_awuser;
+ wire axi_hp0_awvalid;
+ wire axi_hp0_bready;
+ wire [ 1:0] axi_hp0_bresp;
+ wire axi_hp0_bvalid;
+ wire [127:0] axi_hp0_rdata;
+ wire axi_hp0_rlast;
+ wire axi_hp0_rready;
+ wire [ 1:0] axi_hp0_rresp;
+ wire axi_hp0_rvalid;
+ wire [127:0] axi_hp0_wdata;
+ wire axi_hp0_wlast;
+ wire axi_hp0_wready;
+ wire [ 15:0] axi_hp0_wstrb;
+ wire axi_hp0_wvalid;
+
+ wire [ 48:0] axi_hp1_araddr;
+ wire [ 1:0] axi_hp1_arburst;
+ wire [ 3:0] axi_hp1_arcache;
+ wire [ 5:0] axi_hp1_arid;
+ wire [ 7:0] axi_hp1_arlen;
+ wire axi_hp1_arlock;
+ wire [ 2:0] axi_hp1_arprot;
+ wire [ 3:0] axi_hp1_arqos;
+ wire axi_hp1_arready;
+ wire [ 2:0] axi_hp1_arsize;
+ wire axi_hp1_aruser;
+ wire axi_hp1_arvalid;
+ wire [ 48:0] axi_hp1_awaddr;
+ wire [ 1:0] axi_hp1_awburst;
+ wire [ 3:0] axi_hp1_awcache;
+ wire [ 5:0] axi_hp1_awid;
+ wire [ 7:0] axi_hp1_awlen;
+ wire axi_hp1_awlock;
+ wire [ 2:0] axi_hp1_awprot;
+ wire [ 3:0] axi_hp1_awqos;
+ wire axi_hp1_awready;
+ wire [ 2:0] axi_hp1_awsize;
+ wire axi_hp1_awuser;
+ wire axi_hp1_awvalid;
+ wire axi_hp1_bready;
+ wire [ 1:0] axi_hp1_bresp;
+ wire axi_hp1_bvalid;
+ wire [127:0] axi_hp1_rdata;
+ wire axi_hp1_rlast;
+ wire axi_hp1_rready;
+ wire [ 1:0] axi_hp1_rresp;
+ wire axi_hp1_rvalid;
+ wire [127:0] axi_hp1_wdata;
+ wire axi_hp1_wlast;
+ wire axi_hp1_wready;
+ wire [ 15:0] axi_hp1_wstrb;
+ wire axi_hp1_wvalid;
+
+ wire [ 39:0] m_axi_app_araddr;
+ wire [ 2:0] m_axi_app_arprot;
+ wire [ 0:0] m_axi_app_arready;
+ wire [ 0:0] m_axi_app_arvalid;
+ wire [ 39:0] m_axi_app_awaddr;
+ wire [ 2:0] m_axi_app_awprot;
+ wire [ 0:0] m_axi_app_awready;
+ wire [ 0:0] m_axi_app_awvalid;
+ wire [ 0:0] m_axi_app_bready;
+ wire [ 1:0] m_axi_app_bresp;
+ wire [ 0:0] m_axi_app_bvalid;
+ wire [ 31:0] m_axi_app_rdata;
+ wire [ 0:0] m_axi_app_rready;
+ wire [ 1:0] m_axi_app_rresp;
+ wire [ 0:0] m_axi_app_rvalid;
+ wire [ 31:0] m_axi_app_wdata;
+ wire [ 0:0] m_axi_app_wready;
+ wire [ 3:0] m_axi_app_wstrb;
+ wire [ 0:0] m_axi_app_wvalid;
+ wire [ 39:0] m_axi_mpm_ep_araddr;
+ wire [ 0:0] m_axi_mpm_ep_arready;
+ wire [ 0:0] m_axi_mpm_ep_arvalid;
+ wire [ 39:0] m_axi_mpm_ep_awaddr;
+ wire [ 0:0] m_axi_mpm_ep_awready;
+ wire [ 0:0] m_axi_mpm_ep_awvalid;
+ wire [ 0:0] m_axi_mpm_ep_bready;
+ wire [ 1:0] m_axi_mpm_ep_bresp;
+ wire [ 0:0] m_axi_mpm_ep_bvalid;
+ wire [ 31:0] m_axi_mpm_ep_rdata;
+ wire [ 0:0] m_axi_mpm_ep_rready;
+ wire [ 1:0] m_axi_mpm_ep_rresp;
+ wire [ 0:0] m_axi_mpm_ep_rvalid;
+ wire [ 31:0] m_axi_mpm_ep_wdata;
+ wire [ 0:0] m_axi_mpm_ep_wready;
+ wire [ 3:0] m_axi_mpm_ep_wstrb;
+ wire [ 0:0] m_axi_mpm_ep_wvalid;
+
+ wire adc_data_out_resetn_dclk;
+ wire adc_enable_data_rclk;
+ wire adc_rfdc_axi_resetn_rclk;
+
+ wire dac_data_in_resetn_dclk;
+ wire dac_data_in_resetn_dclk2x;
+ wire dac_data_in_resetn_rclk;
+ wire dac_data_in_resetn_rclk2x;
+
+ wire fir_resetn_rclk2x;
+
+ wire [3:0] eth0_link_up, eth0_activity;
+ wire [3:0] eth1_link_up, eth1_activity;
+
+ wire [31:0] gpio_0_tri_i;
+ wire [31:0] gpio_0_tri_o;
+
+ // RFDC AXI4-Stream interfaces
+ //
+ // All these signals/vectors are in the rfdc_clk domain.
+ //
+ // ADC:
+ //
+ // I/Q data comes from the RFDC in two vectors: I and Q. Each vector contains
+ // up to 8 SPC depending upon the decimation performed by the RFDC. When
+ // lower data rates are used (higher decimation), the LSBs will contain the
+ // valid samples. The data is packed in each vector as follows:
+ //
+ // ____________ ____________ _
+ // rfdc_clk _| |____________| |____________|
+ // _ _________________________ _________________________ _
+ // *_i_tdata _X_i7,i6,i5,i4,i3,i2,i1,i0_X_______i15,...,i8________X_
+ // _ _________________________ _________________________ _
+ // *_q_tdata _X_q7,q6,q5,q4,q3,q2,q1,q0_X_______q15,...,q8________X_
+ //
+ wire [127:0] adc_tile_dout_i_tdata [0:3]; // Up to 8 SPC (I)
+ wire [127:0] adc_tile_dout_q_tdata [0:3]; // Up to 8 SPC (Q)
+ wire [3:0] adc_tile_dout_i_tready;
+ wire [3:0] adc_tile_dout_q_tready;
+ wire [3:0] adc_tile_dout_i_tvalid;
+ wire [3:0] adc_tile_dout_q_tvalid;
+ //
+ // DAC:
+ //
+ // I/Q data is interleaved to the RFDC in a single vector. This vector
+ // contains up to 8 SPC depending upon the interpolation performed by the
+ // RFDC. When lower data rates are used (higher interpolation), valid samples
+ // need to be in the LSBs. The data is packed in the vector as follows:
+ //
+ // ____________ ____________ _
+ // rfdc_clk _| |____________| |____________|
+ // _ _________________________ _________________________ _
+ // *_tdata _X__q7,i7,q6,i6,...,q0,i0__X____q15,i15,...,q8,i8____X_
+ //
+ wire [255:0] dac_tile_din_tdata [0:3]; // Up to 8 SPC (I + Q)
+ wire [3:0] dac_tile_din_tready;
+ wire [3:0] dac_tile_din_tvalid;
+
+ // Control/status vectors to rf_core (clk40 domain)
+ wire [31:0] rf_dsp_info_clk40;
+ wire [31:0] rf_axi_status_clk40;
+ // Invert controls to rf_core_100m (rfdc_clk_2x domain)
+ wire [7:0] invert_adc_iq_rclk2;
+ wire [7:0] invert_dac_iq_rclk2;
+
+ // AXI4-Lite control bus in the clk40 domain
+ wire [ 39:0] axi_core_awaddr;
+ wire axi_core_awvalid;
+ wire axi_core_awready;
+ wire [ REG_DWIDTH-1:0] axi_core_wdata;
+ wire [REG_DWIDTH/8-1:0] axi_core_wstrb;
+ wire axi_core_wvalid;
+ wire axi_core_wready;
+ wire [ 1:0] axi_core_bresp;
+ wire axi_core_bvalid;
+ wire axi_core_bready;
+ wire [ 39:0] axi_core_araddr;
+ wire axi_core_arvalid;
+ wire axi_core_arready;
+ wire [ REG_DWIDTH-1:0] axi_core_rdata;
+ wire [ 1:0] axi_core_rresp;
+ wire axi_core_rvalid;
+ wire axi_core_rready;
+
+ // AXI4-Lite Ethernet internal control bus (clk40 domain)
+ wire [ 39:0] axi_eth_internal_awaddr;
+ wire axi_eth_internal_awvalid;
+ wire axi_eth_internal_awready;
+ wire [ REG_DWIDTH-1:0] axi_eth_internal_wdata;
+ wire [REG_DWIDTH/8-1:0] axi_eth_internal_wstrb;
+ wire axi_eth_internal_wvalid;
+ wire axi_eth_internal_wready;
+ wire [ 1:0] axi_eth_internal_bresp;
+ wire axi_eth_internal_bvalid;
+ wire axi_eth_internal_bready;
+ wire [ 39:0] axi_eth_internal_araddr;
+ wire axi_eth_internal_arvalid;
+ wire axi_eth_internal_arready;
+ wire [ REG_DWIDTH-1:0] axi_eth_internal_rdata;
+ wire [ 1:0] axi_eth_internal_rresp;
+ wire axi_eth_internal_rvalid;
+ wire axi_eth_internal_rready;
+
+ // Internal Ethernet xport adapter to PS (clk200 domain)
+ wire [63:0] e2h_dma_tdata;
+ wire [ 7:0] e2h_dma_tkeep;
+ wire e2h_dma_tlast;
+ wire e2h_dma_tready;
+ wire e2h_dma_tvalid;
+ wire [63:0] h2e_dma_tdata;
+ wire [ 7:0] h2e_dma_tkeep;
+ wire h2e_dma_tlast;
+ wire h2e_dma_tready;
+ wire h2e_dma_tvalid;
+
+ wire [3:0] eth0_rx_irq;
+ wire [3:0] eth0_tx_irq;
+ wire [3:0] eth1_rx_irq;
+ wire [3:0] eth1_tx_irq;
+
+ // RF reset control
+ wire nco_reset_done;
+ wire start_nco_reset;
+ wire adc_reset_pulse;
+ wire dac_reset_pulse;
+
+ // Rear panel LEDs control
+ //
+ // Each LED is comprised of a green (LSB) and a red (MSB) LED which the user
+ // can control through a 2-bit vector once fabric LED control is configured
+ // on the X410's Linux shell.
+ localparam LED_OFF = 2'b00;
+ localparam LED_GREEN = 2'b01;
+ localparam LED_RED = 2'b10;
+ localparam LED_AMBER = 2'b11;
+
+ wire [1:0] user_led_ctrl [0:2];
+ assign user_led_ctrl[0] = LED_GREEN;
+ assign user_led_ctrl[1] = LED_RED;
+ assign user_led_ctrl[2] = LED_AMBER;
+
+ // Unused AXI signals
+ assign axi_hp0_arid = 0;
+ assign axi_hp0_aruser = 0;
+ assign axi_hp0_awid = 0;
+ assign axi_hp0_awuser = 0;
+ assign axi_hp1_arid = 0;
+ assign axi_hp1_aruser = 0;
+ assign axi_hp1_awid = 0;
+ assign axi_hp1_awuser = 0;
+
+ // Interrupt mapping
+ wire [7:0] pl_ps_irq0;
+ wire [7:2] pl_ps_irq1;
+
+ assign pl_ps_irq0 = 8'b0;
+
+ assign pl_ps_irq1[2] = 1'b0;
+ assign pl_ps_irq1[3] = 1'b0;
+ assign pl_ps_irq1[4] = eth0_rx_irq[0] || eth0_rx_irq[1] || eth0_rx_irq[2] || eth0_rx_irq[3];
+ assign pl_ps_irq1[5] = eth0_tx_irq[0] || eth0_tx_irq[1] || eth0_tx_irq[2] || eth0_tx_irq[3];
+ assign pl_ps_irq1[6] = eth1_rx_irq[0] || eth1_rx_irq[1] || eth1_rx_irq[2] || eth1_rx_irq[3];
+ assign pl_ps_irq1[7] = eth1_tx_irq[0] || eth1_tx_irq[1] || eth1_tx_irq[2] || eth1_tx_irq[3];
+
+ // GPIO inputs (assigned from 31 decreasing)
+ //
+ // Make the current PPS signal available to the PS.
+ assign gpio_0_tri_i[31] = pps_refclk;
+ assign gpio_0_tri_i[30] = 0; //unused
+ //QSFP+ module present signals
+ assign gpio_0_tri_i[29] = QSFP1_MODPRS_n;
+ assign gpio_0_tri_i[28] = QSFP0_MODPRS_n;
+ assign gpio_0_tri_i[27:24] = 4'b0; // unused
+ assign gpio_0_tri_i[23] = eth1_link_up[3];
+ assign gpio_0_tri_i[22] = eth1_link_up[2];
+ assign gpio_0_tri_i[21] = eth1_link_up[1];
+ assign gpio_0_tri_i[20] = eth1_link_up[0];
+ assign gpio_0_tri_i[19] = eth0_link_up[3];
+ assign gpio_0_tri_i[18] = eth0_link_up[2];
+ assign gpio_0_tri_i[17] = eth0_link_up[1];
+ assign gpio_0_tri_i[16] = eth0_link_up[0];
+ assign gpio_0_tri_i[15:14] = 2'b0;
+ assign gpio_0_tri_i[13:12] = user_led_ctrl[2];
+ assign gpio_0_tri_i[11:10] = user_led_ctrl[1];
+ assign gpio_0_tri_i[9:8] = user_led_ctrl[0];
+ assign gpio_0_tri_i[7:0] = 8'b0; // unused
+
+ // GPIO outputs (assigned from 0 increasing)
+ //
+ // Drive the JTAG level translator enable line (active low) with GPIO[0] from
+ // the PS.
+ assign CPLD_JTAG_OE_n = gpio_0_tri_o[0];
+ // Drive the CPLD JTAG enable line (active high) with GPIO[1] from the PS.
+ assign PL_CPLD_JTAGEN = gpio_0_tri_o[1];
+
+ x4xx_ps_rfdc_bd x4xx_ps_rfdc_bd_i (
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .adc_enable_data_rclk (adc_enable_data_rclk),
+ .adc_reset_pulse_dclk (adc_reset_pulse),
+ .adc_rfdc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .bus_clk (clk200),
+ .bus_rstn (clk200_rstn),
+ .clk40 (clk40),
+ .clk40_rstn (clk40_rstn),
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_dclk2x (dac_data_in_resetn_dclk2x),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .dac_data_in_resetn_rclk2x (dac_data_in_resetn_rclk2x),
+ .dac_reset_pulse_dclk (dac_reset_pulse),
+ .data_clk (data_clk),
+ .data_clk_2x (data_clk_2x),
+ .data_clock_locked (),
+ .enable_gated_clocks_clk40 (1'b1),
+ .enable_sysref_rclk (1'b1),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .gated_base_clks_valid_clk40 (),
+ .invert_adc_iq_rclk2 (invert_adc_iq_rclk2),
+ .invert_dac_iq_rclk2 (invert_dac_iq_rclk2),
+ .irq0_lpd_rpu_n (1'b1),
+ .irq1_lpd_rpu_n (1'b1),
+ .jtag0_tck (),
+ .jtag0_tdi (),
+ .jtag0_tdo (),
+ .jtag0_tms (),
+ .nco_reset_done_dclk (nco_reset_done),
+ .pl_clk40 (clk40),
+ .pl_clk100 (clk100),
+ .pl_clk166 (),
+ .pl_clk200 (clk200),
+ .pl_ps_irq0 (pl_ps_irq0),
+ .pl_ps_irq1 (pl_ps_irq1),
+ .pl_resetn0 (pl_resetn0),
+ .pl_resetn1 (),
+ .pl_resetn2 (),
+ .pl_resetn3 (),
+ .pll_ref_clk_in (pll_ref_clk_in),
+ .pll_ref_clk_out (pll_ref_clk),
+ .rf_axi_status_clk40 (rf_axi_status_clk40),
+ .rf_dsp_info_clk40 (rf_dsp_info_clk40),
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .rfdc_irq (),
+ .s_axi_hp0_aclk (clk40),
+ .s_axi_hp1_aclk (clk40),
+ .s_axi_hpc0_aclk (),
+ .start_nco_reset_dclk (start_nco_reset),
+ .sysref_out_pclk (),
+ .sysref_out_rclk (),
+ .sysref_pl_in (sysref_pl),
+ .s_axi_hp0_aruser (axi_hp0_aruser),
+ .s_axi_hp0_awuser (axi_hp0_awuser),
+ .s_axi_hp0_awid (axi_hp0_awid),
+ .s_axi_hp0_awaddr (axi_hp0_awaddr),
+ .s_axi_hp0_awlen (axi_hp0_awlen),
+ .s_axi_hp0_awsize (axi_hp0_awsize),
+ .s_axi_hp0_awburst (axi_hp0_awburst),
+ .s_axi_hp0_awlock (axi_hp0_awlock),
+ .s_axi_hp0_awcache (axi_hp0_awcache),
+ .s_axi_hp0_awprot (axi_hp0_awprot),
+ .s_axi_hp0_awvalid (axi_hp0_awvalid),
+ .s_axi_hp0_awready (axi_hp0_awready),
+ .s_axi_hp0_wdata (axi_hp0_wdata),
+ .s_axi_hp0_wstrb (axi_hp0_wstrb),
+ .s_axi_hp0_wlast (axi_hp0_wlast),
+ .s_axi_hp0_wvalid (axi_hp0_wvalid),
+ .s_axi_hp0_wready (axi_hp0_wready),
+ .s_axi_hp0_bid (),
+ .s_axi_hp0_bresp (axi_hp0_bresp),
+ .s_axi_hp0_bvalid (axi_hp0_bvalid),
+ .s_axi_hp0_bready (axi_hp0_bready),
+ .s_axi_hp0_arid (axi_hp0_arid),
+ .s_axi_hp0_araddr (axi_hp0_araddr),
+ .s_axi_hp0_arlen (axi_hp0_arlen),
+ .s_axi_hp0_arsize (axi_hp0_arsize),
+ .s_axi_hp0_arburst (axi_hp0_arburst),
+ .s_axi_hp0_arlock (axi_hp0_arlock),
+ .s_axi_hp0_arcache (axi_hp0_arcache),
+ .s_axi_hp0_arprot (axi_hp0_arprot),
+ .s_axi_hp0_arvalid (axi_hp0_arvalid),
+ .s_axi_hp0_arready (axi_hp0_arready),
+ .s_axi_hp0_rid (),
+ .s_axi_hp0_rdata (axi_hp0_rdata),
+ .s_axi_hp0_rresp (axi_hp0_rresp),
+ .s_axi_hp0_rlast (axi_hp0_rlast),
+ .s_axi_hp0_rvalid (axi_hp0_rvalid),
+ .s_axi_hp0_rready (axi_hp0_rready),
+ .s_axi_hp0_awqos (axi_hp0_awqos),
+ .s_axi_hp0_arqos (axi_hp0_arqos),
+ .s_axis_eth_dma_tdata (e2h_dma_tdata),
+ .s_axis_eth_dma_tkeep (e2h_dma_tkeep),
+ .s_axis_eth_dma_tlast (e2h_dma_tlast),
+ .s_axis_eth_dma_tready (e2h_dma_tready),
+ .s_axis_eth_dma_tvalid (e2h_dma_tvalid),
+ .s_axi_hp1_aruser (axi_hp1_aruser),
+ .s_axi_hp1_awuser (axi_hp1_awuser),
+ .s_axi_hp1_awid (axi_hp1_awid),
+ .s_axi_hp1_awaddr (axi_hp1_awaddr),
+ .s_axi_hp1_awlen (axi_hp1_awlen),
+ .s_axi_hp1_awsize (axi_hp1_awsize),
+ .s_axi_hp1_awburst (axi_hp1_awburst),
+ .s_axi_hp1_awlock (axi_hp1_awlock),
+ .s_axi_hp1_awcache (axi_hp1_awcache),
+ .s_axi_hp1_awprot (axi_hp1_awprot),
+ .s_axi_hp1_awvalid (axi_hp1_awvalid),
+ .s_axi_hp1_awready (axi_hp1_awready),
+ .s_axi_hp1_wdata (axi_hp1_wdata),
+ .s_axi_hp1_wstrb (axi_hp1_wstrb),
+ .s_axi_hp1_wlast (axi_hp1_wlast),
+ .s_axi_hp1_wvalid (axi_hp1_wvalid),
+ .s_axi_hp1_wready (axi_hp1_wready),
+ .s_axi_hp1_bid (),
+ .s_axi_hp1_bresp (axi_hp1_bresp),
+ .s_axi_hp1_bvalid (axi_hp1_bvalid),
+ .s_axi_hp1_bready (axi_hp1_bready),
+ .s_axi_hp1_arid (axi_hp1_arid),
+ .s_axi_hp1_araddr (axi_hp1_araddr),
+ .s_axi_hp1_arlen (axi_hp1_arlen),
+ .s_axi_hp1_arsize (axi_hp1_arsize),
+ .s_axi_hp1_arburst (axi_hp1_arburst),
+ .s_axi_hp1_arlock (axi_hp1_arlock),
+ .s_axi_hp1_arcache (axi_hp1_arcache),
+ .s_axi_hp1_arprot (axi_hp1_arprot),
+ .s_axi_hp1_arvalid (axi_hp1_arvalid),
+ .s_axi_hp1_arready (axi_hp1_arready),
+ .s_axi_hp1_rid (),
+ .s_axi_hp1_rdata (axi_hp1_rdata),
+ .s_axi_hp1_rresp (axi_hp1_rresp),
+ .s_axi_hp1_rlast (axi_hp1_rlast),
+ .s_axi_hp1_rvalid (axi_hp1_rvalid),
+ .s_axi_hp1_rready (axi_hp1_rready),
+ .s_axi_hp1_awqos (axi_hp1_awqos),
+ .s_axi_hp1_arqos (axi_hp1_arqos),
+ .s_axi_hpc0_aruser (),
+ .s_axi_hpc0_awuser (),
+ .s_axi_hpc0_awid (),
+ .s_axi_hpc0_awaddr (),
+ .s_axi_hpc0_awlen (),
+ .s_axi_hpc0_awsize (),
+ .s_axi_hpc0_awburst (),
+ .s_axi_hpc0_awlock (),
+ .s_axi_hpc0_awcache (),
+ .s_axi_hpc0_awprot (),
+ .s_axi_hpc0_awvalid (),
+ .s_axi_hpc0_awready (),
+ .s_axi_hpc0_wdata (),
+ .s_axi_hpc0_wstrb (),
+ .s_axi_hpc0_wlast (),
+ .s_axi_hpc0_wvalid (),
+ .s_axi_hpc0_wready (),
+ .s_axi_hpc0_bid (),
+ .s_axi_hpc0_bresp (),
+ .s_axi_hpc0_bvalid (),
+ .s_axi_hpc0_bready (),
+ .s_axi_hpc0_arid (),
+ .s_axi_hpc0_araddr (),
+ .s_axi_hpc0_arlen (),
+ .s_axi_hpc0_arsize (),
+ .s_axi_hpc0_arburst (),
+ .s_axi_hpc0_arlock (),
+ .s_axi_hpc0_arcache (),
+ .s_axi_hpc0_arprot (),
+ .s_axi_hpc0_arvalid (),
+ .s_axi_hpc0_arready (),
+ .s_axi_hpc0_rid (),
+ .s_axi_hpc0_rdata (),
+ .s_axi_hpc0_rresp (),
+ .s_axi_hpc0_rlast (),
+ .s_axi_hpc0_rvalid (),
+ .s_axi_hpc0_rready (),
+ .s_axi_hpc0_awqos (),
+ .s_axi_hpc0_arqos (),
+ .adc0_clk_clk_n (ADC_CLK_N[0]),
+ .adc0_clk_clk_p (ADC_CLK_P[0]),
+ .adc2_clk_clk_n (ADC_CLK_N[2]),
+ .adc2_clk_clk_p (ADC_CLK_P[2]),
+ .m_axi_app_awaddr (m_axi_app_awaddr),
+ .m_axi_app_awprot (m_axi_app_awprot),
+ .m_axi_app_awvalid (m_axi_app_awvalid),
+ .m_axi_app_awready (m_axi_app_awready),
+ .m_axi_app_wdata (m_axi_app_wdata),
+ .m_axi_app_wstrb (m_axi_app_wstrb),
+ .m_axi_app_wvalid (m_axi_app_wvalid),
+ .m_axi_app_wready (m_axi_app_wready),
+ .m_axi_app_bresp (m_axi_app_bresp),
+ .m_axi_app_bvalid (m_axi_app_bvalid),
+ .m_axi_app_bready (m_axi_app_bready),
+ .m_axi_app_araddr (m_axi_app_araddr),
+ .m_axi_app_arprot (m_axi_app_arprot),
+ .m_axi_app_arvalid (m_axi_app_arvalid),
+ .m_axi_app_arready (m_axi_app_arready),
+ .m_axi_app_rdata (m_axi_app_rdata),
+ .m_axi_app_rresp (m_axi_app_rresp),
+ .m_axi_app_rvalid (m_axi_app_rvalid),
+ .m_axi_app_rready (m_axi_app_rready),
+ .dac0_clk_clk_n (DAC_CLK_N[0]),
+ .dac0_clk_clk_p (DAC_CLK_P[0]),
+ .dac1_clk_clk_n (DAC_CLK_N[1]),
+ .dac1_clk_clk_p (DAC_CLK_P[1]),
+ .gpio_0_tri_i (gpio_0_tri_i),
+ .gpio_0_tri_o (gpio_0_tri_o),
+ .gpio_0_tri_t (),
+ .m_axi_eth_internal_awaddr (axi_eth_internal_awaddr),
+ .m_axi_eth_internal_awprot (),
+ .m_axi_eth_internal_awvalid (axi_eth_internal_awvalid),
+ .m_axi_eth_internal_awready (axi_eth_internal_awready),
+ .m_axi_eth_internal_wdata (axi_eth_internal_wdata),
+ .m_axi_eth_internal_wstrb (axi_eth_internal_wstrb),
+ .m_axi_eth_internal_wvalid (axi_eth_internal_wvalid),
+ .m_axi_eth_internal_wready (axi_eth_internal_wready),
+ .m_axi_eth_internal_bresp (axi_eth_internal_bresp),
+ .m_axi_eth_internal_bvalid (axi_eth_internal_bvalid),
+ .m_axi_eth_internal_bready (axi_eth_internal_bready),
+ .m_axi_eth_internal_araddr (axi_eth_internal_araddr),
+ .m_axi_eth_internal_arprot (),
+ .m_axi_eth_internal_arvalid (axi_eth_internal_arvalid),
+ .m_axi_eth_internal_arready (axi_eth_internal_arready),
+ .m_axi_eth_internal_rdata (axi_eth_internal_rdata),
+ .m_axi_eth_internal_rresp (axi_eth_internal_rresp),
+ .m_axi_eth_internal_rvalid (axi_eth_internal_rvalid),
+ .m_axi_eth_internal_rready (axi_eth_internal_rready),
+ .m_axis_eth_dma_tdata (h2e_dma_tdata),
+ .m_axis_eth_dma_tkeep (h2e_dma_tkeep),
+ .m_axis_eth_dma_tlast (h2e_dma_tlast),
+ .m_axis_eth_dma_tready (h2e_dma_tready),
+ .m_axis_eth_dma_tvalid (h2e_dma_tvalid),
+ .m_axi_rpu_awaddr (),
+ .m_axi_rpu_awprot (),
+ .m_axi_rpu_awvalid (),
+ .m_axi_rpu_awready (),
+ .m_axi_rpu_wdata (),
+ .m_axi_rpu_wstrb (),
+ .m_axi_rpu_wvalid (),
+ .m_axi_rpu_wready (),
+ .m_axi_rpu_bresp (),
+ .m_axi_rpu_bvalid (),
+ .m_axi_rpu_bready (),
+ .m_axi_rpu_araddr (),
+ .m_axi_rpu_arprot (),
+ .m_axi_rpu_arvalid (),
+ .m_axi_rpu_arready (),
+ .m_axi_rpu_rdata (),
+ .m_axi_rpu_rresp (),
+ .m_axi_rpu_rvalid (),
+ .m_axi_rpu_rready (),
+ .m_axi_core_awaddr (axi_core_awaddr),
+ .m_axi_core_awprot (),
+ .m_axi_core_awvalid (axi_core_awvalid),
+ .m_axi_core_awready (axi_core_awready),
+ .m_axi_core_wdata (axi_core_wdata),
+ .m_axi_core_wstrb (axi_core_wstrb),
+ .m_axi_core_wvalid (axi_core_wvalid),
+ .m_axi_core_wready (axi_core_wready),
+ .m_axi_core_bresp (axi_core_bresp),
+ .m_axi_core_bvalid (axi_core_bvalid),
+ .m_axi_core_bready (axi_core_bready),
+ .m_axi_core_araddr (axi_core_araddr),
+ .m_axi_core_arprot (),
+ .m_axi_core_arvalid (axi_core_arvalid),
+ .m_axi_core_arready (axi_core_arready),
+ .m_axi_core_rdata (axi_core_rdata),
+ .m_axi_core_rresp (axi_core_rresp),
+ .m_axi_core_rvalid (axi_core_rvalid),
+ .m_axi_core_rready (axi_core_rready),
+ .m_axi_mpm_ep_awaddr (m_axi_mpm_ep_awaddr),
+ .m_axi_mpm_ep_awprot (),
+ .m_axi_mpm_ep_awvalid (m_axi_mpm_ep_awvalid),
+ .m_axi_mpm_ep_awready (m_axi_mpm_ep_awready),
+ .m_axi_mpm_ep_wdata (m_axi_mpm_ep_wdata),
+ .m_axi_mpm_ep_wstrb (m_axi_mpm_ep_wstrb),
+ .m_axi_mpm_ep_wvalid (m_axi_mpm_ep_wvalid),
+ .m_axi_mpm_ep_wready (m_axi_mpm_ep_wready),
+ .m_axi_mpm_ep_bresp (m_axi_mpm_ep_bresp),
+ .m_axi_mpm_ep_bvalid (m_axi_mpm_ep_bvalid),
+ .m_axi_mpm_ep_bready (m_axi_mpm_ep_bready),
+ .m_axi_mpm_ep_araddr (m_axi_mpm_ep_araddr),
+ .m_axi_mpm_ep_arprot (),
+ .m_axi_mpm_ep_arvalid (m_axi_mpm_ep_arvalid),
+ .m_axi_mpm_ep_arready (m_axi_mpm_ep_arready),
+ .m_axi_mpm_ep_rdata (m_axi_mpm_ep_rdata),
+ .m_axi_mpm_ep_rresp (m_axi_mpm_ep_rresp),
+ .m_axi_mpm_ep_rvalid (m_axi_mpm_ep_rvalid),
+ .m_axi_mpm_ep_rready (m_axi_mpm_ep_rready),
+ .adc_tile224_ch0_dout_i_tdata (adc_tile_dout_i_tdata[0]),
+ .adc_tile224_ch0_dout_i_tready (adc_tile_dout_i_tready[0]),
+ .adc_tile224_ch0_dout_i_tvalid (adc_tile_dout_i_tvalid[0]),
+ .adc_tile224_ch0_dout_q_tdata (adc_tile_dout_q_tdata[0]),
+ .adc_tile224_ch0_dout_q_tready (adc_tile_dout_q_tready[0]),
+ .adc_tile224_ch0_dout_q_tvalid (adc_tile_dout_q_tvalid[0]),
+ .adc_tile224_ch1_dout_i_tdata (adc_tile_dout_i_tdata[1]),
+ .adc_tile224_ch1_dout_i_tready (adc_tile_dout_i_tready[1]),
+ .adc_tile224_ch1_dout_i_tvalid (adc_tile_dout_i_tvalid[1]),
+ .adc_tile224_ch1_dout_q_tdata (adc_tile_dout_q_tdata[1]),
+ .adc_tile224_ch1_dout_q_tready (adc_tile_dout_q_tready[1]),
+ .adc_tile224_ch1_dout_q_tvalid (adc_tile_dout_q_tvalid[1]),
+ .adc_tile226_ch0_dout_i_tdata (adc_tile_dout_i_tdata[2]),
+ .adc_tile226_ch0_dout_i_tready (adc_tile_dout_i_tready[2]),
+ .adc_tile226_ch0_dout_i_tvalid (adc_tile_dout_i_tvalid[2]),
+ .adc_tile226_ch0_dout_q_tdata (adc_tile_dout_q_tdata[2]),
+ .adc_tile226_ch0_dout_q_tready (adc_tile_dout_q_tready[2]),
+ .adc_tile226_ch0_dout_q_tvalid (adc_tile_dout_q_tvalid[2]),
+ .adc_tile226_ch1_dout_i_tdata (adc_tile_dout_i_tdata[3]),
+ .adc_tile226_ch1_dout_i_tready (adc_tile_dout_i_tready[3]),
+ .adc_tile226_ch1_dout_i_tvalid (adc_tile_dout_i_tvalid[3]),
+ .adc_tile226_ch1_dout_q_tdata (adc_tile_dout_q_tdata[3]),
+ .adc_tile226_ch1_dout_q_tready (adc_tile_dout_q_tready[3]),
+ .adc_tile226_ch1_dout_q_tvalid (adc_tile_dout_q_tvalid[3]),
+ .dac_tile228_ch0_vout_v_n (DB0_TX_N[0]),
+ .dac_tile228_ch0_vout_v_p (DB0_TX_P[0]),
+ .dac_tile228_ch1_vout_v_n (DB0_TX_N[1]),
+ .dac_tile228_ch1_vout_v_p (DB0_TX_P[1]),
+ .dac_tile229_ch0_vout_v_n (DB1_TX_N[0]),
+ .dac_tile229_ch0_vout_v_p (DB1_TX_P[0]),
+ .dac_tile229_ch1_vout_v_n (DB1_TX_N[1]),
+ .dac_tile229_ch1_vout_v_p (DB1_TX_P[1]),
+ .dac_tile228_ch0_din_tdata (dac_tile_din_tdata[0]),
+ .dac_tile228_ch0_din_tvalid (dac_tile_din_tvalid[0]),
+ .dac_tile228_ch0_din_tready (dac_tile_din_tready[0]),
+ .dac_tile228_ch1_din_tdata (dac_tile_din_tdata[1]),
+ .dac_tile228_ch1_din_tvalid (dac_tile_din_tvalid[1]),
+ .dac_tile228_ch1_din_tready (dac_tile_din_tready[1]),
+ .dac_tile229_ch0_din_tdata (dac_tile_din_tdata[2]),
+ .dac_tile229_ch0_din_tvalid (dac_tile_din_tvalid[2]),
+ .dac_tile229_ch0_din_tready (dac_tile_din_tready[2]),
+ .dac_tile229_ch1_din_tdata (dac_tile_din_tdata[3]),
+ .dac_tile229_ch1_din_tvalid (dac_tile_din_tvalid[3]),
+ .dac_tile229_ch1_din_tready (dac_tile_din_tready[3]),
+ .s_axi_hpc1_awid (),
+ .s_axi_hpc1_awaddr (),
+ .s_axi_hpc1_awlen (),
+ .s_axi_hpc1_awsize (),
+ .s_axi_hpc1_awburst (),
+ .s_axi_hpc1_awlock (),
+ .s_axi_hpc1_awcache (),
+ .s_axi_hpc1_awprot (),
+ .s_axi_hpc1_awqos (),
+ .s_axi_hpc1_awvalid (),
+ .s_axi_hpc1_awready (),
+ .s_axi_hpc1_wdata (),
+ .s_axi_hpc1_wstrb (),
+ .s_axi_hpc1_wlast (),
+ .s_axi_hpc1_wvalid (),
+ .s_axi_hpc1_wready (),
+ .s_axi_hpc1_bid (),
+ .s_axi_hpc1_bresp (),
+ .s_axi_hpc1_bvalid (),
+ .s_axi_hpc1_bready (),
+ .s_axi_hpc1_arid (),
+ .s_axi_hpc1_araddr (),
+ .s_axi_hpc1_arlen (),
+ .s_axi_hpc1_arsize (),
+ .s_axi_hpc1_arburst (),
+ .s_axi_hpc1_arlock (),
+ .s_axi_hpc1_arcache (),
+ .s_axi_hpc1_arprot (),
+ .s_axi_hpc1_arqos (),
+ .s_axi_hpc1_arvalid (),
+ .s_axi_hpc1_arready (),
+ .s_axi_hpc1_rid (),
+ .s_axi_hpc1_rdata (),
+ .s_axi_hpc1_rresp (),
+ .s_axi_hpc1_rlast (),
+ .s_axi_hpc1_rvalid (),
+ .s_axi_hpc1_rready (),
+ .sysref_rf_in_diff_n (SYSREF_RF_N),
+ .sysref_rf_in_diff_p (SYSREF_RF_P),
+ .adc_tile224_ch0_vin_v_n (DB0_RX_N[0]),
+ .adc_tile224_ch0_vin_v_p (DB0_RX_P[0]),
+ .adc_tile224_ch1_vin_v_n (DB0_RX_N[1]),
+ .adc_tile224_ch1_vin_v_p (DB0_RX_P[1]),
+ .adc_tile226_ch0_vin_v_n (DB1_RX_N[0]),
+ .adc_tile226_ch0_vin_v_p (DB1_RX_P[0]),
+ .adc_tile226_ch1_vin_v_n (DB1_RX_N[1]),
+ .adc_tile226_ch1_vin_v_p (DB1_RX_P[1]),
+ .s_axi_hpc1_aruser (),
+ .s_axi_hpc1_awuser ()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // AXI Interconnect
+ //---------------------------------------------------------------------------
+
+ wire [ 39:0] axi_qsfp0_araddr;
+ wire [ 0:0] axi_qsfp0_arready;
+ wire [ 0:0] axi_qsfp0_arvalid;
+ wire [ 39:0] axi_qsfp0_awaddr;
+ wire [ 0:0] axi_qsfp0_awready;
+ wire [ 0:0] axi_qsfp0_awvalid;
+ wire [ 0:0] axi_qsfp0_bready;
+ wire [ 1:0] axi_qsfp0_bresp;
+ wire [ 0:0] axi_qsfp0_bvalid;
+ wire [ 31:0] axi_qsfp0_rdata;
+ wire [ 0:0] axi_qsfp0_rready;
+ wire [ 1:0] axi_qsfp0_rresp;
+ wire [ 0:0] axi_qsfp0_rvalid;
+ wire [ 31:0] axi_qsfp0_wdata;
+ wire [ 0:0] axi_qsfp0_wready;
+ wire [ 3:0] axi_qsfp0_wstrb;
+ wire [ 0:0] axi_qsfp0_wvalid;
+
+ wire [ 39:0] axi_qsfp1_araddr;
+ wire [ 0:0] axi_qsfp1_arready;
+ wire [ 0:0] axi_qsfp1_arvalid;
+ wire [ 39:0] axi_qsfp1_awaddr;
+ wire [ 0:0] axi_qsfp1_awready;
+ wire [ 0:0] axi_qsfp1_awvalid;
+ wire [ 0:0] axi_qsfp1_bready;
+ wire [ 1:0] axi_qsfp1_bresp;
+ wire [ 0:0] axi_qsfp1_bvalid;
+ wire [ 31:0] axi_qsfp1_rdata;
+ wire [ 0:0] axi_qsfp1_rready;
+ wire [ 1:0] axi_qsfp1_rresp;
+ wire [ 0:0] axi_qsfp1_rvalid;
+ wire [ 31:0] axi_qsfp1_wdata;
+ wire [ 0:0] axi_qsfp1_wready;
+ wire [ 3:0] axi_qsfp1_wstrb;
+ wire [ 0:0] axi_qsfp1_wvalid;
+
+ axi_interconnect_app_bd axi_interconnect_app_bd_i (
+ .clk40 (clk40),
+ .clk40_rstn (clk40_rstn),
+ .m_axi_qsfp0_araddr (axi_qsfp0_araddr),
+ .m_axi_qsfp0_arprot (),
+ .m_axi_qsfp0_arready (axi_qsfp0_arready),
+ .m_axi_qsfp0_arvalid (axi_qsfp0_arvalid),
+ .m_axi_qsfp0_awaddr (axi_qsfp0_awaddr),
+ .m_axi_qsfp0_awprot (),
+ .m_axi_qsfp0_awready (axi_qsfp0_awready),
+ .m_axi_qsfp0_awvalid (axi_qsfp0_awvalid),
+ .m_axi_qsfp0_bready (axi_qsfp0_bready),
+ .m_axi_qsfp0_bresp (axi_qsfp0_bresp),
+ .m_axi_qsfp0_bvalid (axi_qsfp0_bvalid),
+ .m_axi_qsfp0_rdata (axi_qsfp0_rdata),
+ .m_axi_qsfp0_rready (axi_qsfp0_rready),
+ .m_axi_qsfp0_rresp (axi_qsfp0_rresp),
+ .m_axi_qsfp0_rvalid (axi_qsfp0_rvalid),
+ .m_axi_qsfp0_wdata (axi_qsfp0_wdata),
+ .m_axi_qsfp0_wready (axi_qsfp0_wready),
+ .m_axi_qsfp0_wstrb (axi_qsfp0_wstrb),
+ .m_axi_qsfp0_wvalid (axi_qsfp0_wvalid),
+ .m_axi_qsfp1_araddr (axi_qsfp1_araddr),
+ .m_axi_qsfp1_arprot (),
+ .m_axi_qsfp1_arready (axi_qsfp1_arready),
+ .m_axi_qsfp1_arvalid (axi_qsfp1_arvalid),
+ .m_axi_qsfp1_awaddr (axi_qsfp1_awaddr),
+ .m_axi_qsfp1_awprot (),
+ .m_axi_qsfp1_awready (axi_qsfp1_awready),
+ .m_axi_qsfp1_awvalid (axi_qsfp1_awvalid),
+ .m_axi_qsfp1_bready (axi_qsfp1_bready),
+ .m_axi_qsfp1_bresp (axi_qsfp1_bresp),
+ .m_axi_qsfp1_bvalid (axi_qsfp1_bvalid),
+ .m_axi_qsfp1_rdata (axi_qsfp1_rdata),
+ .m_axi_qsfp1_rready (axi_qsfp1_rready),
+ .m_axi_qsfp1_rresp (axi_qsfp1_rresp),
+ .m_axi_qsfp1_rvalid (axi_qsfp1_rvalid),
+ .m_axi_qsfp1_wdata (axi_qsfp1_wdata),
+ .m_axi_qsfp1_wready (axi_qsfp1_wready),
+ .m_axi_qsfp1_wstrb (axi_qsfp1_wstrb),
+ .m_axi_qsfp1_wvalid (axi_qsfp1_wvalid),
+ .s_axi_app_araddr (m_axi_app_araddr),
+ .s_axi_app_arprot (m_axi_app_arprot),
+ .s_axi_app_arready (m_axi_app_arready),
+ .s_axi_app_arvalid (m_axi_app_arvalid),
+ .s_axi_app_awaddr (m_axi_app_awaddr),
+ .s_axi_app_awprot (m_axi_app_awprot),
+ .s_axi_app_awready (m_axi_app_awready),
+ .s_axi_app_awvalid (m_axi_app_awvalid),
+ .s_axi_app_bready (m_axi_app_bready),
+ .s_axi_app_bresp (m_axi_app_bresp),
+ .s_axi_app_bvalid (m_axi_app_bvalid),
+ .s_axi_app_rdata (m_axi_app_rdata),
+ .s_axi_app_rready (m_axi_app_rready),
+ .s_axi_app_rresp (m_axi_app_rresp),
+ .s_axi_app_rvalid (m_axi_app_rvalid),
+ .s_axi_app_wdata (m_axi_app_wdata),
+ .s_axi_app_wready (m_axi_app_wready),
+ .s_axi_app_wstrb (m_axi_app_wstrb),
+ .s_axi_app_wvalid (m_axi_app_wvalid)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // RF + Control Daughterboard Cores
+ //---------------------------------------------------------------------------
+
+ localparam NUM_DBOARDS = 2;
+ localparam NUM_CHANNELS_PER_DBOARD = 2;
+ localparam NUM_CHANNELS = NUM_DBOARDS*NUM_CHANNELS_PER_DBOARD;
+
+ // Radio timestamp
+ wire [ 63:0] radio_time;
+ wire radio_time_stb;
+ wire [ 3:0] time_ignore_bits;
+
+ // User data interfaces (data_clk domain)
+ //
+ // ADC (note no tready signal, ADC data can't be throttled)
+ wire [RADIO_SPC*32-1:0] adc_data_out_tdata [0:3]; // 32-bit samples (I + Q)
+ wire [3:0] adc_data_out_tvalid;
+ // DAC
+ wire [RADIO_SPC*32-1:0] dac_data_in_tdata [0:3]; // 32-bit samples (I + Q)
+ wire [3:0] dac_data_in_tready;
+ wire [3:0] dac_data_in_tvalid;
+
+ // GPIO ctrlport interface
+ wire db_ctrlport_req_rd [0:1];
+ wire db_ctrlport_req_wr [0:1];
+ wire [19:0] db_ctrlport_req_addr [0:1];
+ wire [31:0] db_ctrlport_req_data [0:1];
+ wire [ 3:0] db_ctrlport_req_byte_en [0:1];
+ wire db_ctrlport_req_has_time [0:1];
+ wire [63:0] db_ctrlport_req_time [0:1];
+ wire db_ctrlport_resp_ack [0:1];
+ wire [31:0] db_ctrlport_resp_data [0:1];
+ wire [ 1:0] db_ctrlport_resp_status [0:1];
+
+ // GPIO interface
+ wire [19:0] db_gpio_in_int [0:1];
+ wire [19:0] db_gpio_out_int [0:1];
+ wire [19:0] db_gpio_out_en_int[0:1];
+ wire [19:0] db_gpio_out_ext [0:1];
+ wire [19:0] db_gpio_out_en_ext[0:1];
+
+ // GPIO states
+ wire [ 3:0] rx_running;
+ wire [ 3:0] tx_running;
+ wire [ 3:0] db_state [0:1];
+
+ assign db_state[0] = { tx_running[1], rx_running[1],
+ tx_running[0], rx_running[0] };
+ assign db_state[1] = { tx_running[3], rx_running[3],
+ tx_running[2], rx_running[2] };
+
+ // Version info
+ // These wires only convey constant data.
+ wire [COMPONENT_VERSIONS_SIZE-1:0] rf_core_version [0:1];
+ wire [COMPONENT_VERSIONS_SIZE-1:0] db_gpio_ifc_version [0:1];
+
+ genvar dboard_num;
+ generate
+ for (dboard_num=0; dboard_num < (NUM_DBOARDS); dboard_num = dboard_num + 1) begin : gen_rf_cores
+ if (RF_BANDWIDTH == 100) begin : gen_rf_core_100m
+ localparam ADC_AXIS_W = 32;
+ localparam DAC_AXIS_W = 64;
+ rf_core_100m rf_core_100m_i (
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .data_clk (data_clk),
+ .data_clk_2x (data_clk_2x),
+ .s_axi_config_clk (clk40),
+ .adc_data_in_i_tdata_0 (adc_tile_dout_i_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][ADC_AXIS_W-1:0]),
+ .adc_data_in_i_tready_0 (adc_tile_dout_i_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_i_tvalid_0 (adc_tile_dout_i_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_q_tdata_0 (adc_tile_dout_q_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][ADC_AXIS_W-1:0]),
+ .adc_data_in_q_tready_0 (adc_tile_dout_q_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_q_tvalid_0 (adc_tile_dout_q_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_i_tdata_1 (adc_tile_dout_i_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][ADC_AXIS_W-1:0]),
+ .adc_data_in_i_tready_1 (adc_tile_dout_i_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_i_tvalid_1 (adc_tile_dout_i_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_q_tdata_1 (adc_tile_dout_q_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][ADC_AXIS_W-1:0]),
+ .adc_data_in_q_tready_1 (adc_tile_dout_q_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_q_tvalid_1 (adc_tile_dout_q_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_out_tdata_0 (dac_tile_din_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][DAC_AXIS_W-1:0]),
+ .dac_data_out_tready_0 (dac_tile_din_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_out_tvalid_0 (dac_tile_din_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_out_tdata_1 (dac_tile_din_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][DAC_AXIS_W-1:0]),
+ .dac_data_out_tready_1 (dac_tile_din_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_out_tvalid_1 (dac_tile_din_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_out_tdata_0 (adc_data_out_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_out_tvalid_0 (adc_data_out_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_out_tdata_1 (adc_data_out_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_out_tvalid_1 (adc_data_out_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tdata_0 (dac_data_in_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tready_0 (dac_data_in_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tvalid_0 (dac_data_in_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tdata_1 (dac_data_in_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tready_1 (dac_data_in_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tvalid_1 (dac_data_in_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .invert_adc_iq_rclk2 (invert_adc_iq_rclk2[4*dboard_num+3:4*dboard_num]),
+ .invert_dac_iq_rclk2 (invert_dac_iq_rclk2[4*dboard_num+3:4*dboard_num]),
+ .dsp_info_sclk (rf_dsp_info_clk40[16*dboard_num+15:16*dboard_num]),
+ .axi_status_sclk (rf_axi_status_clk40[16*dboard_num+15:16*dboard_num]),
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .adc_enable_data_rclk (adc_enable_data_rclk),
+ .adc_rfdc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .dac_data_in_resetn_rclk2x (dac_data_in_resetn_rclk2x),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .version_info (rf_core_version[dboard_num])
+ );
+ end else if (RF_BANDWIDTH == 200) begin : gen_rf_core_200m
+ localparam ADC_AXIS_W = 128;
+ localparam DAC_AXIS_W = 256;
+ rf_core_200m rf_core_200m_i (
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .data_clk (data_clk),
+ .data_clk_2x (data_clk_2x),
+ .s_axi_config_clk (clk40),
+ .adc_data_in_i_tdata_0 (adc_tile_dout_i_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][ADC_AXIS_W-1:0]),
+ .adc_data_in_i_tready_0 (adc_tile_dout_i_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_i_tvalid_0 (adc_tile_dout_i_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_q_tdata_0 (adc_tile_dout_q_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][ADC_AXIS_W-1:0]),
+ .adc_data_in_q_tready_0 (adc_tile_dout_q_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_q_tvalid_0 (adc_tile_dout_q_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_i_tdata_1 (adc_tile_dout_i_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][ADC_AXIS_W-1:0]),
+ .adc_data_in_i_tready_1 (adc_tile_dout_i_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_i_tvalid_1 (adc_tile_dout_i_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_q_tdata_1 (adc_tile_dout_q_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][ADC_AXIS_W-1:0]),
+ .adc_data_in_q_tready_1 (adc_tile_dout_q_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_q_tvalid_1 (adc_tile_dout_q_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_out_tdata_0 (dac_tile_din_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][DAC_AXIS_W-1:0]),
+ .dac_data_out_tready_0 (dac_tile_din_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_out_tvalid_0 (dac_tile_din_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_out_tdata_1 (dac_tile_din_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][DAC_AXIS_W-1:0]),
+ .dac_data_out_tready_1 (dac_tile_din_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_out_tvalid_1 (dac_tile_din_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_out_tdata_0 (adc_data_out_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_out_tvalid_0 (adc_data_out_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_out_tdata_1 (adc_data_out_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_out_tvalid_1 (adc_data_out_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tdata_0 (dac_data_in_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tready_0 (dac_data_in_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tvalid_0 (dac_data_in_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tdata_1 (dac_data_in_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tready_1 (dac_data_in_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tvalid_1 (dac_data_in_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .invert_adc_iq_rclk2 (invert_adc_iq_rclk2[4*dboard_num+3:4*dboard_num]),
+ .invert_dac_iq_rclk2 (invert_dac_iq_rclk2[4*dboard_num+3:4*dboard_num]),
+ .dsp_info_sclk (rf_dsp_info_clk40[16*dboard_num+15:16*dboard_num]),
+ .axi_status_sclk (rf_axi_status_clk40[16*dboard_num+15:16*dboard_num]),
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .adc_enable_data_rclk (adc_enable_data_rclk),
+ .adc_rfdc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_dclk2x (dac_data_in_resetn_dclk2x),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .version_info (rf_core_version[dboard_num])
+ );
+ end else if (RF_BANDWIDTH == 400) begin : gen_rf_core_400m
+ localparam ADC_AXIS_W = 128;
+ localparam DAC_AXIS_W = 256;
+ rf_core_400m rf_core_400m_i (
+ .rfdc_clk (rfdc_clk),
+ .rfdc_clk_2x (rfdc_clk_2x),
+ .data_clk (data_clk),
+ .data_clk_2x (data_clk_2x),
+ .s_axi_config_clk (clk40),
+ .adc_data_in_i_tdata_0 (adc_tile_dout_i_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][ADC_AXIS_W-1:0]),
+ .adc_data_in_i_tready_0 (adc_tile_dout_i_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_i_tvalid_0 (adc_tile_dout_i_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_q_tdata_0 (adc_tile_dout_q_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][ADC_AXIS_W-1:0]),
+ .adc_data_in_q_tready_0 (adc_tile_dout_q_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_q_tvalid_0 (adc_tile_dout_q_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_in_i_tdata_1 (adc_tile_dout_i_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][ADC_AXIS_W-1:0]),
+ .adc_data_in_i_tready_1 (adc_tile_dout_i_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_i_tvalid_1 (adc_tile_dout_i_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_q_tdata_1 (adc_tile_dout_q_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][ADC_AXIS_W-1:0]),
+ .adc_data_in_q_tready_1 (adc_tile_dout_q_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_in_q_tvalid_1 (adc_tile_dout_q_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_out_tdata_0 (dac_tile_din_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0][DAC_AXIS_W-1:0]),
+ .dac_data_out_tready_0 (dac_tile_din_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_out_tvalid_0 (dac_tile_din_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_out_tdata_1 (dac_tile_din_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1][DAC_AXIS_W-1:0]),
+ .dac_data_out_tready_1 (dac_tile_din_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_out_tvalid_1 (dac_tile_din_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_out_tdata_0 (adc_data_out_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_out_tvalid_0 (adc_data_out_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .adc_data_out_tdata_1 (adc_data_out_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .adc_data_out_tvalid_1 (adc_data_out_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tdata_0 (dac_data_in_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tready_0 (dac_data_in_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tvalid_0 (dac_data_in_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+0]),
+ .dac_data_in_tdata_1 (dac_data_in_tdata[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tready_1 (dac_data_in_tready[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .dac_data_in_tvalid_1 (dac_data_in_tvalid[NUM_CHANNELS_PER_DBOARD*dboard_num+1]),
+ .invert_adc_iq_rclk2 (invert_adc_iq_rclk2[4*dboard_num+3:4*dboard_num]),
+ .invert_dac_iq_rclk2 (invert_dac_iq_rclk2[4*dboard_num+3:4*dboard_num]),
+ .dsp_info_sclk (rf_dsp_info_clk40[16*dboard_num+15:16*dboard_num]),
+ .axi_status_sclk (rf_axi_status_clk40[16*dboard_num+15:16*dboard_num]),
+ .adc_data_out_resetn_dclk (adc_data_out_resetn_dclk),
+ .adc_enable_data_rclk (adc_enable_data_rclk),
+ .adc_rfdc_axi_resetn_rclk (adc_rfdc_axi_resetn_rclk),
+ .dac_data_in_resetn_dclk (dac_data_in_resetn_dclk),
+ .dac_data_in_resetn_dclk2x (dac_data_in_resetn_dclk2x),
+ .dac_data_in_resetn_rclk (dac_data_in_resetn_rclk),
+ .fir_resetn_rclk2x (fir_resetn_rclk2x),
+ .version_info (rf_core_version[dboard_num])
+ );
+ end // gen_rf_core_400m
+ end // gen_rf_cores
+
+ for (dboard_num=0; dboard_num < (NUM_DBOARDS); dboard_num = dboard_num + 1) begin : db_gpio_gen
+ db_gpio_interface db_gpio_interface_i (
+ .radio_clk (radio_clk),
+ .pll_ref_clk (pll_ref_clk),
+ .db_state (db_state[dboard_num]),
+ .radio_time (radio_time),
+ .radio_time_stb (radio_time_stb),
+ .time_ignore_bits (time_ignore_bits),
+ .ctrlport_rst (radio_rst),
+ .s_ctrlport_req_wr (db_ctrlport_req_wr[dboard_num]),
+ .s_ctrlport_req_rd (db_ctrlport_req_rd[dboard_num]),
+ .s_ctrlport_req_addr (db_ctrlport_req_addr[dboard_num]),
+ .s_ctrlport_req_data (db_ctrlport_req_data[dboard_num]),
+ .s_ctrlport_req_byte_en (db_ctrlport_req_byte_en[dboard_num]),
+ .s_ctrlport_req_has_time (db_ctrlport_req_has_time[dboard_num]),
+ .s_ctrlport_req_time (db_ctrlport_req_time[dboard_num]),
+ .s_ctrlport_resp_ack (db_ctrlport_resp_ack[dboard_num]),
+ .s_ctrlport_resp_status (db_ctrlport_resp_status[dboard_num]),
+ .s_ctrlport_resp_data (db_ctrlport_resp_data[dboard_num]),
+ .gpio_in (db_gpio_in_int[dboard_num]),
+ .gpio_out (db_gpio_out_int[dboard_num]),
+ .gpio_out_en (db_gpio_out_en_int[dboard_num]),
+ .version_info (db_gpio_ifc_version[dboard_num])
+ );
+ end
+ endgenerate
+
+ db_gpio_reordering db_gpio_reordering_i (
+ .db0_gpio_in_int (db_gpio_in_int[0]),
+ .db0_gpio_out_int (db_gpio_out_int[0]),
+ .db0_gpio_out_en_int (db_gpio_out_en_int[0]),
+ .db1_gpio_in_int (db_gpio_in_int[1]),
+ .db1_gpio_out_int (db_gpio_out_int[1]),
+ .db1_gpio_out_en_int (db_gpio_out_en_int[1]),
+ .db0_gpio_in_ext (DB0_GPIO),
+ .db0_gpio_out_ext (db_gpio_out_ext[0]),
+ .db0_gpio_out_en_ext (db_gpio_out_en_ext[0]),
+ .db1_gpio_in_ext (DB1_GPIO),
+ .db1_gpio_out_ext (db_gpio_out_ext[1]),
+ .db1_gpio_out_en_ext (db_gpio_out_en_ext[1])
+ );
+
+ // DB GPIO tristate buffers
+ genvar j;
+ generate for (j=0; j<20; j=j+1) begin: db_gpio_tristate_gen
+ assign DB0_GPIO[j] = (db_gpio_out_en_ext[0][j]) ? db_gpio_out_ext[0][j] : 1'bz;
+ assign DB1_GPIO[j] = (db_gpio_out_en_ext[1][j]) ? db_gpio_out_ext[1][j] : 1'bz;
+ end endgenerate
+
+
+ //---------------------------------------------------------------------------
+ // QSFP Interfaces
+ //---------------------------------------------------------------------------
+
+ // Misc QSFP signals are currently unused
+ assign QSFP0_RESET_n = 1'b1; // Module reset
+ assign QSFP0_LPMODE_n = 1'b0; // Low-power Mode
+ assign QSFP1_RESET_n = 1'b1; // Module reset
+ assign QSFP1_LPMODE_n = 1'b0; // Low-power Mode
+
+ wire [31:0] qsfp_port_0_0_info;
+ wire [31:0] qsfp_port_0_1_info;
+ wire [31:0] qsfp_port_0_2_info;
+ wire [31:0] qsfp_port_0_3_info;
+ wire [31:0] qsfp_port_1_0_info;
+ wire [31:0] qsfp_port_1_1_info;
+ wire [31:0] qsfp_port_1_2_info;
+ wire [31:0] qsfp_port_1_3_info;
+
+ wire [3:0] qsfp0_tx_p;
+ wire [3:0] qsfp0_tx_n;
+ wire [3:0] qsfp0_rx_p;
+ wire [3:0] qsfp0_rx_n;
+
+ wire [3:0] qsfp1_tx_p;
+ wire [3:0] qsfp1_tx_n;
+ wire [3:0] qsfp1_rx_p;
+ wire [3:0] qsfp1_rx_n;
+
+
+ wire [15:0] device_id;
+ wire rx_rec_clk_out1; // output GTY on QSFP1
+
+ // e2v and v2e are flattened arrays, where e2v_tdata[CHDR_W*N +: CHDR_W] is
+ // the data for RFNoC port N. RFNoC ports 0-3 map to QSFP0 and ports 4-7 map
+ // to QSFP1.
+ wire [CHDR_W*8-1:0] e2v_tdata;
+ wire [ 8-1:0] e2v_tlast;
+ wire [ 8-1:0] e2v_tready;
+ wire [ 8-1:0] e2v_tvalid;
+
+ wire [CHDR_W*8-1:0] v2e_tdata;
+ wire [ 8-1:0] v2e_tlast;
+ wire [ 8-1:0] v2e_tready;
+ wire [ 8-1:0] v2e_tvalid;
+
+ `ifdef QSFP0_0
+ assign QSFP0_0_TX_P = qsfp0_tx_p[0];
+ assign QSFP0_0_TX_N = qsfp0_tx_n[0];
+ assign qsfp0_rx_p[0] = QSFP0_0_RX_P;
+ assign qsfp0_rx_n[0] = QSFP0_0_RX_N;
+ `else
+ assign qsfp0_rx_p[0] = 1'b0;
+ assign qsfp0_rx_n[0] = 1'b1;
+ `endif
+ `ifdef QSFP0_1
+ assign QSFP0_1_TX_P = qsfp0_tx_p[1];
+ assign QSFP0_1_TX_N = qsfp0_tx_n[1];
+ assign qsfp0_rx_p[1] = QSFP0_1_RX_P;
+ assign qsfp0_rx_n[1] = QSFP0_1_RX_N;
+ `else
+ assign qsfp0_rx_p[1] = 1'b0;
+ assign qsfp0_rx_n[1] = 1'b1;
+ `endif
+ `ifdef QSFP0_2
+ assign QSFP0_2_TX_P = qsfp0_tx_p[2];
+ assign QSFP0_2_TX_N = qsfp0_tx_n[2];
+ assign qsfp0_rx_p[2] = QSFP0_2_RX_P;
+ assign qsfp0_rx_n[2] = QSFP0_2_RX_N;
+ `else
+ assign qsfp0_rx_p[2] = 1'b0;
+ assign qsfp0_rx_n[2] = 1'b1;
+ `endif
+ `ifdef QSFP0_3
+ assign QSFP0_3_TX_P = qsfp0_tx_p[3];
+ assign QSFP0_3_TX_N = qsfp0_tx_n[3];
+ assign qsfp0_rx_p[3] = QSFP0_3_RX_P;
+ assign qsfp0_rx_n[3] = QSFP0_3_RX_N;
+ `else
+ assign qsfp0_rx_p[3] = 1'b0;
+ assign qsfp0_rx_n[3] = 1'b1;
+ `endif
+
+ `ifdef QSFP1_0
+ assign QSFP1_0_TX_P = qsfp1_tx_p[0];
+ assign QSFP1_0_TX_N = qsfp1_tx_n[0];
+ assign qsfp1_rx_p[0] = QSFP1_0_RX_P;
+ assign qsfp1_rx_n[0] = QSFP1_0_RX_N;
+ `else
+ assign qsfp1_rx_p[0] = 1'b0;
+ assign qsfp1_rx_n[0] = 1'b1;
+ `endif
+ `ifdef QSFP1_1
+ assign QSFP1_1_TX_P = qsfp1_tx_p[1];
+ assign QSFP1_1_TX_N = qsfp1_tx_n[1];
+ assign qsfp1_rx_p[1] = QSFP1_1_RX_P;
+ assign qsfp1_rx_n[1] = QSFP1_1_RX_N;
+ `else
+ assign qsfp1_rx_p[1] = 1'b0;
+ assign qsfp1_rx_n[1] = 1'b1;
+ `endif
+ `ifdef QSFP1_2
+ assign QSFP1_2_TX_P = qsfp1_tx_p[2];
+ assign QSFP1_2_TX_N = qsfp1_tx_n[2];
+ assign qsfp1_rx_p[2] = QSFP1_2_RX_P;
+ assign qsfp1_rx_n[2] = QSFP1_2_RX_N;
+ `else
+ assign qsfp1_rx_p[2] = 1'b0;
+ assign qsfp1_rx_n[2] = 1'b1;
+ `endif
+ `ifdef QSFP1_3
+ assign QSFP1_3_TX_P = qsfp1_tx_p[3];
+ assign QSFP1_3_TX_N = qsfp1_tx_n[3];
+ assign qsfp1_rx_p[3] = QSFP1_3_RX_P;
+ assign qsfp1_rx_n[3] = QSFP1_3_RX_N;
+ `else
+ assign qsfp1_rx_p[3] = 1'b0;
+ assign qsfp1_rx_n[3] = 1'b1;
+ `endif
+
+ x4xx_qsfp_wrapper_temp #(
+ `ifdef QSFP0_0
+ .PROTOCOL0 (`QSFP0_0),
+ `endif
+ `ifdef QSFP0_1
+ .PROTOCOL1 (`QSFP0_1),
+ `endif
+ `ifdef QSFP0_2
+ .PROTOCOL2 (`QSFP0_2),
+ `endif
+ `ifdef QSFP0_3
+ .PROTOCOL3 (`QSFP0_3),
+ `endif
+ .CPU_W (CPU_W),
+ .CHDR_W (CHDR_W),
+ .BYTE_MTU (BYTE_MTU),
+ .PORTNUM (0)
+ ) x4xx_qsfp_wrapper_0 (
+ .areset (areset),
+ .refclk_p (MGT_REFCLK_LMK0_P),
+ .refclk_n (MGT_REFCLK_LMK0_N),
+ .clk100 (clk100), // IP configured for 100 MHz DClk
+ .bus_rst (clk200_rst),
+ .bus_clk (clk200),
+ .clk40_rst (clk40_rst),
+ .clk40 (clk40),
+ // Register Access
+ .s_axi_awaddr (axi_qsfp0_awaddr),
+ .s_axi_awvalid (axi_qsfp0_awvalid),
+ .s_axi_awready (axi_qsfp0_awready),
+ .s_axi_wdata (axi_qsfp0_wdata),
+ .s_axi_wstrb (axi_qsfp0_wstrb),
+ .s_axi_wvalid (axi_qsfp0_wvalid),
+ .s_axi_wready (axi_qsfp0_wready),
+ .s_axi_bresp (axi_qsfp0_bresp),
+ .s_axi_bvalid (axi_qsfp0_bvalid),
+ .s_axi_bready (axi_qsfp0_bready),
+ .s_axi_araddr (axi_qsfp0_araddr),
+ .s_axi_arvalid (axi_qsfp0_arvalid),
+ .s_axi_arready (axi_qsfp0_arready),
+ .s_axi_rdata (axi_qsfp0_rdata),
+ .s_axi_rresp (axi_qsfp0_rresp),
+ .s_axi_rvalid (axi_qsfp0_rvalid),
+ .s_axi_rready (axi_qsfp0_rready),
+ // DMA Access
+ .axi_hp_araddr (axi_hp0_araddr),
+ .axi_hp_arburst (axi_hp0_arburst),
+ .axi_hp_arcache (axi_hp0_arcache),
+ .axi_hp_arlen (axi_hp0_arlen),
+ .axi_hp_arlock (axi_hp0_arlock),
+ .axi_hp_arprot (axi_hp0_arprot),
+ .axi_hp_arqos (axi_hp0_arqos),
+ .axi_hp_arready (axi_hp0_arready),
+ .axi_hp_arsize (axi_hp0_arsize),
+ .axi_hp_arvalid (axi_hp0_arvalid),
+ .axi_hp_awaddr (axi_hp0_awaddr),
+ .axi_hp_awburst (axi_hp0_awburst),
+ .axi_hp_awcache (axi_hp0_awcache),
+ .axi_hp_awlen (axi_hp0_awlen),
+ .axi_hp_awlock (axi_hp0_awlock),
+ .axi_hp_awprot (axi_hp0_awprot),
+ .axi_hp_awqos (axi_hp0_awqos),
+ .axi_hp_awready (axi_hp0_awready),
+ .axi_hp_awsize (axi_hp0_awsize),
+ .axi_hp_awvalid (axi_hp0_awvalid),
+ .axi_hp_bready (axi_hp0_bready),
+ .axi_hp_bresp (axi_hp0_bresp),
+ .axi_hp_bvalid (axi_hp0_bvalid),
+ .axi_hp_rdata (axi_hp0_rdata),
+ .axi_hp_rlast (axi_hp0_rlast),
+ .axi_hp_rready (axi_hp0_rready),
+ .axi_hp_rresp (axi_hp0_rresp),
+ .axi_hp_rvalid (axi_hp0_rvalid),
+ .axi_hp_wdata (axi_hp0_wdata),
+ .axi_hp_wlast (axi_hp0_wlast),
+ .axi_hp_wready (axi_hp0_wready),
+ .axi_hp_wstrb (axi_hp0_wstrb),
+ .axi_hp_wvalid (axi_hp0_wvalid),
+ // Transceivers
+ .tx_p (qsfp0_tx_p),
+ .tx_n (qsfp0_tx_n),
+ .rx_p (qsfp0_rx_p),
+ .rx_n (qsfp0_rx_n),
+ // Ethernet to CHDR
+ .e2v_tdata (e2v_tdata [0*CHDR_W*4 +: CHDR_W*4]),
+ .e2v_tlast (e2v_tlast [0* 4 +: 4]),
+ .e2v_tvalid (e2v_tvalid [0* 4 +: 4]),
+ .e2v_tready (e2v_tready [0* 4 +: 4]),
+ // CHDR to Ethernet
+ .v2e_tdata (v2e_tdata [0*CHDR_W*4 +: CHDR_W*4]),
+ .v2e_tlast (v2e_tlast [0* 4 +: 4]),
+ .v2e_tvalid (v2e_tvalid [0* 4 +: 4]),
+ .v2e_tready (v2e_tready [0* 4 +: 4]),
+
+ // Misc
+ .eth_rx_irq (eth0_rx_irq),
+ .eth_tx_irq (eth0_tx_irq),
+ .device_id (device_id),
+ .rx_rec_clk_out (),
+ .port_info_0 (qsfp_port_0_0_info),
+ .port_info_1 (qsfp_port_0_1_info),
+ .port_info_2 (qsfp_port_0_2_info),
+ .port_info_3 (qsfp_port_0_3_info),
+ .link_up (eth0_link_up),
+ .activity (eth0_activity)
+ );
+
+
+ x4xx_qsfp_wrapper_temp #(
+ `ifdef QSFP1_0
+ .PROTOCOL0 (`QSFP1_0),
+ `endif
+ `ifdef QSFP1_1
+ .PROTOCOL1 (`QSFP1_1),
+ `endif
+ `ifdef QSFP1_2
+ .PROTOCOL2 (`QSFP1_2),
+ `endif
+ `ifdef QSFP1_3
+ .PROTOCOL3 (`QSFP1_3),
+ `endif
+ .CPU_W (CPU_W),
+ .CHDR_W (CHDR_W),
+ .BYTE_MTU (BYTE_MTU),
+ .PORTNUM (1)
+ ) x4xx_qsfp_wrapper_1 (
+ .areset (areset),
+ .refclk_p (MGT_REFCLK_LMK3_P),
+ .refclk_n (MGT_REFCLK_LMK3_N),
+ .clk100 (clk100), // IP configured for 100 MHz DClk
+ .bus_rst (clk200_rst),
+ .bus_clk (clk200),
+ .clk40_rst (clk40_rst),
+ .clk40 (clk40),
+ //Register Access
+ .s_axi_awaddr (axi_qsfp1_awaddr),
+ .s_axi_awvalid (axi_qsfp1_awvalid),
+ .s_axi_awready (axi_qsfp1_awready),
+ .s_axi_wdata (axi_qsfp1_wdata),
+ .s_axi_wstrb (axi_qsfp1_wstrb),
+ .s_axi_wvalid (axi_qsfp1_wvalid),
+ .s_axi_wready (axi_qsfp1_wready),
+ .s_axi_bresp (axi_qsfp1_bresp),
+ .s_axi_bvalid (axi_qsfp1_bvalid),
+ .s_axi_bready (axi_qsfp1_bready),
+ .s_axi_araddr (axi_qsfp1_araddr),
+ .s_axi_arvalid (axi_qsfp1_arvalid),
+ .s_axi_arready (axi_qsfp1_arready),
+ .s_axi_rdata (axi_qsfp1_rdata),
+ .s_axi_rresp (axi_qsfp1_rresp),
+ .s_axi_rvalid (axi_qsfp1_rvalid),
+ .s_axi_rready (axi_qsfp1_rready),
+ // DMA Access
+ .axi_hp_araddr (axi_hp1_araddr),
+ .axi_hp_arburst (axi_hp1_arburst),
+ .axi_hp_arcache (axi_hp1_arcache),
+ .axi_hp_arlen (axi_hp1_arlen),
+ .axi_hp_arlock (axi_hp1_arlock),
+ .axi_hp_arprot (axi_hp1_arprot),
+ .axi_hp_arqos (axi_hp1_arqos),
+ .axi_hp_arready (axi_hp1_arready),
+ .axi_hp_arsize (axi_hp1_arsize),
+ .axi_hp_arvalid (axi_hp1_arvalid),
+ .axi_hp_awaddr (axi_hp1_awaddr),
+ .axi_hp_awburst (axi_hp1_awburst),
+ .axi_hp_awcache (axi_hp1_awcache),
+ .axi_hp_awlen (axi_hp1_awlen),
+ .axi_hp_awlock (axi_hp1_awlock),
+ .axi_hp_awprot (axi_hp1_awprot),
+ .axi_hp_awqos (axi_hp1_awqos),
+ .axi_hp_awready (axi_hp1_awready),
+ .axi_hp_awsize (axi_hp1_awsize),
+ .axi_hp_awvalid (axi_hp1_awvalid),
+ .axi_hp_bready (axi_hp1_bready),
+ .axi_hp_bresp (axi_hp1_bresp),
+ .axi_hp_bvalid (axi_hp1_bvalid),
+ .axi_hp_rdata (axi_hp1_rdata),
+ .axi_hp_rlast (axi_hp1_rlast),
+ .axi_hp_rready (axi_hp1_rready),
+ .axi_hp_rresp (axi_hp1_rresp),
+ .axi_hp_rvalid (axi_hp1_rvalid),
+ .axi_hp_wdata (axi_hp1_wdata),
+ .axi_hp_wlast (axi_hp1_wlast),
+ .axi_hp_wready (axi_hp1_wready),
+ .axi_hp_wstrb (axi_hp1_wstrb),
+ .axi_hp_wvalid (axi_hp1_wvalid),
+ // Transceivers
+ .tx_p (qsfp1_tx_p),
+ .tx_n (qsfp1_tx_n),
+ .rx_p (qsfp1_rx_p),
+ .rx_n (qsfp1_rx_n),
+ // Ethernet to CHDR
+ .e2v_tdata (e2v_tdata [1*CHDR_W*4 +: CHDR_W*4]),
+ .e2v_tlast (e2v_tlast [1* 4 +: 4]),
+ .e2v_tvalid (e2v_tvalid [1* 4 +: 4]),
+ .e2v_tready (e2v_tready [1* 4 +: 4]),
+ // CHDR to Ethernet
+ .v2e_tdata (v2e_tdata [1*CHDR_W*4 +: CHDR_W*4]),
+ .v2e_tlast (v2e_tlast [1* 4 +: 4]),
+ .v2e_tvalid (v2e_tvalid [1* 4 +: 4]),
+ .v2e_tready (v2e_tready [1* 4 +: 4]),
+ // Misc
+ .eth_rx_irq (eth1_rx_irq),
+ .eth_tx_irq (eth1_tx_irq),
+ .device_id (device_id),
+ .rx_rec_clk_out (rx_rec_clk_out1),
+ .port_info_0 (qsfp_port_1_0_info),
+ .port_info_1 (qsfp_port_1_1_info),
+ .port_info_2 (qsfp_port_1_2_info),
+ .port_info_3 (qsfp_port_1_3_info),
+ .link_up (eth1_link_up),
+ .activity (eth1_activity)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Internal Ethernet Interface
+ //---------------------------------------------------------------------------
+
+ // CHDR DMA bus (clk200 domain)
+ wire [CHDR_W-1:0] e2v_dma_tdata;
+ wire e2v_dma_tlast;
+ wire e2v_dma_tready;
+ wire e2v_dma_tvalid;
+ wire [CHDR_W-1:0] v2e_dma_tdata;
+ wire v2e_dma_tlast;
+ wire v2e_dma_tready;
+ wire v2e_dma_tvalid;
+
+ eth_ipv4_internal #(
+ .CHDR_W (CHDR_W),
+ .BYTE_MTU (BYTE_MTU),
+ .DWIDTH (REG_DWIDTH),
+ .AWIDTH (REG_AWIDTH),
+ .PORTNUM (8'd0),
+ .RFNOC_PROTOVER (RFNOC_PROTOVER)
+ ) eth_ipv4_internal_i (
+ .bus_clk (clk200),
+ .bus_rst (clk200_rst),
+ .s_axi_aclk (clk40),
+ .s_axi_aresetn (clk40_rstn),
+ .s_axi_awaddr (axi_eth_internal_awaddr[REG_AWIDTH-1:0]),
+ .s_axi_awvalid (axi_eth_internal_awvalid),
+ .s_axi_awready (axi_eth_internal_awready),
+ .s_axi_wdata (axi_eth_internal_wdata),
+ .s_axi_wstrb (axi_eth_internal_wstrb),
+ .s_axi_wvalid (axi_eth_internal_wvalid),
+ .s_axi_wready (axi_eth_internal_wready),
+ .s_axi_bresp (axi_eth_internal_bresp),
+ .s_axi_bvalid (axi_eth_internal_bvalid),
+ .s_axi_bready (axi_eth_internal_bready),
+ .s_axi_araddr (axi_eth_internal_araddr[REG_AWIDTH-1:0]),
+ .s_axi_arvalid (axi_eth_internal_arvalid),
+ .s_axi_arready (axi_eth_internal_arready),
+ .s_axi_rdata (axi_eth_internal_rdata),
+ .s_axi_rresp (axi_eth_internal_rresp),
+ .s_axi_rvalid (axi_eth_internal_rvalid),
+ .s_axi_rready (axi_eth_internal_rready),
+ .e2h_tdata (e2h_dma_tdata),
+ .e2h_tkeep (e2h_dma_tkeep),
+ .e2h_tlast (e2h_dma_tlast),
+ .e2h_tvalid (e2h_dma_tvalid),
+ .e2h_tready (e2h_dma_tready),
+ .h2e_tdata (h2e_dma_tdata),
+ .h2e_tkeep (h2e_dma_tkeep),
+ .h2e_tlast (h2e_dma_tlast),
+ .h2e_tvalid (h2e_dma_tvalid),
+ .h2e_tready (h2e_dma_tready),
+ .e2v_tdata (e2v_dma_tdata),
+ .e2v_tlast (e2v_dma_tlast),
+ .e2v_tvalid (e2v_dma_tvalid),
+ .e2v_tready (e2v_dma_tready),
+ .v2e_tdata (v2e_dma_tdata),
+ .v2e_tlast (v2e_dma_tlast),
+ .v2e_tvalid (v2e_dma_tvalid),
+ .v2e_tready (v2e_dma_tready),
+ .device_id (device_id)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // CPLD Interface
+ //---------------------------------------------------------------------------
+
+ wire [COMPONENT_VERSIONS_SIZE-1:0] cpld_ifc_version;
+
+ // Because time increments by SPC, we can ignore the least-significant bits
+ // that don't change in the radio's timestamp.
+ assign time_ignore_bits = $clog2(RADIO_SPC);
+
+ cpld_interface cpld_interface_i (
+ .s_axi_aclk (clk40),
+ .s_axi_aresetn (clk40_rstn),
+ .pll_ref_clk (pll_ref_clk),
+ .radio_clk (data_clk),
+ .ctrlport_rst (prc_rst),
+ .radio_time (radio_time),
+ .radio_time_stb (radio_time_stb),
+ .time_ignore_bits (time_ignore_bits),
+ .s_axi_awaddr (m_axi_mpm_ep_awaddr[16:0]),
+ .s_axi_awvalid (m_axi_mpm_ep_awvalid),
+ .s_axi_awready (m_axi_mpm_ep_awready),
+ .s_axi_wdata (m_axi_mpm_ep_wdata),
+ .s_axi_wstrb (m_axi_mpm_ep_wstrb),
+ .s_axi_wvalid (m_axi_mpm_ep_wvalid),
+ .s_axi_wready (m_axi_mpm_ep_wready),
+ .s_axi_bresp (m_axi_mpm_ep_bresp),
+ .s_axi_bvalid (m_axi_mpm_ep_bvalid),
+ .s_axi_bready (m_axi_mpm_ep_bready),
+ .s_axi_araddr (m_axi_mpm_ep_araddr[16:0]),
+ .s_axi_arvalid (m_axi_mpm_ep_arvalid),
+ .s_axi_arready (m_axi_mpm_ep_arready),
+ .s_axi_rdata (m_axi_mpm_ep_rdata),
+ .s_axi_rresp (m_axi_mpm_ep_rresp),
+ .s_axi_rvalid (m_axi_mpm_ep_rvalid),
+ .s_axi_rready (m_axi_mpm_ep_rready),
+ .s_ctrlport_req_wr (),
+ .s_ctrlport_req_rd (),
+ .s_ctrlport_req_addr (),
+ .s_ctrlport_req_data (),
+ .s_ctrlport_req_byte_en (),
+ .s_ctrlport_req_has_time (),
+ .s_ctrlport_req_time (),
+ .s_ctrlport_resp_ack (),
+ .s_ctrlport_resp_status (),
+ .s_ctrlport_resp_data (),
+ .ss ({PL_CPLD_CS1_n, PL_CPLD_CS0_n}),
+ .sclk (PL_CPLD_SCLK),
+ .mosi (PL_CPLD_MOSI),
+ .miso (PL_CPLD_MISO),
+ .qsfp0_led_active (eth0_activity),
+ .qsfp0_led_link (eth0_link_up),
+ .qsfp1_led_active (eth1_activity),
+ .qsfp1_led_link (eth1_link_up),
+ .ipass_present_n (2'b11),
+ .version_info (cpld_ifc_version)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // X4XX Core
+ //---------------------------------------------------------------------------
+
+ wire [32*RADIO_SPC*NUM_CHANNELS-1:0] rx_data_iq, rx_data_qi;
+ wire [ NUM_CHANNELS-1:0] rx_stb;
+ wire [32*RADIO_SPC*NUM_CHANNELS-1:0] tx_data_iq, tx_data_qi;
+ wire [ NUM_CHANNELS-1:0] tx_stb;
+ wire [ 11:0] gpio_out_a;
+ wire [ 11:0] gpio_out_b;
+ wire [ 11:0] gpio_en_a;
+ wire [ 11:0] gpio_en_b;
+
+ wire mfg_test_en_fabric_clk;
+ wire mfg_test_en_gty_rcv_clk;
+
+ // Map RFDC ports to x4xx_core ports
+ // IMPORTANT! For ZBX RevB, there is a RX channel swap in layout
+ // that we need to correct for here in HDL.
+ assign radio_clk = data_clk;
+ assign radio_clk_2x = data_clk_2x;
+
+ assign rx_data_qi = { adc_data_out_tdata [2], adc_data_out_tdata [3],
+ adc_data_out_tdata [0], adc_data_out_tdata [1] };
+ assign rx_stb = { adc_data_out_tvalid[2], adc_data_out_tvalid[3],
+ adc_data_out_tvalid[0], adc_data_out_tvalid[1] };
+
+ assign { dac_data_in_tdata[3], dac_data_in_tdata[2],
+ dac_data_in_tdata[1], dac_data_in_tdata[0] } = tx_data_qi;
+
+ // Tie flow control signals (not existent in downstream logic). TX chain
+ // always provides valid data when the rf_core is ready to receive.
+ assign dac_data_in_tvalid = {NUM_CHANNELS{1'b1}};
+ assign tx_stb = dac_data_in_tready;
+
+ // DIO tristate buffers
+ genvar i;
+ generate for (i=0; i<12; i=i+1) begin: dio_tristate_gen
+ assign DIOA_FPGA[i] = (gpio_en_a[i]) ? gpio_out_a[i] : 1'bz;
+ assign DIOB_FPGA[i] = (gpio_en_b[i]) ? gpio_out_b[i] : 1'bz;
+ end endgenerate
+
+ // The RFNoC HDL assumes the data to be ordered with I in the MSBs and Q in
+ // the LSBs, whereas the interface of rf_core assumes that Q is in MSBs and I
+ // is in the LSBs. Here we swap I and Q to match the ordering of each
+ // interface.
+ generate for (i=0; i < RADIO_SPC*NUM_CHANNELS; i=i+1) begin : gen_iq_swap
+ assign rx_data_iq[i*32 +: 32] = { rx_data_qi[i*32 +: 16], rx_data_qi[i*32+16 +: 16] };
+ assign tx_data_qi[i*32 +: 32] = { tx_data_iq[i*32 +: 16], tx_data_iq[i*32+16 +: 16] };
+ end endgenerate
+
+ // Version information mapping
+ // Each component consists of a 96-bit vector (refer to versioning_utils.vh)
+ //
+ // Build FPGA version
+ wire [COMPONENT_VERSIONS_SIZE-1:0] fpga_version;
+ assign fpga_version = build_component_versions(
+ FPGA_VERSION_LAST_MODIFIED_TIME,
+ build_version(
+ FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR,
+ FPGA_OLDEST_COMPATIBLE_VERSION_MINOR,
+ FPGA_OLDEST_COMPATIBLE_VERSION_BUILD
+ ),
+ build_version(
+ FPGA_CURRENT_VERSION_MAJOR,
+ FPGA_CURRENT_VERSION_MINOR,
+ FPGA_CURRENT_VERSION_BUILD
+ )
+ );
+ //
+ wire [64*COMPONENT_VERSIONS_SIZE-1:0] x4xx_core_version_info;
+ assign x4xx_core_version_info[COMPONENT_VERSIONS_SIZE*FPGA_VERSION_INDEX +: COMPONENT_VERSIONS_SIZE] = fpga_version;
+ assign x4xx_core_version_info[COMPONENT_VERSIONS_SIZE*CPLD_IFC_INDEX +: COMPONENT_VERSIONS_SIZE] = cpld_ifc_version;
+ assign x4xx_core_version_info[COMPONENT_VERSIONS_SIZE*DB0_RF_CORE_INDEX +: COMPONENT_VERSIONS_SIZE] = rf_core_version[0];
+ assign x4xx_core_version_info[COMPONENT_VERSIONS_SIZE*DB1_RF_CORE_INDEX +: COMPONENT_VERSIONS_SIZE] = rf_core_version[1];
+ assign x4xx_core_version_info[COMPONENT_VERSIONS_SIZE*DB0_GPIO_IFC_INDEX +: COMPONENT_VERSIONS_SIZE] = db_gpio_ifc_version[0];
+ assign x4xx_core_version_info[COMPONENT_VERSIONS_SIZE*DB1_GPIO_IFC_INDEX +: COMPONENT_VERSIONS_SIZE] = db_gpio_ifc_version[1];
+
+ x4xx_core #(
+ .NUM_DBOARDS (NUM_DBOARDS),
+ .REG_DWIDTH (REG_DWIDTH),
+ .REG_AWIDTH (REG_AWIDTH),
+ .CHDR_CLK_RATE (CHDR_CLK_RATE),
+ .NUM_CHANNELS (NUM_CHANNELS),
+ .CHDR_W (CHDR_W),
+ .MTU (CHDR_MTU),
+ .RFNOC_PROTOVER (RFNOC_PROTOVER),
+ .RADIO_SPC (RADIO_SPC)
+ ) x4xx_core_i (
+ .radio_clk (radio_clk),
+ .radio_rst (radio_rst),
+ .radio_clk_2x (radio_clk_2x),
+ .rfnoc_chdr_clk (clk200),
+ .rfnoc_chdr_rst (clk200_rst),
+ .rfnoc_ctrl_clk (clk40),
+ .rfnoc_ctrl_rst (clk40_rst),
+ .s_axi_aclk (clk40),
+ .s_axi_aresetn (clk40_rstn),
+ .s_axi_awaddr (axi_core_awaddr[REG_AWIDTH-1:0]),
+ .s_axi_awvalid (axi_core_awvalid),
+ .s_axi_awready (axi_core_awready),
+ .s_axi_wdata (axi_core_wdata),
+ .s_axi_wstrb (axi_core_wstrb),
+ .s_axi_wvalid (axi_core_wvalid),
+ .s_axi_wready (axi_core_wready),
+ .s_axi_bresp (axi_core_bresp),
+ .s_axi_bvalid (axi_core_bvalid),
+ .s_axi_bready (axi_core_bready),
+ .s_axi_araddr (axi_core_araddr[REG_AWIDTH-1:0]),
+ .s_axi_arvalid (axi_core_arvalid),
+ .s_axi_arready (axi_core_arready),
+ .s_axi_rdata (axi_core_rdata),
+ .s_axi_rresp (axi_core_rresp),
+ .s_axi_rvalid (axi_core_rvalid),
+ .s_axi_rready (axi_core_rready),
+ .pps_radioclk (pps_radioclk),
+ .pps_select (pps_select),
+ .trig_io_select (trig_io_select),
+ .pll_sync_trigger (pll_sync_trigger),
+ .pll_sync_delay (pll_sync_delay),
+ .pll_sync_done (pll_sync_done),
+ .pps_brc_delay (pps_brc_delay),
+ .pps_prc_delay (pps_prc_delay),
+ .prc_rc_divider (prc_rc_divider),
+ .pps_rc_enabled (pps_rc_enabled),
+ .rx_data (rx_data_iq),
+ .rx_stb (rx_stb),
+ .rx_running (rx_running),
+ .tx_data (tx_data_iq),
+ .tx_stb (tx_stb),
+ .tx_running (tx_running),
+ .dmao_tdata (v2e_dma_tdata),
+ .dmao_tlast (v2e_dma_tlast),
+ .dmao_tvalid (v2e_dma_tvalid),
+ .dmao_tready (v2e_dma_tready),
+ .dmai_tdata (e2v_dma_tdata),
+ .dmai_tlast (e2v_dma_tlast),
+ .dmai_tvalid (e2v_dma_tvalid),
+ .dmai_tready (e2v_dma_tready),
+ .e2v_tdata (e2v_tdata),
+ .e2v_tlast (e2v_tlast),
+ .e2v_tvalid (e2v_tvalid),
+ .e2v_tready (e2v_tready),
+ .v2e_tdata (v2e_tdata),
+ .v2e_tlast (v2e_tlast),
+ .v2e_tvalid (v2e_tvalid),
+ .v2e_tready (v2e_tready),
+ .gpio_in_a (DIOA_FPGA),
+ .gpio_in_b (DIOB_FPGA),
+ .gpio_out_a (gpio_out_a),
+ .gpio_out_b (gpio_out_b),
+ .gpio_en_a (gpio_en_a),
+ .gpio_en_b (gpio_en_b),
+ .qsfp_port_0_0_info (qsfp_port_0_0_info),
+ .qsfp_port_0_1_info (qsfp_port_0_1_info),
+ .qsfp_port_0_2_info (qsfp_port_0_2_info),
+ .qsfp_port_0_3_info (qsfp_port_0_3_info),
+ .qsfp_port_1_0_info (qsfp_port_1_0_info),
+ .qsfp_port_1_1_info (qsfp_port_1_1_info),
+ .qsfp_port_1_2_info (qsfp_port_1_2_info),
+ .qsfp_port_1_3_info (qsfp_port_1_3_info),
+ .radio_time (radio_time),
+ .radio_time_stb (radio_time_stb),
+ .device_id (device_id),
+ .mfg_test_en_fabric_clk (mfg_test_en_fabric_clk),
+ .mfg_test_en_gty_rcv_clk (mfg_test_en_gty_rcv_clk),
+ .fpga_aux_ref (FPGA_AUX_REF),
+ .m_ctrlport_radio_req_wr ({ db_ctrlport_req_wr [1], db_ctrlport_req_wr [0] }),
+ .m_ctrlport_radio_req_rd ({ db_ctrlport_req_rd [1], db_ctrlport_req_rd [0] }),
+ .m_ctrlport_radio_req_addr ({ db_ctrlport_req_addr [1], db_ctrlport_req_addr [0] }),
+ .m_ctrlport_radio_req_data ({ db_ctrlport_req_data [1], db_ctrlport_req_data [0] }),
+ .m_ctrlport_radio_req_byte_en ({ db_ctrlport_req_byte_en [1], db_ctrlport_req_byte_en [0] }),
+ .m_ctrlport_radio_req_has_time ({ db_ctrlport_req_has_time [1], db_ctrlport_req_has_time [0] }),
+ .m_ctrlport_radio_req_time ({ db_ctrlport_req_time [1], db_ctrlport_req_time [0] }),
+ .m_ctrlport_radio_resp_ack ({ db_ctrlport_resp_ack [1], db_ctrlport_resp_ack [0] }),
+ .m_ctrlport_radio_resp_status ({ db_ctrlport_resp_status [1], db_ctrlport_resp_status [0] }),
+ .m_ctrlport_radio_resp_data ({ db_ctrlport_resp_data [1], db_ctrlport_resp_data [0] }),
+ .start_nco_reset (start_nco_reset),
+ .nco_reset_done (nco_reset_done),
+ .adc_reset_pulse (adc_reset_pulse),
+ .dac_reset_pulse (dac_reset_pulse),
+ .version_info (x4xx_core_version_info)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // eCPRI Clock Output Test
+ //---------------------------------------------------------------------------
+
+ wire fabric_clk_oddr;
+
+ wire mfg_test_en_fabric_clk_dc;
+ wire mfg_test_en_gty_rcv_clk_dc;
+
+ synchronizer #(
+ .STAGES (2),
+ .WIDTH (1),
+ .INITIAL_VAL (1'h0)
+ ) synchronizer_mfg_test_en_fabric_clk (
+ .clk (data_clk),
+ .rst (1'b0),
+ .in (mfg_test_en_fabric_clk),
+ .out (mfg_test_en_fabric_clk_dc)
+ );
+
+ synchronizer #(
+ .STAGES (2),
+ .WIDTH (1),
+ .INITIAL_VAL (1'h0)
+ ) synchronizer_mfg_test_en_gty_rcv_clk (
+ .clk (data_clk),
+ .rst (1'b0),
+ .in (mfg_test_en_gty_rcv_clk),
+ .out (mfg_test_en_gty_rcv_clk_dc)
+ );
+
+ ODDRE1 #(
+ .SRVAL (1'b0) // Initializes the ODDRE1 Flip-Flops to 1'b0
+ ) oddre1_fabric_clk (
+ .Q (fabric_clk_oddr), // 1-bit output: Data output to IOB
+ .C (data_clk), // 1-bit input: High-speed clock input
+ .D1 (1'b0), // 1-bit input: Parallel data input 1
+ .D2 (mfg_test_en_fabric_clk_dc), // 1-bit input: Parallel data input 2
+ .SR (1'b0) // 1-bit input: Active High Async Reset
+ );
+
+ OBUFDS obufds_fabric_clk (
+ .O (FABRIC_CLK_OUT_P), // 1-bit output: Diff_p output (connect directly to top-level port)
+ .OB (FABRIC_CLK_OUT_N), // 1-bit output: Diff_n output (connect directly to top-level port)
+ .I (fabric_clk_oddr) // 1-bit input: Buffer input
+ );
+
+ // Requires QSFP1_0 because of limited input options for this buffer.
+ // This output on (MGTREFCLK1 128)(QUAD128 is QSFP1).
+ // The input is MGT_REFCLK_LMK3_P (MGT_REFCLK1 129)(QUAD 129 not used).
+ `ifdef QSFP1_0
+ OBUFDS_GTE4 #(
+ .REFCLK_EN_TX_PATH (1'b1),
+ .REFCLK_ICNTL_TX (5'b00111)
+ ) gty_rcv_clk_OBUFDS (
+ .O (GTY_RCV_CLK_P), // 1-bit output: Diff_p output (connect directly to top-level port)
+ .OB (GTY_RCV_CLK_N), // 1-bit output: Diff_n output (connect directly to top-level port)
+ .I (rx_rec_clk_out1), // 1-bit input: Buffer input
+ .CEB (!mfg_test_en_gty_rcv_clk_dc) // 1-bit input: Clock Enable
+ );
+ `endif
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<top name="X4XX_FPGA">
+// <info>
+// This documentation provides a description of the different register spaces available
+// for the USRP X4xx Open-Source FPGA target implementation, accessible through the
+// embedded ARM A53 processor in the RFSoC chip, and other UHD hosts.
+// </info>
+//</top>
+//
+//<regmap name="VERSIONING_REGS_REGMAP">
+// <group name="VERSIONING_CONSTANTS">
+// <enumeratedtype name="FPGA_VERSION" showhex="true">
+// <info>
+// FPGA version.{BR/}
+// For guidance on when to update these revision numbers,
+// please refer to the register map documentation accordingly:
+// <li> Current version: @.VERSIONING_REGS_REGMAP..CURRENT_VERSION
+// <li> Oldest compatible version: @.VERSIONING_REGS_REGMAP..OLDEST_COMPATIBLE_VERSION
+// <li> Version last modified: @.VERSIONING_REGS_REGMAP..VERSION_LAST_MODIFIED
+// </info>
+// <value name="FPGA_CURRENT_VERSION_MAJOR" integer="7"/>
+// <value name="FPGA_CURRENT_VERSION_MINOR" integer="3"/>
+// <value name="FPGA_CURRENT_VERSION_BUILD" integer="0"/>
+// <value name="FPGA_OLDEST_COMPATIBLE_VERSION_MAJOR" integer="7"/>
+// <value name="FPGA_OLDEST_COMPATIBLE_VERSION_MINOR" integer="0"/>
+// <value name="FPGA_OLDEST_COMPATIBLE_VERSION_BUILD" integer="0"/>
+// <value name="FPGA_VERSION_LAST_MODIFIED_TIME" integer="0x21041616"/>
+// </enumeratedtype>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/x4xx_core.v b/fpga/usrp3/top/x400/x4xx_core.v
new file mode 100644
index 000000000..e099b0c25
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_core.v
@@ -0,0 +1,454 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0
+//
+// Module: x4xx_core
+//
+// Description:
+//
+// This module contains the core infrastructure for RFNoC, such as the
+// motherboard register, timekeeper, and RFNoC image core.
+//
+// Parameters:
+//
+// NUM_DBOARDS : Number of daughter boards
+// REG_DWIDTH : Width of the AXI4-Lite data bus (must be 32 or 64)
+// REG_AWIDTH : Width of the address bus
+// CHDR_CLK_RATE : rfnoc_chdr_clk rate in Hz
+// NUM_CHANNELS : Total number of channels
+// CHDR_W : CHDR width used by RFNoC
+// MTU : Log2 of maximum transmission unit in CHDR_W sized words
+// RFNOC_PROTOVER : RFNoC protocol version (major[7:0], minor[7:0])
+// RADIO_SPC : Number of samples per radio clock cycle
+//
+
+
+module x4xx_core #(
+ parameter NUM_DBOARDS = 2,
+ parameter REG_DWIDTH = 32,
+ parameter REG_AWIDTH = 32,
+ parameter CHDR_CLK_RATE = 200000000,
+ parameter NUM_CHANNELS = 4,
+ parameter CHDR_W = 64,
+ parameter MTU = $clog2(8192 / (CHDR_W/8)),
+ parameter RFNOC_PROTOVER = {8'd1, 8'd0},
+ parameter RADIO_SPC = 1
+) (
+ // Clocks and resets
+ input radio_clk,
+ input radio_rst,
+ input radio_clk_2x,
+
+ input rfnoc_chdr_clk,
+ input rfnoc_chdr_rst,
+ input rfnoc_ctrl_clk,
+ input rfnoc_ctrl_rst,
+
+ // AXI-Lite interface (for motherboard registers)
+ input s_axi_aclk,
+ input s_axi_aresetn,
+ input [ REG_AWIDTH-1:0] s_axi_awaddr,
+ input s_axi_awvalid,
+ output s_axi_awready,
+ input [ REG_DWIDTH-1:0] s_axi_wdata,
+ input [REG_DWIDTH/8-1:0] s_axi_wstrb,
+ input s_axi_wvalid,
+ output s_axi_wready,
+ output [ 1:0] s_axi_bresp,
+ output s_axi_bvalid,
+ input s_axi_bready,
+ input [ REG_AWIDTH-1:0] s_axi_araddr,
+ input s_axi_arvalid,
+ output s_axi_arready,
+ output [ REG_DWIDTH-1:0] s_axi_rdata,
+ output [ 1:0] s_axi_rresp,
+ output s_axi_rvalid,
+ input s_axi_rready,
+
+ // PPS and Clock Control
+ input pps_radioclk,
+ output [ 1:0] pps_select,
+ output [ 1:0] trig_io_select,
+ output pll_sync_trigger,
+ output [ 7:0] pll_sync_delay,
+ input pll_sync_done,
+ output [ 7:0] pps_brc_delay,
+ output [25:0] pps_prc_delay,
+ output [ 1:0] prc_rc_divider,
+ output pps_rc_enabled,
+
+ // Radio Data
+ input [32*RADIO_SPC*NUM_CHANNELS-1:0] rx_data,
+ input [ NUM_CHANNELS-1:0] rx_stb,
+ output [ NUM_CHANNELS-1:0] rx_running,
+ //
+ output [32*RADIO_SPC*NUM_CHANNELS-1:0] tx_data,
+ input [ NUM_CHANNELS-1:0] tx_stb,
+ output [ NUM_CHANNELS-1:0] tx_running,
+
+ // DMA
+ output [CHDR_W-1:0] dmao_tdata,
+ output dmao_tlast,
+ output dmao_tvalid,
+ input dmao_tready,
+
+ input [CHDR_W-1:0] dmai_tdata,
+ input dmai_tlast,
+ input dmai_tvalid,
+ output dmai_tready,
+
+ // e2v (Ethernet to CHDR)
+ output [CHDR_W*8-1:0] v2e_tdata,
+ output [ 8-1:0] v2e_tvalid,
+ output [ 8-1:0] v2e_tlast,
+ input [ 8-1:0] v2e_tready,
+ // v2e (CHDR to Ethernet)
+ input [CHDR_W*8-1:0] e2v_tdata,
+ input [ 8-1:0] e2v_tlast,
+ input [ 8-1:0] e2v_tvalid,
+ output [ 8-1:0] e2v_tready,
+
+ // GPIO to DIO board (Domain: rfnoc_ctrl_clk)
+ output wire [11:0] gpio_en_a,
+ output wire [11:0] gpio_en_b,
+ // GPIO to DIO board (async)
+ input wire [11:0] gpio_in_a,
+ input wire [11:0] gpio_in_b,
+ output wire [11:0] gpio_out_a,
+ output wire [11:0] gpio_out_b,
+
+ // Misc
+ input [31:0] qsfp_port_0_0_info,
+ input [31:0] qsfp_port_0_1_info,
+ input [31:0] qsfp_port_0_2_info,
+ input [31:0] qsfp_port_0_3_info,
+ input [31:0] qsfp_port_1_0_info,
+ input [31:0] qsfp_port_1_1_info,
+ input [31:0] qsfp_port_1_2_info,
+ input [31:0] qsfp_port_1_3_info,
+ output [15:0] device_id,
+ output mfg_test_en_fabric_clk,
+ output mfg_test_en_gty_rcv_clk,
+ input fpga_aux_ref,
+
+ // Radio Control Ports
+ output wire [63:0] radio_time,
+ output wire radio_time_stb,
+
+ output wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_radio_req_wr,
+ output wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_radio_req_rd,
+ output wire [ 20*NUM_DBOARDS-1:0] m_ctrlport_radio_req_addr,
+ output wire [ 32*NUM_DBOARDS-1:0] m_ctrlport_radio_req_data,
+ output wire [ 4*NUM_DBOARDS-1:0] m_ctrlport_radio_req_byte_en,
+ output wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_radio_req_has_time,
+ output wire [ 64*NUM_DBOARDS-1:0] m_ctrlport_radio_req_time,
+ input wire [ 1*NUM_DBOARDS-1:0] m_ctrlport_radio_resp_ack,
+ input wire [ 2*NUM_DBOARDS-1:0] m_ctrlport_radio_resp_status,
+ input wire [ 32*NUM_DBOARDS-1:0] m_ctrlport_radio_resp_data,
+
+ // RF Reset Control
+ output wire start_nco_reset,
+ input wire nco_reset_done,
+ output wire adc_reset_pulse,
+ output wire dac_reset_pulse,
+
+ // Version (Constant)
+ // Each component consists of a 96-bit vector (refer to versioning_utils.vh)
+ input wire [64*96-1:0] version_info
+);
+
+ //---------------------------------------------------------------------------
+ // AXI-Lite to CtrlPort Bridge
+ //---------------------------------------------------------------------------
+
+ wire [19:0] ctrlport_req_addr;
+ wire [ 3:0] ctrlport_req_byte_en;
+ wire [31:0] ctrlport_req_data;
+ wire ctrlport_req_has_time;
+ wire [ 9:0] ctrlport_req_portid;
+ wire ctrlport_req_rd;
+ wire [15:0] ctrlport_req_rem_epid;
+ wire [ 9:0] ctrlport_req_rem_portid;
+ wire [63:0] ctrlport_req_time;
+ wire ctrlport_req_wr;
+ wire ctrlport_resp_ack;
+ wire [31:0] ctrlport_resp_data;
+ wire [ 1:0] ctrlport_resp_status;
+
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+
+ axil_ctrlport_master
+ # (
+ .TIMEOUT (10), //integer:=10
+ .AXI_AWIDTH (REG_AWIDTH), //integer:=17
+ .CTRLPORT_AWIDTH (CTRLPORT_ADDR_W)) //integer:=17
+ axil_ctrlport_masterx (
+ .s_axi_aclk (s_axi_aclk), //in wire
+ .s_axi_aresetn (s_axi_aresetn), //in wire
+ .s_axi_awaddr (s_axi_awaddr), //in wire[(AXI_AWIDTH-1):0]
+ .s_axi_awvalid (s_axi_awvalid), //in wire
+ .s_axi_awready (s_axi_awready), //out wire
+ .s_axi_wdata (s_axi_wdata), //in wire[31:0]
+ .s_axi_wstrb (s_axi_wstrb), //in wire[3:0]
+ .s_axi_wvalid (s_axi_wvalid), //in wire
+ .s_axi_wready (s_axi_wready), //out wire
+ .s_axi_bresp (s_axi_bresp), //out wire[1:0]
+ .s_axi_bvalid (s_axi_bvalid), //out wire
+ .s_axi_bready (s_axi_bready), //in wire
+ .s_axi_araddr (s_axi_araddr), //in wire[(AXI_AWIDTH-1):0]
+ .s_axi_arvalid (s_axi_arvalid), //in wire
+ .s_axi_arready (s_axi_arready), //out wire
+ .s_axi_rdata (s_axi_rdata), //out wire[31:0]
+ .s_axi_rresp (s_axi_rresp), //out wire[1:0]
+ .s_axi_rvalid (s_axi_rvalid), //out wire
+ .s_axi_rready (s_axi_rready), //in wire
+ .m_ctrlport_req_wr (ctrlport_req_wr), //out wire
+ .m_ctrlport_req_rd (ctrlport_req_rd), //out wire
+ .m_ctrlport_req_addr (ctrlport_req_addr), //out wire[19:0]
+ .m_ctrlport_req_portid (ctrlport_req_portid), //out wire[9:0]
+ .m_ctrlport_req_rem_epid (ctrlport_req_rem_epid), //out wire[15:0]
+ .m_ctrlport_req_rem_portid (ctrlport_req_rem_portid), //out wire[9:0]
+ .m_ctrlport_req_data (ctrlport_req_data), //out wire[31:0]
+ .m_ctrlport_req_byte_en (ctrlport_req_byte_en), //out wire[3:0]
+ .m_ctrlport_req_has_time (ctrlport_req_has_time), //out wire
+ .m_ctrlport_req_time (ctrlport_req_time), //out wire[63:0]
+ .m_ctrlport_resp_ack (ctrlport_resp_ack), //in wire
+ .m_ctrlport_resp_status (ctrlport_resp_status), //in wire[1:0]
+ .m_ctrlport_resp_data (ctrlport_resp_data)); //in wire[31:0]
+
+
+ //---------------------------------------------------------------------------
+ // Common Components
+ //---------------------------------------------------------------------------
+
+ wire ctrlport_rst;
+
+ reset_sync reset_sync_ctrlport (
+ .clk (s_axi_aclk),
+ .reset_in (~s_axi_aresetn),
+ .reset_out (ctrlport_rst)
+ );
+
+ x4xx_core_common #(
+ .CHDR_CLK_RATE (CHDR_CLK_RATE),
+ .CHDR_W (CHDR_W),
+ .RFNOC_PROTOVER (RFNOC_PROTOVER),
+ .PCIE_PRESENT (0)
+ ) x4xx_core_common_i (
+ .radio_clk (radio_clk),
+ .radio_rst (radio_rst),
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .rfnoc_ctrl_clk (rfnoc_ctrl_clk),
+ .rfnoc_ctrl_rst (rfnoc_ctrl_rst),
+ .ctrlport_clk (s_axi_aclk),
+ .ctrlport_rst (ctrlport_rst),
+ .s_ctrlport_req_wr (ctrlport_req_wr),
+ .s_ctrlport_req_rd (ctrlport_req_rd),
+ .s_ctrlport_req_addr (ctrlport_req_addr),
+ .s_ctrlport_req_portid (ctrlport_req_portid),
+ .s_ctrlport_req_rem_epid (ctrlport_req_rem_epid),
+ .s_ctrlport_req_rem_portid (ctrlport_req_rem_portid),
+ .s_ctrlport_req_data (ctrlport_req_data),
+ .s_ctrlport_req_byte_en (ctrlport_req_byte_en),
+ .s_ctrlport_req_has_time (ctrlport_req_has_time),
+ .s_ctrlport_req_time (ctrlport_req_time),
+ .s_ctrlport_resp_ack (ctrlport_resp_ack),
+ .s_ctrlport_resp_status (ctrlport_resp_status),
+ .s_ctrlport_resp_data (ctrlport_resp_data),
+ .pps_radioclk (pps_radioclk),
+ .pps_select (pps_select),
+ .trig_io_select (trig_io_select),
+ .pll_sync_trigger (pll_sync_trigger),
+ .pll_sync_delay (pll_sync_delay),
+ .pll_sync_done (pll_sync_done),
+ .pps_brc_delay (pps_brc_delay),
+ .pps_prc_delay (pps_prc_delay),
+ .prc_rc_divider (prc_rc_divider),
+ .pps_rc_enabled (pps_rc_enabled),
+ .radio_spc (RADIO_SPC),
+ .radio_time (radio_time),
+ .sample_rx_stb (radio_time_stb),
+ .gpio_in_a (gpio_in_a),
+ .gpio_in_b (gpio_in_b),
+ .gpio_out_a (gpio_out_a),
+ .gpio_out_b (gpio_out_b),
+ .gpio_en_a (gpio_en_a),
+ .gpio_en_b (gpio_en_b),
+ .gpio_in_fabric_a (),
+ .gpio_in_fabric_b (),
+ .gpio_out_fabric_a (12'b0),
+ .gpio_out_fabric_b (12'b0),
+ .qsfp_port_0_0_info (qsfp_port_0_0_info),
+ .qsfp_port_0_1_info (qsfp_port_0_1_info),
+ .qsfp_port_0_2_info (qsfp_port_0_2_info),
+ .qsfp_port_0_3_info (qsfp_port_0_3_info),
+ .qsfp_port_1_0_info (qsfp_port_1_0_info),
+ .qsfp_port_1_1_info (qsfp_port_1_1_info),
+ .qsfp_port_1_2_info (qsfp_port_1_2_info),
+ .qsfp_port_1_3_info (qsfp_port_1_3_info),
+ .device_id (device_id),
+ .mfg_test_en_fabric_clk (mfg_test_en_fabric_clk),
+ .mfg_test_en_gty_rcv_clk (mfg_test_en_gty_rcv_clk),
+ .fpga_aux_ref (fpga_aux_ref),
+ .version_info (version_info)
+ );
+
+ // Provide information for ctrlport timed commands
+ assign radio_time_stb = rx_stb[0];
+
+
+ //---------------------------------------------------------------------------
+ // RFNoC Image Core
+ //---------------------------------------------------------------------------
+
+ // Calculate how may bits wide each channel is
+ localparam CHAN_W = 32 * RADIO_SPC;
+
+ wire [ 1*NUM_DBOARDS-1:0] ctrlport_radio_req_wr;
+ wire [ 1*NUM_DBOARDS-1:0] ctrlport_radio_req_rd;
+ wire [ 20*NUM_DBOARDS-1:0] ctrlport_radio_req_addr;
+ wire [ 32*NUM_DBOARDS-1:0] ctrlport_radio_req_data;
+ wire [ 4*NUM_DBOARDS-1:0] ctrlport_radio_req_byte_en;
+ wire [ 1*NUM_DBOARDS-1:0] ctrlport_radio_req_has_time;
+ wire [ 64*NUM_DBOARDS-1:0] ctrlport_radio_req_time;
+ wire [ 1*NUM_DBOARDS-1:0] ctrlport_radio_resp_ack;
+ wire [ 2*NUM_DBOARDS-1:0] ctrlport_radio_resp_status;
+ wire [ 32*NUM_DBOARDS-1:0] ctrlport_radio_resp_data;
+
+ rfnoc_image_core #(
+ .CHDR_W (CHDR_W),
+ .MTU (MTU),
+ .PROTOVER (RFNOC_PROTOVER),
+ .RADIO_NIPC (RADIO_SPC)
+ ) rfnoc_image_core_i (
+ .chdr_aclk (rfnoc_chdr_clk),
+ .ctrl_aclk (rfnoc_ctrl_clk),
+ .core_arst (rfnoc_ctrl_rst),
+ .radio_clk (radio_clk),
+ .radio_2x_clk (radio_clk_2x),
+ .device_id (device_id),
+ .m_ctrlport_radio0_req_wr (ctrlport_radio_req_wr [0* 1+: 1]),
+ .m_ctrlport_radio0_req_rd (ctrlport_radio_req_rd [0* 1+: 1]),
+ .m_ctrlport_radio0_req_addr (ctrlport_radio_req_addr [0*20+:20]),
+ .m_ctrlport_radio0_req_data (ctrlport_radio_req_data [0*32+:32]),
+ .m_ctrlport_radio0_req_byte_en (ctrlport_radio_req_byte_en [0* 4+: 4]),
+ .m_ctrlport_radio0_req_has_time (ctrlport_radio_req_has_time[0* 1+: 1]),
+ .m_ctrlport_radio0_req_time (ctrlport_radio_req_time [0*64+:64]),
+ .m_ctrlport_radio0_resp_ack (ctrlport_radio_resp_ack [0* 1+: 1]),
+ .m_ctrlport_radio0_resp_status (ctrlport_radio_resp_status [0* 2+: 2]),
+ .m_ctrlport_radio0_resp_data (ctrlport_radio_resp_data [0*32+:32]),
+ .m_ctrlport_radio1_req_wr (ctrlport_radio_req_wr [1* 1+: 1]),
+ .m_ctrlport_radio1_req_rd (ctrlport_radio_req_rd [1* 1+: 1]),
+ .m_ctrlport_radio1_req_addr (ctrlport_radio_req_addr [1*20+:20]),
+ .m_ctrlport_radio1_req_data (ctrlport_radio_req_data [1*32+:32]),
+ .m_ctrlport_radio1_req_byte_en (ctrlport_radio_req_byte_en [1* 4+: 4]),
+ .m_ctrlport_radio1_req_has_time (ctrlport_radio_req_has_time[1* 1+: 1]),
+ .m_ctrlport_radio1_req_time (ctrlport_radio_req_time [1*64+:64]),
+ .m_ctrlport_radio1_resp_ack (ctrlport_radio_resp_ack [1* 1+: 1]),
+ .m_ctrlport_radio1_resp_status (ctrlport_radio_resp_status [1* 2+: 2]),
+ .m_ctrlport_radio1_resp_data (ctrlport_radio_resp_data [1*32+:32]),
+ .radio_rx_stb_radio1 ({ rx_stb[3], rx_stb[2] }),
+ .radio_rx_data_radio1 ({ rx_data[3*CHAN_W+:CHAN_W], rx_data[2*CHAN_W+:CHAN_W]}),
+ .radio_rx_running_radio1 ({rx_running[3], rx_running[2] }),
+ .radio_tx_stb_radio1 ({ tx_stb[3], tx_stb[2] }),
+ .radio_tx_data_radio1 ({ tx_data[3*CHAN_W+:CHAN_W], tx_data[2*CHAN_W+:CHAN_W]}),
+ .radio_tx_running_radio1 ({tx_running[3], tx_running[2] }),
+ .radio_rx_stb_radio0 ({ rx_stb[1], rx_stb[0] }),
+ .radio_rx_data_radio0 ({ rx_data[1*CHAN_W+:CHAN_W], rx_data[0*CHAN_W+:CHAN_W]}),
+ .radio_rx_running_radio0 ({rx_running[1], rx_running[0] }),
+ .radio_tx_stb_radio0 ({ tx_stb[1], tx_stb[0] }),
+ .radio_tx_data_radio0 ({ tx_data[1*CHAN_W+:CHAN_W], tx_data[0*CHAN_W+:CHAN_W]}),
+ .radio_tx_running_radio0 ({tx_running[1], tx_running[0] }),
+ .radio_time (radio_time),
+ .s_eth0_tdata (e2v_tdata [0*CHDR_W +: CHDR_W]),
+ .s_eth0_tlast (e2v_tlast [0* 1 +: 1]),
+ .s_eth0_tvalid (e2v_tvalid [0* 1 +: 1]),
+ .s_eth0_tready (e2v_tready [0* 1 +: 1]),
+ .m_eth0_tdata (v2e_tdata [0*CHDR_W +: CHDR_W]),
+ .m_eth0_tlast (v2e_tlast [0* 1 +: 1]),
+ .m_eth0_tvalid (v2e_tvalid [0* 1 +: 1]),
+ .m_eth0_tready (v2e_tready [0* 1 +: 1]),
+ .s_eth1_tdata (e2v_tdata [1*CHDR_W +: CHDR_W]),
+ .s_eth1_tlast (e2v_tlast [1* 1 +: 1]),
+ .s_eth1_tvalid (e2v_tvalid [1* 1 +: 1]),
+ .s_eth1_tready (e2v_tready [1* 1 +: 1]),
+ .m_eth1_tdata (v2e_tdata [1*CHDR_W +: CHDR_W]),
+ .m_eth1_tlast (v2e_tlast [1* 1 +: 1]),
+ .m_eth1_tvalid (v2e_tvalid [1* 1 +: 1]),
+ .m_eth1_tready (v2e_tready [1* 1 +: 1]),
+ .s_eth2_tdata (e2v_tdata [2*CHDR_W +: CHDR_W]),
+ .s_eth2_tlast (e2v_tlast [2* 1 +: 1]),
+ .s_eth2_tvalid (e2v_tvalid [2* 1 +: 1]),
+ .s_eth2_tready (e2v_tready [2* 1 +: 1]),
+ .m_eth2_tdata (v2e_tdata [2*CHDR_W +: CHDR_W]),
+ .m_eth2_tlast (v2e_tlast [2* 1 +: 1]),
+ .m_eth2_tvalid (v2e_tvalid [2* 1 +: 1]),
+ .m_eth2_tready (v2e_tready [2* 1 +: 1]),
+ .s_eth3_tdata (e2v_tdata [3*CHDR_W +: CHDR_W]),
+ .s_eth3_tlast (e2v_tlast [3* 1 +: 1]),
+ .s_eth3_tvalid (e2v_tvalid [3* 1 +: 1]),
+ .s_eth3_tready (e2v_tready [3* 1 +: 1]),
+ .m_eth3_tdata (v2e_tdata [3*CHDR_W +: CHDR_W]),
+ .m_eth3_tlast (v2e_tlast [3* 1 +: 1]),
+ .m_eth3_tvalid (v2e_tvalid [3* 1 +: 1]),
+ .m_eth3_tready (v2e_tready [3* 1 +: 1]),
+ .s_eth4_tdata (e2v_tdata [4*CHDR_W +: CHDR_W]),
+ .s_eth4_tlast (e2v_tlast [4* 1 +: 1]),
+ .s_eth4_tvalid (e2v_tvalid [4* 1 +: 1]),
+ .s_eth4_tready (e2v_tready [4* 1 +: 1]),
+ .m_eth4_tdata (v2e_tdata [4*CHDR_W +: CHDR_W]),
+ .m_eth4_tlast (v2e_tlast [4* 1 +: 1]),
+ .m_eth4_tvalid (v2e_tvalid [4* 1 +: 1]),
+ .m_eth4_tready (v2e_tready [4* 1 +: 1]),
+ .s_dma_tdata (dmai_tdata),
+ .s_dma_tlast (dmai_tlast),
+ .s_dma_tvalid (dmai_tvalid),
+ .s_dma_tready (dmai_tready),
+ .m_dma_tdata (dmao_tdata),
+ .m_dma_tlast (dmao_tlast),
+ .m_dma_tvalid (dmao_tvalid),
+ .m_dma_tready (dmao_tready)
+ );
+
+
+ //-------------------------------------------------------------------------
+ // RF Timing Reset Control
+ //-------------------------------------------------------------------------
+
+ rfdc_timing_control #(
+ .NUM_DBOARDS (NUM_DBOARDS)
+ ) rfdc_timing_control_i (
+ .clk (radio_clk),
+ .rst (radio_rst),
+ .time_now (radio_time),
+ .time_now_stb (radio_time_stb),
+ .time_ignore_bits ($clog2(RADIO_SPC)),
+ .s_ctrlport_req_wr (ctrlport_radio_req_wr),
+ .s_ctrlport_req_rd (ctrlport_radio_req_rd),
+ .s_ctrlport_req_addr (ctrlport_radio_req_addr),
+ .s_ctrlport_req_data (ctrlport_radio_req_data),
+ .s_ctrlport_req_byte_en (ctrlport_radio_req_byte_en),
+ .s_ctrlport_req_has_time (ctrlport_radio_req_has_time),
+ .s_ctrlport_req_time (ctrlport_radio_req_time),
+ .s_ctrlport_resp_ack (ctrlport_radio_resp_ack),
+ .s_ctrlport_resp_status (ctrlport_radio_resp_status),
+ .s_ctrlport_resp_data (ctrlport_radio_resp_data),
+ .m_ctrlport_req_wr (m_ctrlport_radio_req_wr),
+ .m_ctrlport_req_rd (m_ctrlport_radio_req_rd),
+ .m_ctrlport_req_addr (m_ctrlport_radio_req_addr),
+ .m_ctrlport_req_data (m_ctrlport_radio_req_data),
+ .m_ctrlport_req_byte_en (m_ctrlport_radio_req_byte_en),
+ .m_ctrlport_req_has_time (m_ctrlport_radio_req_has_time),
+ .m_ctrlport_req_time (m_ctrlport_radio_req_time),
+ .m_ctrlport_resp_ack (m_ctrlport_radio_resp_ack),
+ .m_ctrlport_resp_status (m_ctrlport_radio_resp_status),
+ .m_ctrlport_resp_data (m_ctrlport_radio_resp_data),
+ .start_nco_reset (start_nco_reset),
+ .nco_reset_done (nco_reset_done),
+ .adc_reset_pulse (adc_reset_pulse),
+ .dac_reset_pulse (dac_reset_pulse)
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/x4xx_core_common.v b/fpga/usrp3/top/x400/x4xx_core_common.v
new file mode 100644
index 000000000..0ba0fa6cb
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_core_common.v
@@ -0,0 +1,357 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_core_common
+//
+// Description:
+//
+// This module contains the common core infrastructure for RFNoC, such as the
+// motherboard registers and timekeeper.
+//
+// Parameters:
+//
+// CHDR_CLK_RATE : Rate of rfnoc_chdr_clk in Hz
+// CHDR_W : CHDR protocol width
+// RFNOC_PROTOVER : RFNoC protocol version (major in most-significant byte,
+// Minor is least significant byte)
+// PCIE_PRESENT : Indicates if PCIe is present in this image
+//
+
+`default_nettype none
+
+
+module x4xx_core_common #(
+ parameter CHDR_CLK_RATE = 200000000,
+ parameter CHDR_W = 64,
+ parameter RFNOC_PROTOVER = {8'd1, 8'd0},
+ parameter PCIE_PRESENT = 0
+) (
+ // Clocks and resets
+ input wire radio_clk,
+ input wire radio_rst,
+
+ input wire rfnoc_chdr_clk,
+ input wire rfnoc_chdr_rst,
+
+ input wire rfnoc_ctrl_clk,
+ input wire rfnoc_ctrl_rst,
+
+ // Ctrlport master interface from AXI
+ input wire ctrlport_rst,
+ input wire ctrlport_clk,
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [ 9:0] s_ctrlport_req_portid,
+ input wire [15:0] s_ctrlport_req_rem_epid,
+ input wire [ 9:0] s_ctrlport_req_rem_portid,
+ input wire [31:0] s_ctrlport_req_data,
+ input wire [ 3:0] s_ctrlport_req_byte_en,
+ input wire s_ctrlport_req_has_time,
+ input wire [63:0] s_ctrlport_req_time,
+ output wire s_ctrlport_resp_ack,
+ output wire [ 1:0] s_ctrlport_resp_status,
+ output wire [31:0] s_ctrlport_resp_data,
+
+ // PPS (top-level inputs)
+ input wire pps_radioclk,
+
+ // PPS and clock control (Domain: rfnoc_ctrl_clk)
+ output wire [ 1:0] pps_select,
+ output wire [ 1:0] trig_io_select,
+ output wire pll_sync_trigger,
+ output wire [ 7:0] pll_sync_delay,
+ input wire pll_sync_done,
+ output wire [ 7:0] pps_brc_delay,
+ output wire [25:0] pps_prc_delay,
+ output wire [ 1:0] prc_rc_divider,
+ output wire pps_rc_enabled,
+
+ // Timekeeper (Domain: radio_clk)
+ input wire [ 7:0] radio_spc,
+ output wire [63:0] radio_time,
+ input wire sample_rx_stb,
+
+ // GPIO to DIO board (Domain: rfnoc_ctrl_clk)
+ output wire [11:0] gpio_en_a,
+ output wire [11:0] gpio_en_b,
+ // GPIO to DIO board (async)
+ input wire [11:0] gpio_in_a,
+ input wire [11:0] gpio_in_b,
+ output wire [11:0] gpio_out_a,
+ output wire [11:0] gpio_out_b,
+
+ // GPIO to application (Domain: rfnoc_ctrl_clk)
+ output wire [11:0] gpio_in_fabric_a,
+ output wire [11:0] gpio_in_fabric_b,
+ input wire [11:0] gpio_out_fabric_a,
+ input wire [11:0] gpio_out_fabric_b,
+
+ // Misc (Domain: rfnoc_ctrl_clk)
+ input wire [31:0] qsfp_port_0_0_info,
+ input wire [31:0] qsfp_port_0_1_info,
+ input wire [31:0] qsfp_port_0_2_info,
+ input wire [31:0] qsfp_port_0_3_info,
+ input wire [31:0] qsfp_port_1_0_info,
+ input wire [31:0] qsfp_port_1_1_info,
+ input wire [31:0] qsfp_port_1_2_info,
+ input wire [31:0] qsfp_port_1_3_info,
+ output wire [15:0] device_id,
+ output wire mfg_test_en_fabric_clk,
+ output wire mfg_test_en_gty_rcv_clk,
+ input wire fpga_aux_ref,
+
+ // Version (Constant)
+ // Each component consists of a 96-bit vector (refer to versioning_utils.vh)
+ input wire [64*96-1:0] version_info
+
+);
+
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+ `include "regmap/core_regs_regmap_utils.vh"
+
+
+ //---------------------------------------------------------------------------
+ // AXI4-Lite to ctrlport
+ //---------------------------------------------------------------------------
+
+ // Ctrlport master interface (domain: rfnoc_ctrl_clk)
+ wire m_req_wr;
+ wire m_req_rd;
+ wire [CTRLPORT_ADDR_W-1:0] m_req_addr;
+ wire [CTRLPORT_DATA_W-1:0] m_req_data;
+ wire m_resp_ack;
+ wire [ CTRLPORT_STS_W-1:0] m_resp_status;
+ wire [CTRLPORT_DATA_W-1:0] m_resp_data;
+
+ // Split ctrlport for multiple endpoints (domain: rfnoc_ctrl_clk)
+ wire timekeeper_req_wr, versioning_req_wr, global_regs_req_wr, dio_req_wr;
+ wire timekeeper_req_rd, versioning_req_rd, global_regs_req_rd, dio_req_rd;
+ wire [CTRLPORT_ADDR_W-1:0] timekeeper_req_addr, versioning_req_addr, global_regs_req_addr, dio_req_addr;
+ wire [CTRLPORT_DATA_W-1:0] timekeeper_req_data, versioning_req_data, global_regs_req_data, dio_req_data;
+ wire timekeeper_resp_ack, versioning_resp_ack, global_regs_resp_ack, dio_resp_ack;
+ wire [ CTRLPORT_STS_W-1:0] timekeeper_resp_status, versioning_resp_status, global_regs_resp_status, dio_resp_status;
+ wire [CTRLPORT_DATA_W-1:0] timekeeper_resp_data, versioning_resp_data, global_regs_resp_data, dio_resp_data;
+
+ ctrlport_clk_cross ctrlport_clk_cross_i (
+ .rst (ctrlport_rst),
+ .s_ctrlport_clk (ctrlport_clk),
+ .s_ctrlport_req_wr (s_ctrlport_req_wr),
+ .s_ctrlport_req_rd (s_ctrlport_req_rd),
+ .s_ctrlport_req_addr (s_ctrlport_req_addr),
+ .s_ctrlport_req_portid (s_ctrlport_req_portid),
+ .s_ctrlport_req_rem_epid (s_ctrlport_req_rem_epid),
+ .s_ctrlport_req_rem_portid (s_ctrlport_req_rem_portid),
+ .s_ctrlport_req_data (s_ctrlport_req_data),
+ .s_ctrlport_req_byte_en (s_ctrlport_req_byte_en),
+ .s_ctrlport_req_has_time (s_ctrlport_req_has_time),
+ .s_ctrlport_req_time (s_ctrlport_req_time),
+ .s_ctrlport_resp_ack (s_ctrlport_resp_ack),
+ .s_ctrlport_resp_status (s_ctrlport_resp_status),
+ .s_ctrlport_resp_data (s_ctrlport_resp_data),
+ .m_ctrlport_clk (rfnoc_ctrl_clk),
+ .m_ctrlport_req_wr (m_req_wr),
+ .m_ctrlport_req_rd (m_req_rd),
+ .m_ctrlport_req_addr (m_req_addr),
+ .m_ctrlport_req_portid (),
+ .m_ctrlport_req_rem_epid (),
+ .m_ctrlport_req_rem_portid (),
+ .m_ctrlport_req_data (m_req_data),
+ .m_ctrlport_req_byte_en (),
+ .m_ctrlport_req_has_time (),
+ .m_ctrlport_req_time (),
+ .m_ctrlport_resp_ack (m_resp_ack),
+ .m_ctrlport_resp_status (m_resp_status),
+ .m_ctrlport_resp_data (m_resp_data)
+ );
+
+ ctrlport_splitter #(
+ .NUM_SLAVES (4)
+ ) ctrlport_splitter_i (
+ .ctrlport_clk (rfnoc_ctrl_clk),
+ .ctrlport_rst (rfnoc_ctrl_rst),
+ .s_ctrlport_req_wr (m_req_wr),
+ .s_ctrlport_req_rd (m_req_rd),
+ .s_ctrlport_req_addr (m_req_addr),
+ .s_ctrlport_req_data (m_req_data),
+ .s_ctrlport_req_byte_en (4'hF),
+ .s_ctrlport_req_has_time (1'b0),
+ .s_ctrlport_req_time (64'h0),
+ .s_ctrlport_resp_ack (m_resp_ack),
+ .s_ctrlport_resp_status (m_resp_status),
+ .s_ctrlport_resp_data (m_resp_data),
+ .m_ctrlport_req_wr ({timekeeper_req_wr, versioning_req_wr, global_regs_req_wr, dio_req_wr}),
+ .m_ctrlport_req_rd ({timekeeper_req_rd, versioning_req_rd, global_regs_req_rd, dio_req_rd}),
+ .m_ctrlport_req_addr ({timekeeper_req_addr, versioning_req_addr, global_regs_req_addr, dio_req_addr}),
+ .m_ctrlport_req_data ({timekeeper_req_data, versioning_req_data, global_regs_req_data, dio_req_data}),
+ .m_ctrlport_req_byte_en (),
+ .m_ctrlport_req_has_time (),
+ .m_ctrlport_req_time (),
+ .m_ctrlport_resp_ack ({timekeeper_resp_ack, versioning_resp_ack, global_regs_resp_ack, dio_resp_ack}),
+ .m_ctrlport_resp_status ({timekeeper_resp_status, versioning_resp_status, global_regs_resp_status, dio_resp_status}),
+ .m_ctrlport_resp_data ({timekeeper_resp_data, versioning_resp_data, global_regs_resp_data, dio_resp_data})
+ );
+
+
+ //--------------------------------------------------------------------
+ // Global Registers
+ // -------------------------------------------------------------------
+
+ localparam NUM_TIMEKEEPERS = 1;
+
+ x4xx_global_regs #(
+ .REG_BASE (GLOBAL_REGS),
+ .REG_SIZE (GLOBAL_REGS_SIZE),
+ .CHDR_CLK_RATE (CHDR_CLK_RATE),
+ .CHDR_W (CHDR_W),
+ .RFNOC_PROTOVER (RFNOC_PROTOVER),
+ .NUM_TIMEKEEPERS (NUM_TIMEKEEPERS),
+ .PCIE_PRESENT (PCIE_PRESENT)
+ ) x4xx_global_regs_i (
+ .s_ctrlport_clk (rfnoc_ctrl_clk),
+ .s_ctrlport_rst (rfnoc_ctrl_rst),
+ .s_ctrlport_req_wr (global_regs_req_wr),
+ .s_ctrlport_req_rd (global_regs_req_rd),
+ .s_ctrlport_req_addr (global_regs_req_addr),
+ .s_ctrlport_req_data (global_regs_req_data),
+ .s_ctrlport_resp_ack (global_regs_resp_ack),
+ .s_ctrlport_resp_status (global_regs_resp_status),
+ .s_ctrlport_resp_data (global_regs_resp_data),
+ .rfnoc_chdr_clk (rfnoc_chdr_clk),
+ .rfnoc_chdr_rst (rfnoc_chdr_rst),
+ .pps_select (pps_select),
+ .trig_io_select (trig_io_select),
+ .pll_sync_trigger (pll_sync_trigger),
+ .pll_sync_delay (pll_sync_delay),
+ .pll_sync_done (pll_sync_done),
+ .pps_brc_delay (pps_brc_delay),
+ .pps_prc_delay (pps_prc_delay),
+ .prc_rc_divider (prc_rc_divider),
+ .pps_rc_enabled (pps_rc_enabled),
+ .qsfp_port_0_0_info (qsfp_port_0_0_info),
+ .qsfp_port_0_1_info (qsfp_port_0_1_info),
+ .qsfp_port_0_2_info (qsfp_port_0_2_info),
+ .qsfp_port_0_3_info (qsfp_port_0_3_info),
+ .qsfp_port_1_0_info (qsfp_port_1_0_info),
+ .qsfp_port_1_1_info (qsfp_port_1_1_info),
+ .qsfp_port_1_2_info (qsfp_port_1_2_info),
+ .qsfp_port_1_3_info (qsfp_port_1_3_info),
+ .mfg_test_en_fabric_clk (mfg_test_en_fabric_clk),
+ .mfg_test_en_gty_rcv_clk (mfg_test_en_gty_rcv_clk),
+ .fpga_aux_ref (fpga_aux_ref),
+ .device_id (device_id)
+ );
+
+
+ //--------------------------------------------------------------------
+ // Version Registers
+ // -------------------------------------------------------------------
+
+ x4xx_versioning_regs #(
+ .REG_BASE (VERSIONING_REGS)
+ ) x4xx_versioning_regs_i (
+ .s_ctrlport_clk (rfnoc_ctrl_clk),
+ .s_ctrlport_rst (rfnoc_ctrl_rst),
+ .s_ctrlport_req_wr (versioning_req_wr),
+ .s_ctrlport_req_rd (versioning_req_rd),
+ .s_ctrlport_req_addr (versioning_req_addr),
+ .s_ctrlport_req_data (versioning_req_data),
+ .s_ctrlport_resp_ack (versioning_resp_ack),
+ .s_ctrlport_resp_status (versioning_resp_status),
+ .s_ctrlport_resp_data (versioning_resp_data),
+ .version_info (version_info)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Timekeeper
+ //---------------------------------------------------------------------------
+
+ assign timekeeper_resp_status = CTRL_STS_OKAY;
+
+ timekeeper #(
+ .BASE_ADDR (TIMEKEEPER),
+ .TIME_INCREMENT (0)
+ ) timekeeper_i (
+ .tb_clk (radio_clk),
+ .tb_rst (radio_rst),
+ .s_ctrlport_clk (rfnoc_ctrl_clk),
+ .s_ctrlport_req_wr (timekeeper_req_wr),
+ .s_ctrlport_req_rd (timekeeper_req_rd),
+ .s_ctrlport_req_addr (timekeeper_req_addr),
+ .s_ctrlport_req_data (timekeeper_req_data),
+ .s_ctrlport_resp_ack (timekeeper_resp_ack),
+ .s_ctrlport_resp_data (timekeeper_resp_data),
+ .time_increment (radio_spc),
+ .sample_rx_stb (sample_rx_stb),
+ .pps (pps_radioclk),
+ .tb_timestamp (radio_time),
+ .tb_timestamp_last_pps (),
+ .tb_period_ns_q32 ()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // DIO
+ //---------------------------------------------------------------------------
+
+
+ x4xx_dio #(
+ .REG_BASE (DIO),
+ .REG_SIZE (DIO_SIZE)
+ ) x4xx_dio_i (
+ .ctrlport_clk (rfnoc_ctrl_clk),
+ .ctrlport_rst (rfnoc_ctrl_rst),
+ .s_ctrlport_req_wr (dio_req_wr),
+ .s_ctrlport_req_rd (dio_req_rd),
+ .s_ctrlport_req_addr (dio_req_addr),
+ .s_ctrlport_req_data (dio_req_data),
+ .s_ctrlport_resp_ack (dio_resp_ack),
+ .s_ctrlport_resp_status (dio_resp_status),
+ .s_ctrlport_resp_data (dio_resp_data),
+ .gpio_in_a (gpio_in_a),
+ .gpio_in_b (gpio_in_b),
+ .gpio_out_a (gpio_out_a),
+ .gpio_out_b (gpio_out_b),
+ .gpio_en_a (gpio_en_a),
+ .gpio_en_b (gpio_en_b),
+ .gpio_in_fabric_a (gpio_in_fabric_a),
+ .gpio_in_fabric_b (gpio_in_fabric_b),
+ .gpio_out_fabric_a (gpio_out_fabric_a),
+ .gpio_out_fabric_b (gpio_out_fabric_b)
+ );
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<regmap name="CORE_REGS_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <info>
+// This is the map for the registers that the CORE_REGS window has access to
+// from the ARM_AXI_HPM0_FPD port.
+//
+// The registers contained here conform the mboard-regs node that MPM uses
+// to manage general FPGA control/status calls, such as versioning,
+// timekeeper, GPIO, etc.
+// </info>
+// <group name="CORE_REGS">
+// <window name="GLOBAL_REGS" offset="0x0" size="0xC00" targetregmap="GLOBAL_REGS_REGMAP">
+// <info>Window to access global registers in the FPGA.</info>
+// </window>
+// <window name="VERSIONING_REGS" offset="0xC00" size="0x400" targetregmap="VERSIONING_REGS_REGMAP">
+// <info>Window to access versioning registers in the FPGA.</info>
+// </window>
+// <window name="TIMEKEEPER" offset="0x1000" size="0x20">
+// <info>Window to access the timekeeper register map.</info>
+// </window>
+// <window name="DIO" offset="0x2000" size="0x20" targetregmap="DIO_REGMAP">
+// <info>Window to access the DIO register map.</info>
+// </window>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/x4xx_dio.v b/fpga/usrp3/top/x400/x4xx_dio.v
new file mode 100644
index 000000000..871a239ee
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_dio.v
@@ -0,0 +1,276 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_dio
+//
+// Description:
+//
+// This module contains the motherboard registers for the DIO
+// auxiliary board and the logic to drive these GPIO signals.
+//
+// Parameters:
+//
+// REG_BASE : Base address to use for registers.
+//
+
+`default_nettype none
+
+
+module x4xx_dio #(
+ parameter REG_BASE = 0,
+ parameter REG_SIZE = 'h20
+) (
+ // Slave ctrlport interface
+ input wire ctrlport_clk,
+ input wire ctrlport_rst,
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+ output reg s_ctrlport_resp_ack = 1'b0,
+ output reg [ 1:0] s_ctrlport_resp_status = 2'b00,
+ output reg [31:0] s_ctrlport_resp_data = {32 {1'bX}},
+
+ // GPIO to DIO board (ctrlport_clk)
+ output wire [11:0] gpio_en_a,
+ output wire [11:0] gpio_en_b,
+
+ // GPIO to DIO board (async)
+ input wire [11:0] gpio_in_a,
+ input wire [11:0] gpio_in_b,
+ output wire [11:0] gpio_out_a,
+ output wire [11:0] gpio_out_b,
+
+ // GPIO to application (async)
+ output wire [11:0] gpio_in_fabric_a,
+ output wire [11:0] gpio_in_fabric_b,
+ input wire [11:0] gpio_out_fabric_a,
+ input wire [11:0] gpio_out_fabric_b
+);
+
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+ `include "regmap/dio_regmap_utils.vh"
+
+ //---------------------------------------------------------------------------
+ // Constants
+ //---------------------------------------------------------------------------
+
+ localparam DIO_WIDTH = 12;
+
+
+ //---------------------------------------------------------------------------
+ // DIO Registers
+ //---------------------------------------------------------------------------
+
+ reg [DIO_WIDTH-1:0] dio_direction_a = {DIO_WIDTH {1'b0}};
+ reg [DIO_WIDTH-1:0] dio_direction_b = {DIO_WIDTH {1'b0}};
+ reg [DIO_WIDTH-1:0] dio_master_a = {DIO_WIDTH {1'b0}};
+ reg [DIO_WIDTH-1:0] dio_master_b = {DIO_WIDTH {1'b0}};
+ reg [DIO_WIDTH-1:0] dio_output_a = {DIO_WIDTH {1'b0}};
+ reg [DIO_WIDTH-1:0] dio_output_b = {DIO_WIDTH {1'b0}};
+ wire [DIO_WIDTH-1:0] dio_input_a;
+ wire [DIO_WIDTH-1:0] dio_input_b;
+
+
+ //---------------------------------------------------------------------------
+ // Control interface handling
+ //---------------------------------------------------------------------------
+
+ // Check that address is within this module's range.
+ wire address_in_range = (s_ctrlport_req_addr >= REG_BASE) && (s_ctrlport_req_addr < REG_BASE + REG_SIZE);
+
+ always @ (posedge ctrlport_clk) begin
+ if (ctrlport_rst) begin
+ s_ctrlport_resp_ack <= 1'b0;
+ s_ctrlport_resp_data <= {32 {1'bX}};
+ s_ctrlport_resp_status <= 2'b00;
+
+ dio_direction_a <= {DIO_WIDTH {1'b0}};
+ dio_direction_b <= {DIO_WIDTH {1'b0}};
+ dio_master_a <= {DIO_WIDTH {1'b0}};
+ dio_master_b <= {DIO_WIDTH {1'b0}};
+ dio_output_a <= {DIO_WIDTH {1'b0}};
+ dio_output_b <= {DIO_WIDTH {1'b0}};
+
+ end else begin
+ // Write registers
+ if (s_ctrlport_req_wr) begin
+ // Acknowledge by default
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_data <= {CTRLPORT_DATA_W {1'b0}};
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (s_ctrlport_req_addr)
+ REG_BASE + DIO_MASTER_REGISTER: begin
+ dio_master_a <= s_ctrlport_req_data[DIO_MASTER_A_MSB:DIO_MASTER_A];
+ dio_master_b <= s_ctrlport_req_data[DIO_MASTER_B_MSB:DIO_MASTER_B];
+ end
+
+ REG_BASE + DIO_DIRECTION_REGISTER: begin
+ dio_direction_a <= s_ctrlport_req_data[DIO_DIRECTION_A_MSB:DIO_DIRECTION_A];
+ dio_direction_b <= s_ctrlport_req_data[DIO_DIRECTION_B_MSB:DIO_DIRECTION_B];
+ end
+
+ REG_BASE + DIO_OUTPUT_REGISTER: begin
+ dio_output_a <= s_ctrlport_req_data[DIO_OUTPUT_A_MSB:DIO_OUTPUT_A];
+ dio_output_b <= s_ctrlport_req_data[DIO_OUTPUT_B_MSB:DIO_OUTPUT_B];
+ end
+
+ // No register implementation for provided address
+ default: begin
+ // Acknowledge and provide error status if address is in range
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ // Read registers
+ end else if (s_ctrlport_req_rd) begin
+ // Acknowledge by default
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_data <= {CTRLPORT_DATA_W {1'b0}};
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (s_ctrlport_req_addr)
+ REG_BASE + DIO_MASTER_REGISTER: begin
+ s_ctrlport_resp_data[DIO_MASTER_A_MSB:DIO_MASTER_A] <= dio_master_a;
+ s_ctrlport_resp_data[DIO_MASTER_B_MSB:DIO_MASTER_B] <= dio_master_b;
+ end
+
+ REG_BASE + DIO_DIRECTION_REGISTER: begin
+ s_ctrlport_resp_data[DIO_DIRECTION_A_MSB:DIO_DIRECTION_A] <= dio_direction_a;
+ s_ctrlport_resp_data[DIO_DIRECTION_B_MSB:DIO_DIRECTION_B] <= dio_direction_b;
+ end
+
+ REG_BASE + DIO_OUTPUT_REGISTER: begin
+ s_ctrlport_resp_data[DIO_OUTPUT_A_MSB:DIO_OUTPUT_A] <= dio_output_a;
+ s_ctrlport_resp_data[DIO_OUTPUT_B_MSB:DIO_OUTPUT_B] <= dio_output_b;
+ end
+
+ REG_BASE + DIO_INPUT_REGISTER: begin
+ s_ctrlport_resp_data[DIO_INPUT_A_MSB:DIO_INPUT_A] <= dio_input_a;
+ s_ctrlport_resp_data[DIO_INPUT_B_MSB:DIO_INPUT_B] <= dio_input_b;
+ end
+
+ // No register implementation for provided address
+ default: begin
+ // Acknowledge and provide error status if address is in range
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ end
+
+
+ //---------------------------------------------------------------------------
+ // DIO handling
+ //---------------------------------------------------------------------------
+
+ // Synchronizer for asynchronous inputs.
+ // Downstream user logic has to ensure bus coherency if required.
+ synchronizer #(
+ .WIDTH (DIO_WIDTH*2),
+ .STAGES (2),
+ .INITIAL_VAL ({DIO_WIDTH*2 {1'b0}}),
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_dio (
+ .clk (ctrlport_clk),
+ .rst (ctrlport_rst),
+ .in ({gpio_in_a, gpio_in_b}),
+ .out ({dio_input_a, dio_input_b})
+ );
+
+ // Forward raw input to user application
+ assign gpio_in_fabric_a = gpio_in_a;
+ assign gpio_in_fabric_b = gpio_in_b;
+
+ // Direction control
+ assign gpio_en_a = dio_direction_a;
+ assign gpio_en_b = dio_direction_b;
+
+ // Output assignment depending on master
+ generate
+ genvar i;
+ for (i = 0; i < DIO_WIDTH; i = i + 1) begin: dio_output_gen
+ glitch_free_mux glitch_free_mux_dio_a (
+ .select (dio_master_a[i]),
+ .signal0 (gpio_out_fabric_a[i]),
+ .signal1 (dio_output_a[i]),
+ .muxed_signal (gpio_out_a[i])
+ );
+
+ glitch_free_mux glitch_free_mux_dio_b (
+ .select (dio_master_b[i]),
+ .signal0 (gpio_out_fabric_b[i]),
+ .signal1 (dio_output_b[i]),
+ .muxed_signal (gpio_out_b[i])
+ );
+ end
+ endgenerate
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<regmap name="DIO_REGMAP" readablestrobes="false" ettusguidelines="true">
+// <group name="DIO_REGS">
+// <info>
+// Registers to control the GPIO buffer direction on the FPGA connected to the DIO board.
+// Further registers enable the PS to control and read the GPIO lines as master.
+// Make sure the GPIO lines between FPGA and GPIO board are not driven by two drivers.
+// Set the DIO registers in @.PS_CPLD_BASE_REGMAP appropriately.
+// </info>
+//
+// <register name="DIO_MASTER_REGISTER" offset="0x00" size="32">
+// <info>
+// Sets whether the DIO signal line is driven by this register interface or the user application.{br/}
+// 0 = user application is master, 1 = PS is master
+// </info>
+// <bitfield name="DIO_MASTER_A" range="0..11" initialvalue="0"/>
+// <bitfield name="DIO_MASTER_B" range="16..27" initialvalue="0"/>
+// </register>
+// <register name="DIO_DIRECTION_REGISTER" offset="0x04" size="32">
+// <info>
+// Set the direction of FPGA buffer connected to DIO ports on the DIO board.{br/}
+// Each bit represents one signal line. 0 = line is an input to the FPGA, 1 = line is an output driven by the FPGA.
+// </info>
+// <bitfield name="DIO_DIRECTION_A" range="0..11" initialvalue="0"/>
+// <bitfield name="DIO_DIRECTION_B" range="16..27" initialvalue="0"/>
+// </register>
+// <register name="DIO_INPUT_REGISTER" offset="0x08" size="32" writable="false">
+// <info>
+// Status of each bit at the FPGA input.
+// </info>
+// <bitfield name="DIO_INPUT_A" range="0..11"/>
+// <bitfield name="DIO_INPUT_B" range="16..27"/>
+// </register>
+// <register name="DIO_OUTPUT_REGISTER" offset="0x0C" size="32">
+// <info>
+// Controls the values on each DIO signal line in case the line master is set to PS in @.DIO_MASTER_REGISTER.
+// </info>
+// <bitfield name="DIO_OUTPUT_A" range="0..11" initialvalue="0"/>
+// <bitfield name="DIO_OUTPUT_B" range="16..27" initialvalue="0"/>
+// </register>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/x4xx_global_regs.v b/fpga/usrp3/top/x400/x4xx_global_regs.v
new file mode 100644
index 000000000..5373c0a7f
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_global_regs.v
@@ -0,0 +1,703 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0
+//
+// Module: x4xx_global_regs
+//
+// Description:
+//
+// This module contains the motherboard registers for the USRP.
+//
+// Parameters:
+//
+// REG_BASE : Base address to use for the registers
+// CHDR_CLK_RATE : Rate of rfnoc_chdr_clk in Hz
+// CHDR_W : CHDR protocol width
+// RFNOC_PROTOVER : RFNoC protocol version (major in most-significant byte,
+// Minor is least significant byte).
+// NUM_TIMEKEEPERS : Number of timekeeper modules
+// PCIE_PRESENT : Indicates if PCIe is present in this image
+//
+
+`default_nettype none
+
+
+module x4xx_global_regs #(
+ parameter REG_BASE = 0,
+ parameter REG_SIZE = 'hC00,
+ parameter CHDR_CLK_RATE = 200000000,
+ parameter CHDR_W = 64,
+ parameter RFNOC_PROTOVER = {8'd1, 8'd0},
+ parameter NUM_TIMEKEEPERS = 32'd1,
+ parameter PCIE_PRESENT = 0
+) (
+ // Slave ctrlport interface
+ input wire s_ctrlport_clk,
+ input wire s_ctrlport_rst,
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+ output reg s_ctrlport_resp_ack = 1'b0,
+ output reg [ 1:0] s_ctrlport_resp_status = 2'b00,
+ output reg [31:0] s_ctrlport_resp_data = {32 {1'bX}},
+
+ // RFNoC CHDR clock/reset
+ input wire rfnoc_chdr_clk,
+ input wire rfnoc_chdr_rst,
+
+ // PPS and clock control signals (domain: s_ctrlport_clk)
+ output wire [ 1:0] pps_select,
+ output wire [ 1:0] trig_io_select,
+ output reg pll_sync_trigger = 1'b0,
+ output reg [ 7:0] pll_sync_delay = 8'b0,
+ input wire pll_sync_done,
+ output reg [ 7:0] pps_brc_delay = 8'b0,
+ output reg [25:0] pps_prc_delay = 26'b0,
+ output reg [ 1:0] prc_rc_divider = 2'b0,
+ output reg pps_rc_enabled = 1'b0,
+
+ // Misc control and status signals (domain: s_ctrlport_clk)
+ input wire [31:0] qsfp_port_0_0_info,
+ input wire [31:0] qsfp_port_0_1_info,
+ input wire [31:0] qsfp_port_0_2_info,
+ input wire [31:0] qsfp_port_0_3_info,
+ input wire [31:0] qsfp_port_1_0_info,
+ input wire [31:0] qsfp_port_1_1_info,
+ input wire [31:0] qsfp_port_1_2_info,
+ input wire [31:0] qsfp_port_1_3_info,
+ output reg mfg_test_en_fabric_clk = 1'b0,
+ output reg mfg_test_en_gty_rcv_clk = 1'b0,
+ input wire fpga_aux_ref,
+
+ // Device ID used by RFNoC, transports, etc. (Domain: rfnoc_chdr_clk)
+ output reg [15:0] device_id
+);
+
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+ `include "regmap/global_regs_regmap_utils.vh"
+ `include "regmap/versioning_regs_regmap_utils.vh"
+
+ // Make DEVICE_ID default to anything but 0, since that has special meaning
+ localparam [DEVICE_ID_SIZE-1:0] DEFAULT_DEVICE_ID = 1;
+
+ // Internal registers (Domain: s_ctrlport_clk)
+ reg [ DEVICE_ID_SIZE-1:0] device_id_reg = DEFAULT_DEVICE_ID;
+ reg [SCRATCH_REG_SIZE-1:0] scratch_reg = {SCRATCH_REG_SIZE{1'b0}};
+ reg [SERIAL_NUM_HIGH_REG_SIZE + SERIAL_NUM_LOW_REG_SIZE-1:0] serial_num_reg = 0;
+
+ // CHDR clock counter (Domain: rfnoc_chdr_clk)
+ reg [CHDR_CLK_COUNT_REG_SIZE-1:0] chdr_counter = {CHDR_CLK_COUNT_REG_SIZE{1'b0}};
+
+ // CHDR clock counter register (Domain: s_ctrlport_clk)
+ wire chdr_counter_fifo_valid;
+ wire [CHDR_CLK_COUNT_REG_SIZE-1:0] chdr_counter_fifo_data;
+ reg [CHDR_CLK_COUNT_REG_SIZE-1:0] chdr_counter_reg = 0;
+
+ // Measure PPS for manufacturing test
+ reg [MFG_TEST_FPGA_AUX_REF_FREQ_SIZE-1:0] fpga_aux_ref_freq = 0;
+
+ reg [PPS_SELECT_SIZE-1:0] pps_select_reg = PPS_INT_25MHZ;
+ assign pps_select = pps_select_reg;
+
+ reg [TRIGGER_IO_SELECT_SIZE-1:0] trig_io_select_reg = TRIG_IO_INPUT;
+ assign trig_io_select = trig_io_select_reg;
+
+ // Bus counter in the rfnoc_chdr_clk domain.
+ always @(posedge rfnoc_chdr_clk) begin
+ if (rfnoc_chdr_rst) begin
+ chdr_counter <= {CHDR_CLK_COUNT_REG_SIZE{1'b0}};
+ end else begin
+ chdr_counter <= chdr_counter + 1;
+ end
+ end
+
+ // Safely cross clock domains for the CHDR counter.
+ handshake #(
+ .WIDTH (CHDR_CLK_COUNT_REG_SIZE)
+ ) handshake_chdr_counter (
+ .clk_a (rfnoc_chdr_clk),
+ .rst_a (rfnoc_chdr_rst),
+ .valid_a (1'b1),
+ .data_a (chdr_counter),
+ .busy_a (),
+ .clk_b (s_ctrlport_clk),
+ .valid_b (chdr_counter_fifo_valid),
+ .data_b (chdr_counter_fifo_data)
+ );
+
+ // Register a valid FIFO output to ensure the counter is always valid.
+ always @(posedge s_ctrlport_clk) begin
+ if (s_ctrlport_rst) begin
+ chdr_counter_reg <= 0;
+ end else begin
+ if (chdr_counter_fifo_valid) begin
+ chdr_counter_reg <= chdr_counter_fifo_data;
+ end
+ end
+ end
+
+ wire [31:0] build_datestamp;
+
+ USR_ACCESSE2 usr_access_i (
+ .DATA(build_datestamp), .CFGCLK(), .DATAVALID()
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Global Registers
+ //---------------------------------------------------------------------------
+
+ // Check that address is within this module's range.
+ wire address_in_range = (s_ctrlport_req_addr >= REG_BASE) && (s_ctrlport_req_addr < REG_BASE + REG_SIZE);
+
+ // Registers implementation
+ always @ (posedge s_ctrlport_clk) begin
+ if (s_ctrlport_rst) begin
+ s_ctrlport_resp_ack <= 1'b0;
+ s_ctrlport_resp_data <= {32 {1'bX}};
+ s_ctrlport_resp_status <= 2'b00;
+ scratch_reg <= {SCRATCH_REG_SIZE{1'b0}};
+ serial_num_reg <= 0;
+ pps_select_reg <= PPS_INT_25MHZ;
+ trig_io_select_reg <= TRIG_IO_INPUT;
+ device_id_reg <= DEFAULT_DEVICE_ID;
+
+ end else begin
+ // Write registers
+ if (s_ctrlport_req_wr) begin
+ // Acknowledge by default
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_data <= 32'h0;
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (s_ctrlport_req_addr)
+ REG_BASE + SCRATCH_REG: begin
+ scratch_reg <= s_ctrlport_req_data;
+ end
+
+ REG_BASE + DEVICE_ID_REG: begin
+ device_id_reg <= s_ctrlport_req_data[DEVICE_ID_MSB:DEVICE_ID];
+ end
+
+ REG_BASE + CLOCK_CTRL_REG: begin
+ pps_select_reg <= s_ctrlport_req_data[PPS_SELECT_MSB:PPS_SELECT];
+ trig_io_select_reg <= s_ctrlport_req_data[TRIGGER_IO_SELECT_MSB:TRIGGER_IO_SELECT];
+ pll_sync_delay <= s_ctrlport_req_data[PLL_SYNC_DELAY_MSB:PLL_SYNC_DELAY];
+ pll_sync_trigger <= s_ctrlport_req_data[PLL_SYNC_TRIGGER];
+ pps_brc_delay <= s_ctrlport_req_data[PPS_BRC_DELAY_MSB:PPS_BRC_DELAY];
+ end
+
+ REG_BASE + PPS_CTRL_REG: begin
+ pps_prc_delay <= s_ctrlport_req_data[PPS_PRC_DELAY_MSB:PPS_PRC_DELAY];
+ prc_rc_divider <= s_ctrlport_req_data[PRC_RC_DIVIDER_MSB:PRC_RC_DIVIDER];
+ pps_rc_enabled <= s_ctrlport_req_data[PPS_RC_ENABLED];
+ end
+
+ REG_BASE + SERIAL_NUM_LOW_REG: begin
+ serial_num_reg[SERIAL_NUM_LOW_REG_SIZE-1:0] <= s_ctrlport_req_data;
+ end
+
+ REG_BASE + SERIAL_NUM_HIGH_REG: begin
+ serial_num_reg[SERIAL_NUM_LOW_REG_SIZE +: SERIAL_NUM_HIGH_REG_SIZE] <= s_ctrlport_req_data;
+ end
+
+ REG_BASE + MFG_TEST_CTRL_REG: begin
+ mfg_test_en_fabric_clk <= s_ctrlport_req_data[MFG_TEST_EN_FABRIC_CLK];
+ mfg_test_en_gty_rcv_clk <= s_ctrlport_req_data[MFG_TEST_EN_GTY_RCV_CLK];
+ end
+
+ // No register implementation for provided address
+ default: begin
+ // Acknowledge and provide error status if address is in range
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ // Read registers
+ end else if (s_ctrlport_req_rd) begin
+ // Acknowledge by default
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_data <= 32'h0;
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (s_ctrlport_req_addr)
+ REG_BASE + COMPAT_NUM_REG: begin
+ s_ctrlport_resp_data[COMPAT_MAJOR_MSB:COMPAT_MAJOR] <= FPGA_CURRENT_VERSION_MAJOR;
+ s_ctrlport_resp_data[COMPAT_MINOR_MSB:COMPAT_MINOR] <= FPGA_CURRENT_VERSION_MINOR;
+ end
+
+ REG_BASE + DATESTAMP_REG: begin
+ s_ctrlport_resp_data <= build_datestamp;
+ end
+
+ REG_BASE + GIT_HASH_REG: begin
+ `ifndef GIT_HASH
+ `define GIT_HASH 32'h0BADC0DE
+ `endif
+ s_ctrlport_resp_data <= `GIT_HASH;
+ end
+
+ REG_BASE + SCRATCH_REG: begin
+ s_ctrlport_resp_data <= scratch_reg;
+ end
+
+ REG_BASE + DEVICE_ID_REG: begin
+ if (PCIE_PRESENT) begin
+ s_ctrlport_resp_data[PCIE_PRESENT_BIT] <= 1'b1;
+ end
+ s_ctrlport_resp_data[DEVICE_ID_MSB:DEVICE_ID] <= device_id_reg;
+ end
+
+ REG_BASE + RFNOC_INFO_REG: begin
+ s_ctrlport_resp_data[CHDR_WIDTH_MSB:CHDR_WIDTH] <= CHDR_W[CHDR_WIDTH_SIZE-1:0];
+ s_ctrlport_resp_data[RFNOC_PROTO_MAJOR_MSB:RFNOC_PROTO_MAJOR] <= RFNOC_PROTOVER[RFNOC_PROTO_MAJOR_MSB:RFNOC_PROTO_MAJOR];
+ s_ctrlport_resp_data[RFNOC_PROTO_MINOR_MSB:RFNOC_PROTO_MINOR] <= RFNOC_PROTOVER[RFNOC_PROTO_MINOR_MSB:RFNOC_PROTO_MINOR];
+ end
+
+ REG_BASE + CLOCK_CTRL_REG: begin
+ s_ctrlport_resp_data[PPS_SELECT_MSB:PPS_SELECT] <= pps_select_reg;
+ s_ctrlport_resp_data[PLL_SYNC_DELAY_MSB:PLL_SYNC_DELAY] <= pll_sync_delay;
+ s_ctrlport_resp_data[PLL_SYNC_DONE] <= pll_sync_done;
+ s_ctrlport_resp_data[TRIGGER_IO_SELECT_MSB:TRIGGER_IO_SELECT] <= trig_io_select_reg;
+ s_ctrlport_resp_data[PPS_BRC_DELAY_MSB:PPS_BRC_DELAY] <= pps_brc_delay;
+ end
+
+ REG_BASE + PPS_CTRL_REG: begin
+ s_ctrlport_resp_data[PPS_RC_ENABLED] <= pps_rc_enabled;
+ s_ctrlport_resp_data[PRC_RC_DIVIDER_MSB:PRC_RC_DIVIDER] <= prc_rc_divider;
+ s_ctrlport_resp_data[PPS_PRC_DELAY_MSB:PPS_PRC_DELAY] <= pps_prc_delay;
+ end
+
+ REG_BASE + CHDR_CLK_RATE_REG: begin
+ s_ctrlport_resp_data <= CHDR_CLK_RATE[CHDR_CLK_RATE_REG_SIZE-1:0];
+ end
+
+ REG_BASE + CHDR_CLK_COUNT_REG: begin
+ s_ctrlport_resp_data <= chdr_counter_reg;
+ end
+
+ REG_BASE + QSFP_PORT_0_0_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_0_0_info;
+ end
+
+ REG_BASE + QSFP_PORT_0_1_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_0_1_info;
+ end
+
+ REG_BASE + QSFP_PORT_0_2_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_0_2_info;
+ end
+
+ REG_BASE + QSFP_PORT_0_3_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_0_3_info;
+ end
+
+ REG_BASE + QSFP_PORT_1_0_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_1_0_info;
+ end
+
+ REG_BASE + QSFP_PORT_1_1_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_1_1_info;
+ end
+
+ REG_BASE + QSFP_PORT_1_2_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_1_2_info;
+ end
+
+ REG_BASE + QSFP_PORT_1_3_INFO_REG: begin
+ s_ctrlport_resp_data <= qsfp_port_1_3_info;
+ end
+
+ REG_BASE + NUM_TIMEKEEPERS_REG: begin
+ s_ctrlport_resp_data <= NUM_TIMEKEEPERS[NUM_TIMEKEEPERS_REG_SIZE-1:0];
+ end
+
+ REG_BASE + SERIAL_NUM_LOW_REG: begin
+ s_ctrlport_resp_data <= serial_num_reg[SERIAL_NUM_LOW_REG_SIZE-1:0];
+ end
+
+ REG_BASE + SERIAL_NUM_HIGH_REG: begin
+ s_ctrlport_resp_data <= serial_num_reg[SERIAL_NUM_LOW_REG_SIZE +: SERIAL_NUM_HIGH_REG_SIZE];
+ end
+
+ REG_BASE + MFG_TEST_CTRL_REG: begin
+ s_ctrlport_resp_data[MFG_TEST_EN_FABRIC_CLK] <= mfg_test_en_fabric_clk;
+ s_ctrlport_resp_data[MFG_TEST_EN_GTY_RCV_CLK] <= mfg_test_en_gty_rcv_clk;
+ end
+
+ REG_BASE + MFG_TEST_STATUS_REG: begin
+ s_ctrlport_resp_data[MFG_TEST_FPGA_AUX_REF_FREQ_MSB:MFG_TEST_FPGA_AUX_REF_FREQ] <= fpga_aux_ref_freq;
+ end
+
+ // No register implementation for provided address
+ default: begin
+ // Acknowledge and provide error status if address is in range
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ end
+
+ // Assign Device ID register (Domain: s_ctrlport_clk) to module
+ // output (Domain: rfnoc_chdr_clk).
+
+ wire device_id_fifo_valid;
+ wire [DEVICE_ID_SIZE-1:0] device_id_fifo_data;
+
+ // Clock-crossing for device_id.
+ handshake #(
+ .WIDTH (DEVICE_ID_SIZE)
+ ) handshake_device_id (
+ .clk_a (s_ctrlport_clk),
+ .rst_a (s_ctrlport_rst),
+ .valid_a (1'b1),
+ .data_a (device_id_reg),
+ .busy_a (),
+ .clk_b (rfnoc_chdr_clk),
+ .valid_b (device_id_fifo_valid),
+ .data_b (device_id_fifo_data)
+ );
+
+ // Register the handshake output to ensure device_id is always valid.
+ always @(posedge rfnoc_chdr_clk) begin
+ if (rfnoc_chdr_rst) begin
+ device_id <= 'bX;
+ end else begin
+ if (device_id_fifo_valid) begin
+ device_id <= device_id_fifo_data;
+ end
+ end
+ end
+
+
+ //---------------------------------------------------------------------------
+ // FPGA_REF_CLK Test
+ //---------------------------------------------------------------------------
+
+ // Count the number of clocks on the incoming PPS for manufacturing test
+ // validation.
+ reg [25:0] fpga_aux_ref_cnt = 0;
+ wire fpga_aux_ref_sc1;
+ reg fpga_aux_ref_sc2 = 1'b0;
+
+ synchronizer #(
+ .STAGES (2),
+ .WIDTH (1),
+ .INITIAL_VAL (1'h0)
+ ) synchronizer_fpga_aux_ref (
+ .clk (s_ctrlport_clk),
+ .rst (1'b0),
+ .in (fpga_aux_ref),
+ .out (fpga_aux_ref_sc1)
+ );
+
+ // 1.2 seconds with a 40 Mhz clock
+ localparam FPGA_AUX_REF_CNT_MAX = 48*1000*1000;
+
+ // Registers implementation
+ always @ (posedge s_ctrlport_clk) begin
+ if (s_ctrlport_rst) begin
+ fpga_aux_ref_sc2 <= 1'b0;
+ fpga_aux_ref_freq <= 0;
+ fpga_aux_ref_cnt <= 0;
+ end else begin
+ fpga_aux_ref_sc2 <= fpga_aux_ref_sc1;
+ // Detect rising edge (Was low, now is high)
+ if (!fpga_aux_ref_sc2 && fpga_aux_ref_sc1) begin
+ // if the count is less than max
+ if (fpga_aux_ref_cnt < FPGA_AUX_REF_CNT_MAX) begin
+ fpga_aux_ref_freq <= fpga_aux_ref_cnt;
+ // if count reached max
+ end else begin
+ fpga_aux_ref_freq <= 0;
+ end
+ // reset the counter at each rising edge
+ fpga_aux_ref_cnt <= 0;
+ end else begin
+ //stop incrementing at the max value
+ if (fpga_aux_ref_cnt < FPGA_AUX_REF_CNT_MAX) begin
+ fpga_aux_ref_cnt <= fpga_aux_ref_cnt+1;
+ end
+ end
+ end
+ end
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<regmap name="GLOBAL_REGS_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <group name="GLOBAL_REGS">
+//
+// <register name="COMPAT_NUM_REG" offset="0x00" size="32" writable="false">
+// <info>Revision number</info>
+// <bitfield name="COMPAT_MINOR" range="15..0"/>
+// <bitfield name="COMPAT_MAJOR" range="31..16"/>
+// </register>
+// <register name="DATESTAMP_REG" offset="0x04" size="32" writable="false">
+// <info>Build datestamp (32-bit)</info>
+// <bitfield name="SECONDS" range="5..0"/>
+// <bitfield name="MINUTES" range="11..6"/>
+// <bitfield name="HOUR" range="16..12"/>
+// <bitfield name="YEAR" range="22..17">
+// <info>This is the year number after 2000 (e.g. 2019 = d19).</info>
+// </bitfield>
+// <bitfield name="MONTH" range="26..23"/>
+// <bitfield name="DAY" range="31..27"/>
+// </register>
+// <register name="GIT_HASH_REG" offset="0x08" size="32" writable="false">
+// <info>Git hash of source commit.</info>
+// </register>
+// <register name="SCRATCH_REG" offset="0x0C" size="32">
+// <info>Scratch register for testing.</info>
+// </register>
+// <register name="DEVICE_ID_REG" offset="0x10" size="32">
+// <info>Register that contains the motherboard's device ID.</info>
+// <bitfield name="PCIE_PRESENT_BIT" range="31">
+// <info>Set to 1 if PCI-Express core is present in FPGA design.</info>
+// </bitfield>
+// <bitfield name="DEVICE_ID" range="15..0"/>
+// </register>
+// <register name="RFNOC_INFO_REG" offset="0x14" size="32" writable="false">
+// <info>Register that provides information on the RFNoC protocol.</info>
+// <bitfield name="RFNOC_PROTO_MINOR" range="7..0"/>
+// <bitfield name="RFNOC_PROTO_MAJOR" range="15..8"/>
+// <bitfield name="CHDR_WIDTH" range="31..16"/>
+// </register>
+// <register name="CLOCK_CTRL_REG" offset="0x18" size="32">
+// <info>Control register for clocking resources.</info>
+// <bitfield name="PPS_SELECT" range="1..0" initialvalue="PPS_INT_25MHZ">
+// <enumeratedtype name="PPS_ENUM">
+// <value name="PPS_INT_25MHZ" integer="0"/>
+// <value name="PPS_INT_10MHZ" integer="1"/>
+// <value name="PPS_EXT" integer="2"/>
+// </enumeratedtype>
+// <info>
+// Select the source of the PPS signal.
+// For the internal generation the value depending on the base reference clock has to be chosen.
+// The external reference is taken from the PPS_IN pin and is independent of the base reference clock.
+// </info>
+// </bitfield>
+// <bitfield name="REF_SELECT" range="2">
+// <info>
+// RESERVED. This bit is not implemented on X4xx and reads as 0.
+// </info>
+// </bitfield>
+// <bitfield name="REFCLK_LOCKED" range="3" writable="false">
+// <info>
+// RESERVED. This bit is not implemented on X4xx and reads as 0.
+// </info>
+// </bitfield>
+// <bitfield name="TRIGGER_IO_SELECT" range="5..4" initialvalue="TRIG_IO_INPUT">
+// <info>
+// <b>IMPORTANT!</b> SW must ensure any TRIG_IO consumers (downstream devices) <b>ignore
+// and/or re-sync after enabling this port</b>, since the output-enable is basically
+// asynchronous to the actual TRIG_IO driver.
+// </info>
+// <enumeratedtype name="TRIG_IO_ENUM">
+// <value name="TRIG_IO_INPUT" integer="0"/>
+// <value name="TRIG_IO_PPS_OUTPUT" integer="1"/>
+// </enumeratedtype>
+// <info>
+// Select the direction and content of the trigger inout signal.
+// </info>
+// </bitfield>
+// <bitfield name="PLL_SYNC_TRIGGER" range="8" readable="false">
+// <info>
+// Assertion triggers the SYNC signal generation for LMK04832 after the next appearance of the PPS rising edge.
+// There is no self reset on this trigger.
+// Keep this trigger asserted until @.PLL_SYNC_DONE is asserted.
+// </info>
+// </bitfield>
+// <bitfield name="PLL_SYNC_DONE" range="9" writable="false">
+// <info>Indicates the success of the PLL reset started by @.PLL_SYNC_TRIGGER. Reset on deassertion of @.PLL_SYNC_TRIGGER.</info>
+// </bitfield>
+// <bitfield name="PLL_SYNC_DELAY" range="16..23">
+// <info>
+// Due to the HDL implementation the rising edge of the SYNC signal for
+// the LMK04832 is generated 2 clock cycles after the PPS rising edge.
+// This delay can be further increased by setting this delay value
+// (e.g. PLL_SYNC_DELAY=3 will result in a total delay of 5 clock cycles).<br>
+// In case two X400 devices are connected using the PPS and reference clock the master delay value needs to be 3 clock cycles
+// higher than the slave delay value to align the LMK sync edges in time.
+// </info>
+// </bitfield>
+// <bitfield name="PPS_BRC_DELAY" range="31..24">
+// <info>
+// Number of base reference clock cycles from appearance of the PPS
+// rising edge to the occurrence of the aligned edge of base reference
+// clock and PLL reference clock at the sample PLL output. This number
+// is the sum of the actual value based on @.PLL_SYNC_DELAY (also
+// accumulate the fixed amount of clock cycles) and if any the number of
+// cycles the SPLL requires from issuing of the SYNC signal to the
+// aligned edge (with LMK04832 = 0).<br>
+// The number written to this register has to be reduced by 1 due to
+// HDL implementation.
+// </info>
+// </bitfield>
+// </register>
+// <register name="PPS_CTRL_REG" offset="0x1C" size="32">
+// <info>Control registers for PPS generation.</info>
+// <bitfield name="PPS_PRC_DELAY" range="25..0">
+// <info>
+// The number of PLL reference clock cycles from one aligned edge to the
+// desired aligned edge to issue the PPS in radio clock domain. This
+// delay is configurable to any aligned edge within a maximum delay of 1
+// second (period of PPS). <br>
+// The value written to the register has to be reduced by 4 due to
+// HDL implementation.
+// </info>
+// </bitfield>
+// <bitfield name="PRC_RC_DIVIDER" range="29..28">
+// <info>
+// Clock multiplier used to generate radio clock from PLL reference clock.
+// The value written to the register has to be reduced by 2 due to
+// HDL implementation.
+// </info>
+// </bitfield>
+// <bitfield name="PPS_RC_ENABLED" range="31">
+// <info>
+// Enables the PPS signal in radio clock domain. Please make sure that
+// the values of @.PPS_BRC_DELAY, @.PPS_PRC_DELAY and @.PRC_RC_DIVIDER are
+// set before enabling this bit. It is recommended to disable the PPS
+// for changes on the other values. Use a wait time of at least 1 second
+// before changing this value to ensure the values are stable for the
+// next PPS edge.
+// </info>
+// </bitfield>
+// </register>
+// <register name="CHDR_CLK_RATE_REG" offset="0x20" size="32" writable="false">
+// <info>Returns the RFNoC bus clock rate (CHDR).</info>
+// <bitfield name="CHDR_CLK" range="31..0" initialvalue="CHDR_CLK_VALUE">
+// <enumeratedtype name="CHDR_CLK_ENUM" showhex="true">
+// <value name="CHDR_CLK_VALUE" integer="200000000"/>
+// </enumeratedtype>
+// </bitfield>
+// </register>
+// <register name="CHDR_CLK_COUNT_REG" offset="0x24" size="32" writable="false">
+// <info>
+// Returns the count value of a free-running counter driven by the RFNoC
+// CHDR bus clock.
+// </info>
+// </register>
+// <register name="QSFP_PORT_0_0_INFO_REG" offset="0x60" size="32" writable="false">
+// <info>
+// Returns information from the QSFP0 Lane0.
+// </info>
+// </register>
+// <register name="QSFP_PORT_0_1_INFO_REG" offset="0x64" size="32" writable="false">
+// <info>
+// Returns information from the QSFP0 Lane1.
+// </info>
+// </register>
+// <register name="QSFP_PORT_0_2_INFO_REG" offset="0x68" size="32" writable="false">
+// <info>
+// Returns information from the QSFP0 Lane2.
+// </info>
+// </register>
+// <register name="QSFP_PORT_0_3_INFO_REG" offset="0x6C" size="32" writable="false">
+// <info>
+// Returns information from the QSFP0 Lane3.
+// </info>
+// </register>
+// <register name="QSFP_PORT_1_0_INFO_REG" offset="0x70" size="32" writable="false">
+// <info>
+// Returns information from the QSFP1 Lane0.
+// </info>
+// </register>
+// <register name="QSFP_PORT_1_1_INFO_REG" offset="0x74" size="32" writable="false">
+// <info>
+// Returns information from the QSFP1 Lane1.
+// </info>
+// </register>
+// <register name="QSFP_PORT_1_2_INFO_REG" offset="0x78" size="32" writable="false">
+// <info>
+// Returns information from the QSFP1 Lane2.
+// </info>
+// </register>
+// <register name="QSFP_PORT_1_3_INFO_REG" offset="0x7C" size="32" writable="false">
+// <info>
+// Returns information from the QSFP1 Lane3.
+// </info>
+// </register>
+// <register name="GPS_CTRL_REG" offset="0x38" size="32">
+// <info>
+// RESERVED. This register is not implemented on X4xx. GPS is connected
+// to the PS via a UART.
+// </info>
+// </register>
+// <register name="GPS_STATUS_REG" offset="0x3C" size="32" writable="false">
+// <info>
+// RESERVED. This register is not implemented on X4xx. GPS is connected
+// to the PS via a UART.
+// </info>
+// </register>
+// <register name="DBOARD_CTRL_REG" offset="0x40" size="32">
+// <info>
+// RESERVED. This register is not implemented on X4xx.
+// </info>
+// </register>
+// <register name="DBOARD_STATUS_REG" offset="0x44" size="32" writable="false">
+// <info>
+// RESERVED. This register is not implemented on X4xx.
+// </info>
+// </register>
+// <register name="NUM_TIMEKEEPERS_REG" offset="0x48" size="32" writable="false">
+// <info>Register that specifies the number of timekeepers in the core.</info>
+// </register>
+// <register name="SERIAL_NUM_LOW_REG" offset="0x4C" size="32">
+// <info>Least significant bytes of 8 byte serial number</info>
+// </register>
+// <register name="SERIAL_NUM_HIGH_REG" offset="0x50" size="32">
+// <info>Most significant bytes of 8 byte serial number</info>
+// </register>
+// <register name="MFG_TEST_CTRL_REG" offset="0x54" size="32">
+// <info>Control register for mfg_test functions.</info>
+// <bitfield name="MFG_TEST_EN_GTY_RCV_CLK" range="0">
+// <info>
+// When enabled, routes data_clk to GTY_RCV_CLK output port.
+// When disabled, the GTY_RCV_CLK output is driven to 0.
+// </info>
+// </bitfield>
+// <bitfield name="MFG_TEST_EN_FABRIC_CLK" range="1">
+// <info>
+// When enabled, routes data_clk to FPGA_REF_CLK output port.
+// When disabled, the FPGA_REF_CLK output is driven to 0.
+// </info>
+// </bitfield>
+// </register>
+// <register name="MFG_TEST_STATUS_REG" offset="0x58" size="32">
+// <info>Status register for mfg_test functions.</info>
+// <bitfield name="MFG_TEST_FPGA_AUX_REF_FREQ" range="25..0">
+// <info>
+// Report the time between rising edges on the FPGA_REF_CLK
+// input port in 40 MHz Clock ticks. If the count extends
+// to 1.2 seconds without an edge, the value reported is set
+// to zero.
+// </info>
+// </bitfield>
+// </register>
+// </group>
+//</regmap>
+//XmlParse xml_off
diff --git a/fpga/usrp3/top/x400/x4xx_mgt_io_core.sv b/fpga/usrp3/top/x400/x4xx_mgt_io_core.sv
new file mode 100644
index 000000000..9776f7a6a
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_mgt_io_core.sv
@@ -0,0 +1,423 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_mgt_io_core
+//
+// Description:
+//
+// Encapsulates the PCS/PMA, the MAC layer and the control interface
+// for 10GbE, and 100Gbe.
+//
+// Parameters:
+//
+// PROTOCOL : Indicates the protocol to use for each of the 4 QSFP lanes.
+// See x4xx_mgt_types.vh for possible values.
+// REG_BASE : Base address for internal registers
+// REG_DWIDTH : Register data width
+// REG_AWIDTH : Register address width
+// PORTNUM : Port number, to distinguish between multiple QSFP ports
+// LANENUM : Lane number
+//
+
+`include "./x4xx_mgt_types.vh"
+
+
+module x4xx_mgt_io_core #(
+ parameter PROTOCOL = `MGT_100GbE,
+ parameter [13:0] REG_BASE = 14'h0,
+ parameter REG_DWIDTH = 32,
+ parameter REG_AWIDTH = 14,
+ parameter [ 7:0] PORTNUM = 8'd0,
+ parameter LANENUM = 0
+) (
+ // Resets
+ input logic areset,
+ input logic bus_rst,
+
+ output logic mgt_rst,
+
+ // Clocks
+ input logic clk100,
+ input logic bus_clk,
+ input logic refclk_p,
+ input logic refclk_n,
+
+ output logic mgt_clk,
+
+ // QSFP high-speed IO
+ output logic [3:0] tx_p,
+ output logic [3:0] tx_n,
+ input logic [3:0] rx_p,
+ input logic [3:0] rx_n,
+
+ // Common signals for single lane 10 GbE
+ output logic [0:0] qpll0_reset,
+ input logic [0:0] qpll0_lock,
+ input logic [0:0] qpll0_clk,
+ input logic [0:0] qpll0_refclk,
+ output logic [0:0] qpll1_reset,
+ input logic [0:0] qpll1_lock,
+ input logic [0:0] qpll1_clk,
+ input logic [0:0] qpll1_refclk,
+
+ // AXI-Lite
+ AxiLiteIf.slave m_axi_mac,
+
+ // Data port
+ // Interface clocks on mgt_tx and mgt_rx are NOT used (logic uses mgt_clk).
+ AxiStreamIf.slave mgt_tx,
+ AxiStreamIf.master mgt_rx,
+ input logic mgt_pause_req,
+
+ // Register port
+ input logic reg_wr_req,
+ input logic [REG_AWIDTH-1:0] reg_wr_addr,
+ input logic [REG_DWIDTH-1:0] reg_wr_data,
+ input logic reg_rd_req,
+ input logic [REG_AWIDTH-1:0] reg_rd_addr,
+ output logic reg_rd_resp,
+ output logic [REG_DWIDTH-1:0] reg_rd_data,
+
+ // Misc.
+ output logic rx_rec_clk_out,
+ output logic [31:0] port_info,
+ output logic link_up,
+ output logic activity
+);
+
+ import PkgAxiLite::*;
+
+
+ //---------------------------------------------------------------------------
+ // Registers
+ //---------------------------------------------------------------------------
+
+ localparam [7:0] COMPAT_NUM = 8'd2;
+
+ // Common registers
+ localparam REG_PORT_INFO = REG_BASE + 'h0;
+ localparam REG_MAC_CTRL_STATUS = REG_BASE + 'h4;
+ localparam REG_PHY_CTRL_STATUS = REG_BASE + 'h8;
+ localparam REG_MAC_LED_CTL = REG_BASE + 'hC;
+
+ // Ethernet specific
+ localparam REG_ETH_MDIO_BASE = REG_BASE + 'h10;
+
+ // Aurora specific
+ localparam REG_AURORA_OVERRUNS = REG_BASE + 'h20;
+ localparam REG_CHECKSUM_ERRORS = REG_BASE + 'h24;
+ localparam REG_BIST_CHECKER_SAMPS = REG_BASE + 'h28;
+ localparam REG_BIST_CHECKER_ERRORS = REG_BASE + 'h2C;
+
+ localparam [ 1:0] MAC_LED_CTRL_RST_VAL = 2'h0;
+ localparam [ 7:0] MGT_PROTOCOL = PROTOCOL;
+ localparam [31:0] MAC_CTRL_RST_VAL =
+ PROTOCOL == `MGT_100GbE ? {31'h0, 1'b1} : // Auto-connect enabled by default
+ PROTOCOL == `MGT_WhiteRabbit ? 32'h0 :
+ PROTOCOL == `MGT_Aurora ? 32'h0 :
+ PROTOCOL == `MGT_10GbE ? {31'h0, 1'b1} : // Tx enabled by default
+ PROTOCOL == `MGT_1GbE ? {31'h0, 1'b1} : // Tx enabled by default
+ 32'h0;
+ localparam [31:0] PHY_CTRL_RST_VAL =
+ PROTOCOL == `MGT_100GbE ? 32'h0 : // Unused
+ PROTOCOL == `MGT_WhiteRabbit ? 32'h0 : // Unused
+ PROTOCOL == `MGT_Aurora ? 32'h0 :
+ PROTOCOL == `MGT_10GbE ? 32'h0 : // Unused
+ PROTOCOL == `MGT_1GbE ? 32'h0 :
+ 32'h0;
+ // Writable registers
+ logic [31:0] mac_ctrl = MAC_CTRL_RST_VAL;
+ logic [31:0] phy_ctrl = PHY_CTRL_RST_VAL;
+ logic [ 1:0] mac_led_ctl = MAC_LED_CTRL_RST_VAL;
+
+ always @(posedge bus_clk) begin
+ if (bus_rst) begin
+ mac_ctrl <= MAC_CTRL_RST_VAL;
+ phy_ctrl <= PHY_CTRL_RST_VAL;
+ mac_led_ctl <= MAC_LED_CTRL_RST_VAL;
+ end else if (reg_wr_req) begin
+ case(reg_wr_addr)
+ REG_MAC_CTRL_STATUS:
+ mac_ctrl <= reg_wr_data;
+ REG_PHY_CTRL_STATUS:
+ phy_ctrl <= reg_wr_data;
+ REG_MAC_LED_CTL:
+ mac_led_ctl <= reg_wr_data[1:0];
+ endcase
+ end
+ end
+
+ // Readable registers
+ logic [31:0] overruns;
+ logic [31:0] checksum_errors;
+ logic [47:0] bist_checker_samps;
+ logic [47:0] bist_checker_errors;
+ logic [31:0] mac_status, phy_status;
+ logic [31:0] mac_status_bclk, phy_status_bclk;
+ logic activity_bclk, link_up_bclk;
+
+ assign port_info = {COMPAT_NUM, 6'h0, activity_bclk, link_up_bclk, MGT_PROTOCOL, PORTNUM};
+
+ always @(posedge bus_clk) begin
+ // No reset handling needed for readback
+ if (reg_rd_req) begin
+ reg_rd_resp <= 1'b1;
+ case(reg_rd_addr)
+ REG_PORT_INFO:
+ reg_rd_data <= port_info;
+ REG_MAC_CTRL_STATUS:
+ reg_rd_data <= mac_status_bclk;
+ REG_PHY_CTRL_STATUS:
+ reg_rd_data <= phy_status_bclk;
+ REG_MAC_LED_CTL:
+ reg_rd_data <= {30'd0, mac_led_ctl};
+ REG_AURORA_OVERRUNS:
+ reg_rd_data <= overruns;
+ REG_CHECKSUM_ERRORS:
+ reg_rd_data <= checksum_errors;
+ REG_BIST_CHECKER_SAMPS:
+ reg_rd_data <= bist_checker_samps[47:16]; // Scale num samples by 2^16
+ REG_BIST_CHECKER_ERRORS:
+ reg_rd_data <= bist_checker_errors[31:0]; // Don't scale errors
+ default:
+ begin
+ reg_rd_data <= 32'd0;
+ reg_rd_resp <= 1'b0;
+ end
+ endcase
+ end if (reg_rd_resp) begin
+ reg_rd_resp <= 1'b0;
+ end
+ end
+
+ synchronizer #(
+ .STAGES(2), .WIDTH(32), .INITIAL_VAL(32'h0)
+ ) synchronizer_mac_status (
+ .clk(bus_clk), .rst(1'b0), .in(mac_status), .out(mac_status_bclk)
+ );
+
+ synchronizer #(
+ .STAGES(2), .WIDTH(32), .INITIAL_VAL(32'h0)
+ ) synchronizer_phy_status (
+ .clk(bus_clk), .rst(1'b0), .in(phy_status), .out(phy_status_bclk)
+ );
+
+ logic link_up_mgtclk;
+ logic wr_activity = 0;
+
+ if (PROTOCOL == `MGT_10GbE) begin : core_10g
+
+ //-------------------------------------------------------------------------
+ // 10 GbE Interface
+ //-------------------------------------------------------------------------
+
+ eth_10g eth_10g_i (
+ .areset (areset),
+ // Free-running 100 MHz clock used for InitClk and AxiLite to MAC
+ .clk100 (clk100),
+ // Quad Info
+ .qpll0_refclk (qpll0_refclk),
+ .qpll0_clk (qpll0_clk),
+ .qpll0_lock (qpll0_lock),
+ .qpll0_reset (qpll0_reset),
+ .qpll1_refclk (qpll1_refclk),
+ .qpll1_clk (qpll1_clk),
+ .qpll1_lock (qpll1_lock),
+ .qpll1_reset (qpll1_reset),
+ // Recovered clock for export
+ .rx_rec_clk_out (rx_rec_clk_out),
+ // MGT TX/RX differential signals
+ .tx_p (tx_p[LANENUM]),
+ .tx_n (tx_n[LANENUM]),
+ .rx_p (rx_p[LANENUM]),
+ .rx_n (rx_n[LANENUM]),
+ // 156.25 MHz clock
+ .mgt_clk (mgt_clk),
+ .mgt_rst (mgt_rst),
+ // AXI Stream TX Interface
+ .mgt_tx (mgt_tx),
+ // AXI Stream RX Interface
+ // There is no RX TREADY signal support in the IP. Received data has to
+ // be read immediately or it is lost. TUSER indicates an error on
+ // received packet.
+ .mgt_rx (mgt_rx),
+ // AXI-Lite bus for tie off
+ .mgt_axil (m_axi_mac),
+ // LEDs of QSFP28 port
+ .phy_status (phy_status),
+ .mac_ctrl (mac_ctrl),
+ .mac_status (mac_status),
+ .phy_reset (),
+ .link_up (link_up_mgtclk)
+ );
+
+ always_comb begin : eth_10g_tieoff
+ overruns = 0;
+ checksum_errors = 0;
+ bist_checker_samps = 0;
+ bist_checker_errors = 0;
+ end : eth_10g_tieoff
+
+ end else if (PROTOCOL == `MGT_100GbE) begin : core_100g
+
+ //-------------------------------------------------------------------------
+ // 100 GbE Interface
+ //-------------------------------------------------------------------------
+
+ eth_100g eth_100g_i (
+ .areset (areset),
+ // Free-running 100 MHz clock used for InitClk and AxiLite to MAC
+ .clk100 (clk100),
+ // MGT Reference Clock 100/125/156.25/161.1328125 MHz
+ .refclk_p (refclk_p),
+ .refclk_n (refclk_n),
+ // Recovered clock for export
+ .rx_rec_clk_out (rx_rec_clk_out),
+ // MGT TX/RX differential signals
+ .tx_p (tx_p),
+ .tx_n (tx_n),
+ .rx_p (rx_p),
+ .rx_n (rx_n),
+ // 322.26666 MHz clock generated by 100G PHY from RefClock
+ .mgt_clk (mgt_clk),
+ .mgt_rst (mgt_rst),
+ .mgt_pause_req (mgt_pause_req),
+ // AXI Stream TX Interface
+ .mgt_tx (mgt_tx),
+ // AXI Stream RX Interface
+ // There is no RX TREADY signal support in the IP. Received data has to
+ // be read immediately or it is lost. TUSER indicates an error on
+ // received packet.
+ .mgt_rx (mgt_rx),
+ .mgt_axil (m_axi_mac),
+ // LEDs of QSFP28 port
+ .phy_status (phy_status),
+ .mac_status (mac_status),
+ .mac_ctrl (mac_ctrl),
+ .phy_reset (),
+ .link_up (link_up_mgtclk)
+ );
+
+ always_comb begin : eth_100g_tieoff
+ overruns = 0;
+ checksum_errors = 0;
+ bist_checker_samps = 0;
+ bist_checker_errors = 0;
+
+ qpll0_reset = 0;
+ qpll1_reset = 0;
+ end : eth_100g_tieoff
+
+ end else if (PROTOCOL == `MGT_Aurora) begin : core_aurora
+
+ Aurora_not_yet_supported();
+
+ always_comb begin : aurrora_tieoff
+ m_axi_mac.drive_read_resp(.resp(SLVERR),.data(0));
+ m_axi_mac.drive_write_resp(.resp(SLVERR));
+ m_axi_mac.arready = 1'b1;
+ m_axi_mac.awready = 1'b1;
+ m_axi_mac.wready = 1'b1;
+
+ phy_status = 'h0;
+ mac_status = 'h0;
+ link_up_mgtclk = 1'b0;
+
+ overruns = 0;
+ checksum_errors = 0;
+ bist_checker_samps = 0;
+ bist_checker_errors = 0;
+ rx_rec_clk_out = 0;
+
+ qpll0_reset = 0;
+ qpll1_reset = 0;
+ end : aurrora_tieoff
+
+ end else begin : core_disabled
+
+ //-------------------------------------------------------------------------
+ // Port Disabled
+ //-------------------------------------------------------------------------
+
+ assign mgt_clk = bus_clk;
+ assign mgt_rst = bus_rst;
+
+ always_comb begin : disabled_tieoff
+ m_axi_mac.drive_read_resp(.resp(SLVERR),.data(0));
+ m_axi_mac.drive_write_resp(.resp(SLVERR));
+ m_axi_mac.arready = 1'b1;
+ m_axi_mac.awready = 1'b1;
+ m_axi_mac.wready = 1'b1;
+
+ phy_status = 'h0;
+ mac_status = 'h0;
+ link_up_mgtclk = 1'b0;
+
+ mgt_tx.tready = 1'b1;
+ mgt_rx.tdata = 64'h0;
+ mgt_rx.tuser = 4'h0;
+ mgt_rx.tlast = 1'b0;
+ mgt_rx.tvalid = 1'b0;
+ mgt_rx.tkeep = 'b0;
+
+ overruns = 0;
+ checksum_errors = 0;
+ bist_checker_samps = 0;
+ bist_checker_errors = 0;
+ rx_rec_clk_out = 0;
+
+ tx_p = 0;
+ tx_n = 0;
+
+ qpll0_reset = 0;
+ qpll1_reset = 0;
+ end : disabled_tieoff
+
+ end
+
+
+ //---------------------------------------------------------------------------
+ // Activity Detector
+ //---------------------------------------------------------------------------
+
+ logic identify_enable,identify_value;
+ logic activity_mgtclk, activity_int;
+ always_comb begin
+ identify_enable = mac_led_ctl[0];
+ identify_value = mac_led_ctl[1];
+ end
+
+ pulse_stretch pulse_stretch_activity_i (
+ .clk (mgt_clk),
+ .rst (mgt_rst | ~link_up_mgtclk),
+ .pulse ((mgt_tx.tvalid & mgt_tx.tready) | (mgt_rx.tvalid & mgt_rx.tready)),
+ .pulse_stretched (activity_mgtclk)
+ );
+
+ synchronizer #(
+ .WIDTH (2),
+ .STAGES (1)
+ ) synchronizer_lnk_act_bclk (
+ .clk (bus_clk),
+ .rst (bus_rst),
+ .in ({link_up_mgtclk, activity_mgtclk}),
+ .out ({link_up_bclk, activity_int})
+ );
+
+ always @ (posedge bus_clk) begin
+ activity_bclk <= identify_enable ? identify_value : activity_int;
+ end
+
+ synchronizer #(
+ .WIDTH (2),
+ .STAGES (1)
+ ) synchronizer_lnk_act_aclk (
+ .clk (m_axi_mac.clk),
+ .rst (m_axi_mac.rst),
+ .in ({link_up_bclk, activity_bclk}),
+ .out ({link_up, activity})
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/x4xx_mgt_types.vh b/fpga/usrp3/top/x400/x4xx_mgt_types.vh
new file mode 100644
index 000000000..8508cbfe9
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_mgt_types.vh
@@ -0,0 +1,15 @@
+//
+// Copyright 2021 Ettus Research, a National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_mgt_type.vh
+// Description: Enumerations for types of MGT to be used with the X4XX
+//
+
+`define MGT_100GbE 5
+`define MGT_WhiteRabbit 4
+`define MGT_Aurora 3
+`define MGT_10GbE 2
+`define MGT_1GbE 1
+`define MGT_Disabled 0
diff --git a/fpga/usrp3/top/x400/x4xx_pps_sync.v b/fpga/usrp3/top/x400/x4xx_pps_sync.v
new file mode 100644
index 000000000..fc44ce47c
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_pps_sync.v
@@ -0,0 +1,426 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_pps_sync
+//
+// Description:
+//
+// This module encapsulates the PPS handling and the related LMK SYNC signal.
+//
+// Parameters:
+//
+// SIMULATION : When true, lowers 10 MHz PPS base reference clock to 10 kHz
+// to shorten simulation run time.
+//
+
+`default_nettype none
+
+
+module x4xx_pps_sync #(
+ parameter SIMULATION = 0
+) (
+ // clock and reset
+ input wire base_ref_clk, // BRC
+ input wire pll_ref_clk, // PRC
+ input wire ctrl_clk, // CC
+ input wire radio_clk, // RC
+
+ input wire brc_rst,
+
+ // PPS
+ input wire pps_in, // BRC domain
+ output wire pps_out_brc,
+ output reg pps_out_rc = 1'b0,
+
+ // LMK control signal
+ output reg sync = 1'b0,
+
+ // Control signals (CC domain)
+ input wire [1:0] pps_select,
+ input wire pll_sync_trigger,
+ input wire [7:0] pll_sync_delay,
+ output wire pll_sync_done,
+ input wire [7:0] pps_brc_delay,
+ input wire [25:0] pps_prc_delay,
+ input wire [1:0] prc_rc_divider,
+ input wire pps_rc_enabled,
+
+ //signal for debugging
+ output wire [1:0] debug
+);
+
+ `include "regmap/global_regs_regmap_utils.vh"
+
+ //---------------------------------------------------------------------------
+ // PPS Generation and Capturing (BRC domain)
+ //---------------------------------------------------------------------------
+
+ // Divide 10 MHz to 10 kHz in case test mode is activated
+ localparam FREQUENCY_10M = SIMULATION ? 32'd10_000 : 32'd10_000_000;
+ localparam FREQUENCY_25M = 32'd25_000_000;
+
+ // Generate internal PPS signals, each with a 25% duty cycle, based on
+ // the different Reference Clock rates. Only one will be used at a time.
+ // Available base reference clock rates are: 10 MHz, 25 MHz
+ wire pps_int_10mhz_brc;
+ pps_generator #(
+ .CLK_FREQ (FREQUENCY_10M),
+ .DUTY_CYCLE (25),
+ .PIPELINE ("OUT")
+ ) pps_generator_10mhz (
+ .clk (base_ref_clk),
+ .reset (1'b0),
+ .pps (pps_int_10mhz_brc)
+ );
+ wire pps_int_25mhz_brc;
+ pps_generator #(
+ .CLK_FREQ (FREQUENCY_25M),
+ .DUTY_CYCLE (25),
+ .PIPELINE ("OUT")
+ ) pps_generator_25mhz (
+ .clk (base_ref_clk),
+ .reset (1'b0),
+ .pps (pps_int_25mhz_brc)
+ );
+
+ // Capture the external PPSs with a FF before sending them to the mux. To be
+ // safe, we double-synchronize the external signals. If we meet timing (which
+ // we should) then this is a two-cycle delay. If we don't meet timing, then
+ // it's 1-2 cycles and our system timing is thrown off--but at least our
+ // downstream logic doesn't go metastable!
+ wire pps_ext_brc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (0)
+ ) synchronizer_pps_ext (
+ .clk (base_ref_clk),
+ .rst (1'b0),
+ .in (pps_in),
+ .out (pps_ext_brc)
+ );
+
+ // Synchronize the select bits over to the reference clock as well. Note that this is
+ // a vector, so we could have some invalid values creep through when changing.
+ // See the note below as to why this is safe.
+ wire [1:0] pps_select_brc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1),
+ .WIDTH (2)
+ ) synchronizer_pps_select (
+ .clk (base_ref_clk),
+ .rst (1'b0),
+ .in (pps_select),
+ .out (pps_select_brc)
+ );
+
+ // PPS MUX - selects internal or external PPS.
+ reg pps_brc = 1'b0;
+ always @(posedge base_ref_clk) begin
+ // It is possible when the vector is being double-synchronized to the
+ // reference clock domain that there could be multiple bits asserted
+ // simultaneously. This is not problematic because the order of operations
+ // in the following selection mux should take over and only one PPS should
+ // win. This could result in glitches, but that is expected during ANY PPS
+ // switchover since the switch is performed asynchronously to the PPS
+ // signal.
+ case (pps_select_brc)
+ PPS_INT_10MHZ: begin
+ pps_brc <= pps_int_10mhz_brc;
+ end
+ PPS_INT_25MHZ: begin
+ pps_brc <= pps_int_25mhz_brc;
+ end
+ default: begin
+ pps_brc <= pps_ext_brc;
+ end
+ endcase
+ end
+
+ // forward BRC based PPS to output
+ assign pps_out_brc = pps_brc;
+
+
+ //---------------------------------------------------------------------------
+ // LMK sync generation (BRC domain)
+ //---------------------------------------------------------------------------
+
+ // Detect rising edge of PPS
+ reg pps_brc_delayed;
+ wire pps_rising_edge_brc;
+ always @(posedge base_ref_clk) begin
+ pps_brc_delayed <= pps_brc;
+ end
+ assign pps_rising_edge_brc = pps_brc & ~pps_brc_delayed;
+
+ // Transfer control signals to internal clock domain
+ wire pll_sync_trigger_brc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_sync_trigger (
+ .clk (base_ref_clk),
+ .rst (1'b0),
+ .in (pll_sync_trigger),
+ .out (pll_sync_trigger_brc)
+ );
+
+ // There is no data coherency guaranteed by this synchronizer, but this is
+ // not required. The information is derived in the same clock domain as the
+ // sync trigger. Both information in the worst case arrive in the same clock
+ // cycle. In the state machine the trigger is changing the state to ARMED.
+ // The delay value is required in the ARMED state. This way there is one more
+ // clock cycle for this synchronizer to propagate the correct value of all
+ // bits.
+ wire [7:0] pll_sync_delay_brc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1),
+ .WIDTH (8)
+ ) synchronizer_sync_delay (
+ .clk (base_ref_clk),
+ .rst (1'b0),
+ .in (pll_sync_delay),
+ .out (pll_sync_delay_brc)
+ );
+
+ // Synchronization state machine
+ localparam IDLE = 2'd0;
+ localparam ARMED = 2'd1;
+ localparam COUNT = 2'd2;
+ localparam DONE = 2'd3;
+
+ reg [7:0] delay_counter_brc = 8'd0;
+ reg [1:0] state = IDLE;
+ reg pll_sync_done_brc = 1'b0;
+ reg sync_int = 1'b0;
+
+ always @(posedge base_ref_clk) begin
+ if (brc_rst) begin
+ sync_int <= 1'b0;
+ pll_sync_done_brc <= 1'b0;
+ state <= IDLE;
+ end
+ else begin
+ case (state)
+ IDLE: begin
+ // Wait for trigger from control interface
+ if (pll_sync_trigger_brc) begin
+ state <= ARMED;
+ end
+ end
+
+ ARMED: begin
+ // Wait for the rising edge of PPS and reset counter
+ delay_counter_brc <= pll_sync_delay_brc;
+ if (pps_rising_edge_brc) begin
+ state <= COUNT;
+ end
+ end
+
+ // Delay assertion of sync signal by the given number of cycles
+ COUNT: begin
+ delay_counter_brc <= delay_counter_brc - 1;
+ if (delay_counter_brc == 0) begin
+ state <= DONE;
+ sync_int <= 1'b1;
+ end
+ end
+
+ // Issue done signal until the trigger is released
+ DONE: begin
+ sync_int <= 1'b0;
+ pll_sync_done_brc <= 1'b1;
+ if (pll_sync_trigger_brc == 0) begin
+ state <= IDLE;
+ pll_sync_done_brc <= 1'b0;
+ end
+ end
+
+ // In case we run into an undefined state
+ default: begin
+ state <= IDLE;
+ end
+ endcase
+ end
+ end
+
+ // Transfer done signal back to ctrl_clk domain
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_pll_sync_done (
+ .clk (ctrl_clk),
+ .rst (1'b0),
+ .in (pll_sync_done_brc),
+ .out (pll_sync_done)
+ );
+
+ // Sync signal is captured at falling edge of clock to ensure hold time
+ always @(negedge base_ref_clk) begin
+ sync <= sync_int;
+ end
+
+ //---------------------------------------------------------------------------
+ // PPS clock domain crossings
+ //---------------------------------------------------------------------------
+ // In the section below the PPS crosses multiple clock domains.
+ // From the generation in BRC clock domain we transfer the signal over to
+ // PRC using the aligned edge of the external LMK IC.
+ // Afterwards we use the integer clock multiplier between PRC and RC to
+ // get the PPS trigger to the radio clock domain.
+
+ // BRC --\____/----\____/----\____/----\____/----\____/----\____/
+ // PRC ___/---\___/---\___/---\___/---\___/---\___/---\___/---\__
+ // RC -\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\_/-\
+ // | aligned edge
+ // PPS (BRC) __/--------------------------------------------------------
+ // PPS (BRC delayed) ___________________/-------------------------------
+ // Has to shift PPS to start on aligned edge.
+ //
+ // PPS (PRC) __________________________________________/----------------
+ // |------------->| 2 PRC cycles
+ // 2 stage synchronizer = 2 PRC cycle delay on aligned edge
+ //
+ // PPS (PRC delayed) __________/----------------------------------------
+ // |------------------
+ // ------------------------->| up to PRC frequency cycles
+ // Shifts PPS pulse by up to 1 second (PPS period) to be present in the
+ // clock cycle before the aligned edge.
+ //
+ // PPS (RC) ___________________________/-\_____________________________
+ // |------->| RC clock multiplier based cycles
+ // Number of sync registers depends on clock multiplier between PRC and
+ // RC to align PPS signal with aligned edge. Additional logic to restore
+ // a one cycle long pulse from PPS signal with 25% duty cycle.
+
+ //---------------------------------------------------------------------------
+ // PPS delay (BRC domain)
+ //---------------------------------------------------------------------------
+ // This shift register delays the PPS trigger until the appearance of
+ // the aligned edge of BRC and PRC.
+ // This delay has to incorporate the delay of the state machine above from
+ // pps to sync output, the delay of the LMK chip from sync edge to aligned
+ // edge and delay setting applied to the sync signal. Be sure to reduce the
+ // number by 1 at the end to account for the final register.
+
+ wire [7:0] pps_brc_delay_brc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1),
+ .WIDTH (8)
+ ) synchronizer_pps_brc_delay (
+ .clk (base_ref_clk),
+ .rst (1'b0),
+ .in (pps_brc_delay),
+ .out (pps_brc_delay_brc)
+ );
+
+ reg [255:0] pps_shift_reg_brc = 256'b0;
+ reg pps_delayed_brc = 1'b0;
+ always @(posedge base_ref_clk) begin
+ pps_shift_reg_brc <= {pps_shift_reg_brc[254:0], pps_brc};
+ pps_delayed_brc <= pps_shift_reg_brc[pps_brc_delay_brc];
+ end
+
+ //---------------------------------------------------------------------------
+ // PPS clock domain crossing
+ //---------------------------------------------------------------------------
+ // On the aligned edge of BRC and PRC this synchronizer is just a two stage
+ // delay into the PRC domain as the edges occur at the same time the tools
+ // should make sure we close timing on this edge
+
+ wire pps_prc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (0)
+ ) synchronizer_pps_prc (
+ .clk (pll_ref_clk),
+ .rst (1'b0),
+ .in (pps_delayed_brc),
+ .out (pps_prc)
+ );
+
+ //---------------------------------------------------------------------------
+ // PPS delay (PRC)
+ //---------------------------------------------------------------------------
+ // Delay the PPS signal in PRC domain by a specified amount to align with
+ // other devices (max delay = 1 sec = next occurrence of pps rising edge).
+ // Make sure that the initial count value accounts for the two stage
+ // synchronizer from BRC to PRC, the final register upon counter reaches
+ // its final value and it has to be one cycle earlier than the aligned edge
+ // to get transferred to radio clock afterwards.
+
+ wire [25:0] pps_prc_delay_prc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1),
+ .WIDTH (26)
+ ) synchronizer_pps_prc_delay (
+ .clk (pll_ref_clk),
+ .rst (1'b0),
+ .in (pps_prc_delay),
+ .out (pps_prc_delay_prc)
+ );
+
+ reg [25:0] delay_counter_prc = 26'b0;
+ reg pps_delayed_prc = 1'b0;
+ reg pps_prc_delayed = 1'b0;
+ always @(posedge pll_ref_clk) begin
+ // Disable delayed rising edge by default
+ pps_delayed_prc <= 1'b0;
+ pps_prc_delayed <= pps_prc;
+
+ // Reset counter on rising edge
+ if (pps_prc & ~pps_prc_delayed) begin
+ delay_counter_prc <= pps_prc_delay_prc;
+ end
+ else begin
+ if (delay_counter_prc != 0) begin
+ delay_counter_prc <= delay_counter_prc - 1;
+ end
+ if (delay_counter_prc == 1) begin
+ pps_delayed_prc <= 1'b1;
+ end
+ end
+ end
+
+ //---------------------------------------------------------------------------
+ // PPS PRC to radio clock
+ //---------------------------------------------------------------------------
+ // Tiny shift register to account for the clock multiplier between prc and
+ // rc. The divider has to account for the output register and the shift
+ // register.
+
+ wire [1:0] prc_rc_divider_rc;
+ wire pps_rc_enabled_rc;
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1),
+ .WIDTH (2)
+ ) synchronizer_prc_rc_divider (
+ .clk (radio_clk),
+ .rst (1'b0),
+ .in (prc_rc_divider),
+ .out (prc_rc_divider_rc)
+ );
+ synchronizer #(
+ .FALSE_PATH_TO_IN (1)
+ ) synchronizer_pps_rc_enabled (
+ .clk (radio_clk),
+ .rst (1'b0),
+ .in (pps_rc_enabled),
+ .out (pps_rc_enabled_rc)
+ );
+
+ reg [3:0] pps_shift_reg_rc = 4'b0;
+ always @(posedge radio_clk) begin
+ pps_shift_reg_rc <= {pps_shift_reg_rc[2:0], pps_delayed_prc};
+ // Restoring a one clock cycle pulse by feeding back to output value.
+ pps_out_rc <= pps_shift_reg_rc[prc_rc_divider_rc] & ~pps_out_rc & pps_rc_enabled_rc;
+ end
+
+ //---------------------------------------------------------------------------
+ // Debug assignment
+ //---------------------------------------------------------------------------
+
+ assign debug[0] = pps_delayed_brc;
+ assign debug[1] = pps_delayed_prc;
+
+endmodule
+
+
+`default_nettype wire
diff --git a/fpga/usrp3/top/x400/x4xx_qsfp_wrapper.sv b/fpga/usrp3/top/x400/x4xx_qsfp_wrapper.sv
new file mode 100644
index 000000000..d83397d81
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_qsfp_wrapper.sv
@@ -0,0 +1,566 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_qsfp_wrapper
+//
+// Description:
+//
+// Consolidates the logic necessary for a QSFP port, depending on the
+// requested protocol.
+//
+// Parameters:
+//
+// PROTOCOL : Indicates the protocol to use for each of the 4 QSFP
+// lanes. See x4xx_mgt_types.vh for possible values.
+// CPU_W : Width of CPU interface
+// CHDR_W : CHDR bus width
+// BYTE_MTU : Transport MTU in bytes
+// PORTNUM : Port number to distinguish multiple QSFP ports
+// RFNOC_PROTOVER : RFNoC protocol version for IPv4 interface
+//
+
+`include "./x4xx_mgt_types.vh"
+
+
+module x4xx_qsfp_wrapper #(
+ // Must be a value defined in x4xx_mgt_types.vh
+ parameter integer PROTOCOL [3:0] = {`MGT_Disabled,
+ `MGT_Disabled,
+ `MGT_Disabled,
+ `MGT_Disabled},
+ parameter CPU_W = 64,
+ parameter CHDR_W = 64,
+ parameter BYTE_MTU = $clog2(8*1024),
+ parameter [ 7:0] PORTNUM = 8'd0,
+ parameter [15:0] RFNOC_PROTOVER = {8'd1, 8'd0}
+)(
+ // Resets
+ input logic areset,
+ input logic bus_rst,
+ input logic clk40_rst,
+
+ // Clocks
+ input logic refclk_p,
+ input logic refclk_n,
+ input logic clk100,
+ input logic bus_clk,
+
+ // AXI-Lite register access
+ AxiLiteIf.slave s_axi,
+
+ // Ethernet DMA AXI to PS memory
+ AxiIf.master axi_hp,
+
+ // MGT high-speed IO
+ output logic [3:0] tx_p,
+ output logic [3:0] tx_n,
+ input logic [3:0] rx_p,
+ input logic [3:0] rx_n,
+
+ // CHDR router interface
+ AxiStreamIf.master e2v [4],
+ AxiStreamIf.slave v2e [4],
+
+ // ETH DMA IRQs
+ output logic [3:0] eth_rx_irq,
+ output logic [3:0] eth_tx_irq,
+
+ // Misc.
+ output logic rx_rec_clk_out,
+ input logic [15:0] device_id,
+
+ output logic [3:0][31:0] port_info,
+
+ output logic [3:0] link_up,
+ output logic [3:0] activity
+);
+
+ import PkgAxiLite::*;
+
+ localparam REG_BASE_SFP_IO = 14'h0;
+ localparam REG_BASE_ETH_SWITCH = 14'h1000;
+ localparam CPU_USER_W = $clog2(CPU_W/8)+1;
+ localparam CHDR_USER_W = $clog2(CHDR_W/8);
+ localparam REG_DWIDTH = 32;
+ localparam REG_AWIDTH_MISC = 14;
+ localparam logic [3:0] DISABLED = { PROTOCOL[3] == `MGT_Disabled,
+ PROTOCOL[2] == `MGT_Disabled,
+ PROTOCOL[1] == `MGT_Disabled,
+ PROTOCOL[0] == `MGT_Disabled };
+ localparam logic [3:0] IS10GBE = { PROTOCOL[3] == `MGT_10GbE,
+ PROTOCOL[2] == `MGT_10GbE,
+ PROTOCOL[1] == `MGT_10GbE,
+ PROTOCOL[0] == `MGT_10GbE };
+ localparam logic [3:0] IS100GBE = { 3'b0,PROTOCOL[0] == `MGT_100GbE };
+ localparam logic [3:0] ISAURORA = { 3'b0,PROTOCOL[0] == `MGT_Aurora };
+
+
+ `include "../../lib/axi4_sv/axi.vh"
+ `include "../../lib/axi4lite_sv/axi_lite.vh"
+
+
+ //---------------------------------------------------------------------------
+ // Interfaces
+ //---------------------------------------------------------------------------
+
+ // AXI-Lite interface
+ AxiLiteIf #(REG_DWIDTH,40)
+ m_axi_dma[3:0] (s_axi.clk, s_axi.rst);
+
+ // 0x0000-0x3FFF - Bottom goes to XGE top goes to UIO
+ AxiLiteIf #(REG_DWIDTH,40)
+ m_axi_misc[3:0] (s_axi.clk, s_axi.rst);
+ AxiLiteIf_v #(REG_DWIDTH,REG_AWIDTH_MISC)
+ m_axi_misc_v[3:0] (s_axi.clk, s_axi.rst);
+
+ // 0x4000-0x5FFF - Goes to 100G Mac
+ AxiLiteIf #(REG_DWIDTH,40)
+ m_axi_mac[3:0] (s_axi.clk, s_axi.rst);
+
+ // AXI (Full) for DMA back to CPU memory
+ AxiIf #(128,49)
+ axi_hp_dma[3:0] (s_axi.clk, s_axi.rst);
+
+
+ //---------------------------------------------------------------------------
+ // AXI Interconnect
+ //---------------------------------------------------------------------------
+ //
+ // Break the incoming register request into 12 different spaces:
+ //
+ // 0x0_0000 - dma0
+ // 0x0_8000 - misc0 - +0x0000 NIXGE
+ // +0x2000 UIO
+ // 0x0_C000 - mac0
+ //
+ // 0x1_0000 - dma1
+ // 0x1_8000 - misc1 - +0x0000 NIXGE
+ // +0x2000 UIO
+ // 0x1_C000 - mac1
+ //
+ // 0x2_0000 - dma2
+ // 0x2_8000 - misc2 - +0x0000 NIXGE
+ // +0x2000 UIO
+ // 0x2_C000 - mac2
+ //
+ // 0x3_0000 - dma3
+ // 0x3_8000 - misc3 - +0x0000 NIXGE
+ // +0x2000 UIO
+ // 0x3_C000 - mac3
+ //
+ //---------------------------------------------------------------------------
+
+ axi_interconnect_eth axi_interconnect_eth_i (
+ .s_axi_eth (s_axi),
+ .m_axi_dma (m_axi_dma),
+ .m_axi_misc (m_axi_misc),
+ .m_axi_mac (m_axi_mac)
+ );
+
+
+ //---------------------------------------------------------------------------
+ // Map DMA Engine Masters to CPU Memory Port
+ //---------------------------------------------------------------------------
+
+ // Everything Disabled
+ if (DISABLED == 4'b1111) begin : axi_hp_noconnect
+ always_comb begin
+ axi_hp.drive_read_idle();
+ axi_hp.drive_aw_idle();
+ axi_hp.drive_w_idle();
+ axi_hp.bready = 1'b0;
+ axi_hp.rready = 1'b0;
+ end
+ end : axi_hp_noconnect else
+ // Only port0 Enabled
+ if (DISABLED == 4'b1110) begin : axi_hp_directconnect
+ always_comb begin
+ `AXI4_ASSIGN(axi_hp,axi_hp_dma[0])
+ axi_hp_dma[1].wready = 1'b0;
+ axi_hp_dma[2].wready = 1'b0;
+ axi_hp_dma[3].wready = 1'b0;
+ axi_hp_dma[1].awready = 1'b0;
+ axi_hp_dma[2].awready = 1'b0;
+ axi_hp_dma[3].awready = 1'b0;
+ axi_hp_dma[1].arready = 1'b0;
+ axi_hp_dma[2].arready = 1'b0;
+ axi_hp_dma[3].arready = 1'b0;
+ axi_hp_dma[1].bvalid = 1'b0;
+ axi_hp_dma[2].bvalid = 1'b0;
+ axi_hp_dma[3].bvalid = 1'b0;
+ axi_hp_dma[1].rvalid = 1'b0;
+ axi_hp_dma[2].rvalid = 1'b0;
+ axi_hp_dma[3].rvalid = 1'b0;
+ end
+ // All other cases
+ end : axi_hp_directconnect else begin : axi_hp_interconnect
+ axi_interconnect_dma axi_interconnect_dma_i (
+ .m_axi_hp (axi_hp),
+ .s_axi_hp_dma (axi_hp_dma)
+ );
+ end : axi_hp_interconnect
+
+
+ //---------------------------------------------------------------------------
+ // 10 Gigabit Ethernet
+ //---------------------------------------------------------------------------
+
+ logic refclk; // 156 Mhz Ref 10 GbE
+ logic [0:0] qpll0_reset;
+ logic [3:0] qpll0_reset_i;
+ logic [0:0] qpll0_lock;
+ logic [0:0] qpll0_clk;
+ logic [0:0] qpll0_refclk;
+ logic [0:0] qpll1_reset;
+ logic [3:0] qpll1_reset_i;
+ logic [0:0] qpll1_lock;
+ logic [0:0] qpll1_clk;
+ logic [0:0] qpll1_refclk;
+
+ assign qpll0_reset[0] = qpll0_reset_i[0] || qpll0_reset_i[1] ||
+ qpll0_reset_i[2] || qpll0_reset_i[3];
+ assign qpll1_reset[0] = qpll1_reset_i[0] || qpll1_reset_i[1] ||
+ qpll1_reset_i[2] || qpll1_reset_i[3];
+
+ // The following logic is shared amongst potentially 4X10GBE interfaces
+ if (IS10GBE != 0) begin : xge_common
+
+ // Clocking signals for MGTs
+ IBUFDS_GTE4 ibufds_gte4_refclk (
+ .I (refclk_p),
+ .IB (refclk_n),
+ .CEB (1'b0),
+ .O (refclk),
+ .ODIV2 ()
+ );
+
+ xge_pcs_pma_common_wrapper xge_pcs_pma_common_wrapper_i (
+ .refclk (refclk),
+ .qpll0reset (qpll0_reset),
+ .qpll0lock (qpll0_lock),
+ .qpll0outclk (qpll0_clk),
+ .qpll0outrefclk (qpll0_refclk),
+ .qpll1reset (qpll1_reset),
+ .qpll1lock (qpll1_lock),
+ .qpll1outclk (qpll1_clk),
+ .qpll1outrefclk (qpll1_refclk)
+ );
+
+ end : xge_common
+
+
+ //---------------------------------------------------------------------------
+ // Generate QSFP Lanes
+ //---------------------------------------------------------------------------
+
+ logic [3:0] rx_rec_clk_out_i;
+ assign rx_rec_clk_out = rx_rec_clk_out_i[0];
+
+ generate
+ genvar lane;
+ begin : mgt_lanes
+ // Repeat logic for up to 4 QSFP lanes
+ for(lane = 0; lane < 4; lane++) begin : lane_loop
+
+ //---------------------------------------
+ // AXI-Lite to RegPort Bridge
+ //---------------------------------------
+
+ // Map to 0x4000 space
+ always_comb begin
+ `AXI4LITE_ASSIGN(m_axi_misc_v[lane],m_axi_misc[lane])
+ m_axi_misc_v[lane].araddr = 0;
+ m_axi_misc_v[lane].araddr[13:0] = m_axi_misc[lane].araddr[13:0];
+ m_axi_misc_v[lane].awaddr = 0;
+ m_axi_misc_v[lane].awaddr[13:0] = m_axi_misc[lane].awaddr[13:0];
+ end
+
+ // AXI4-Lite to RegPort (PS to PL Register Access)
+ // NOTE: We always have a register interface even if the block is
+ // unused, so that the driver can query the status.
+ typedef logic [REG_AWIDTH_MISC-1:0] reg_addr_t;
+ typedef logic [REG_DWIDTH-1:0] reg_data_t;
+
+ logic reg_wr_req;
+ reg_addr_t reg_wr_addr;
+ reg_data_t reg_wr_data;
+ logic reg_rd_req;
+ reg_addr_t reg_rd_addr;
+ logic reg_rd_resp, reg_rd_resp_io, reg_rd_resp_eth_if;
+ reg_data_t reg_rd_data, reg_rd_data_io, reg_rd_data_eth_if;
+
+ axil_regport_master #(
+ .DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64)
+ .AWIDTH (REG_AWIDTH_MISC), // Width of the address bus
+ .WRBASE (0), // Write address base
+ .RDBASE (0), // Read address base
+ .TIMEOUT (10) // log2(timeout). Read will timeout after (2^TIMEOUT - 1) cycles
+ ) axil_regport_master_i (
+ // Clock and reset
+ .s_axi_aclk (m_axi_misc_v[lane].clk),
+ .s_axi_aresetn (!m_axi_misc_v[lane].rst),
+ `AXI4LITE_PORT_ASSIGN_NR(s_axi,m_axi_misc_v[lane])
+ // Register port: Write port (domain: reg_clk)
+ .reg_clk (bus_clk),
+ .reg_wr_req (reg_wr_req),
+ .reg_wr_addr (reg_wr_addr),
+ .reg_wr_data (reg_wr_data),
+ .reg_wr_keep (/*unused*/),
+ // Register port: Read port (domain: reg_clk)
+ .reg_rd_req (reg_rd_req),
+ .reg_rd_addr (reg_rd_addr),
+ .reg_rd_resp (reg_rd_resp),
+ .reg_rd_data (reg_rd_data)
+ );
+
+ // Regport Mux for response
+ regport_resp_mux #(
+ .WIDTH (REG_DWIDTH),
+ .NUM_SLAVES (2)
+ ) regport_resp_mux_i (
+ .clk(bus_clk), .reset(bus_rst),
+ .sla_rd_resp({reg_rd_resp_eth_if, reg_rd_resp_io}),
+ .sla_rd_data({reg_rd_data_eth_if, reg_rd_data_io}),
+ .mst_rd_resp(reg_rd_resp), .mst_rd_data(reg_rd_data)
+ );
+
+
+ //---------------------------------------
+ // MGT IO Core
+ //---------------------------------------
+
+ localparam MGT_W = (IS100GBE) ? 512 : 64;
+ localparam MGT_USER_W = $clog2(MGT_W/8)+1;
+
+ // The Clocking for the MGT interfaces comes from the MGT Wrapper
+ // depending on the bus it may change.
+ logic mgt_rst, mgt_clk;
+ AxiStreamIf #(.DATA_WIDTH(MGT_W),.USER_WIDTH(MGT_USER_W))
+ mgt_tx(mgt_clk, mgt_rst);
+ AxiStreamIf #(.DATA_WIDTH(MGT_W),.USER_WIDTH(MGT_USER_W),.TKEEP(0))
+ mgt_rx(mgt_clk, mgt_rst);
+
+ logic mgt_pause_req;
+ logic [3:0] tx_p_lane;
+ logic [3:0] tx_n_lane;
+
+ if (IS10GBE[lane]) begin
+ // Single lane case:
+ assign tx_p[lane] = tx_p_lane[lane];
+ assign tx_n[lane] = tx_n_lane[lane];
+ end else if (IS100GBE[lane] || ISAURORA[lane]) begin
+ // Multi lane case:
+ assign tx_p = tx_p_lane;
+ assign tx_n = tx_n_lane;
+ end
+
+ x4xx_mgt_io_core #(
+ .PROTOCOL (PROTOCOL[lane]),
+ .REG_BASE (REG_BASE_SFP_IO),
+ .REG_DWIDTH (REG_DWIDTH), // Width of the AXI4-Lite data bus (must be 32 or 64)
+ .REG_AWIDTH (REG_AWIDTH_MISC), // Width of the address bus
+ .PORTNUM (PORTNUM),
+ .LANENUM (lane)
+ ) x4xx_mgt_io_core_i (
+ // Must reset all channels on quad when QSFP GTX core is reset
+ .areset (areset),
+
+ .mgt_rst (mgt_rst),
+ .mgt_clk (mgt_clk),
+
+ .clk100 (clk100),
+
+ .bus_rst (bus_rst),
+ .bus_clk (bus_clk),
+
+ .refclk_p (refclk_p),
+ .refclk_n (refclk_n),
+ .tx_p (tx_p_lane),
+ .tx_n (tx_n_lane),
+ .rx_p (rx_p),
+ .rx_n (rx_n),
+
+ // Common signals (for single lane instances)
+ .qpll0_reset (qpll0_reset_i[lane]),
+ .qpll0_lock (qpll0_lock),
+ .qpll0_clk (qpll0_clk),
+ .qpll0_refclk (qpll0_refclk),
+ .qpll1_reset (qpll1_reset_i[lane]),
+ .qpll1_lock (qpll1_lock),
+ .qpll1_clk (qpll1_clk),
+ .qpll1_refclk (qpll1_refclk),
+
+ // RegPort
+ .reg_wr_req (reg_wr_req),
+ .reg_wr_addr (reg_wr_addr),
+ .reg_wr_data (reg_wr_data),
+ .reg_rd_req (reg_rd_req),
+ .reg_rd_addr (reg_rd_addr),
+ .reg_rd_resp (reg_rd_resp_io),
+ .reg_rd_data (reg_rd_data_io),
+ // AxiLite
+ .m_axi_mac (m_axi_mac[lane]),
+ // Pause
+ .mgt_pause_req (mgt_pause_req),
+ // Data
+ .mgt_tx (mgt_tx),
+ .mgt_rx (mgt_rx),
+
+ // Misc.
+ .rx_rec_clk_out (rx_rec_clk_out_i[lane]),
+ .port_info (port_info[lane]),
+ .link_up (link_up[lane]),
+ .activity (activity[lane])
+ );
+
+
+ if (IS100GBE[lane] || IS10GBE[lane]) begin : eth_port
+
+ //---------------------------------------
+ // Ethernet IPv4 Interface for CHDR
+ //---------------------------------------
+
+ // Option to use a bigger FIFO for 100GBe.
+ // This is address width so +1 doubles the size +2 quadruples it.
+ localparam CHDR_FIFO_SIZE = (IS100GBE[lane]) ? BYTE_MTU+2 : BYTE_MTU;
+
+ AxiStreamIf #(.DATA_WIDTH(CPU_W), .USER_WIDTH(CPU_USER_W), .TUSER(0))
+ c2e (s_axi.clk, s_axi.rst);
+ AxiStreamIf #(.DATA_WIDTH(CPU_W), .USER_WIDTH(CPU_USER_W), .TUSER(0))
+ e2c (s_axi.clk, s_axi.rst);
+
+ localparam PAUSE_EN = (IS100GBE[lane]) ? 1 : 0;
+
+ // Ethernet interface
+ // (1) routes the packet to CHDR/CPU
+ // (2) implements a wrap back (eth_tx/eth_rx)
+ eth_ipv4_interface #(
+ .PROTOVER (RFNOC_PROTOVER),
+ .CPU_FIFO_SIZE (BYTE_MTU),
+ .CHDR_FIFO_SIZE (CHDR_FIFO_SIZE),
+ .NODE_INST (0),
+ .BASE (REG_BASE_ETH_SWITCH),
+ .PREAMBLE_BYTES (0),
+ .ADD_SOF (0),
+ .SYNC (0), // c2e/e2c don't use the same clock as eth_tx/eth_rx
+ .PAUSE_EN (PAUSE_EN),
+ .ENET_W (MGT_W),
+ .CPU_W (CPU_W),
+ .CHDR_W (CHDR_W)
+ ) eth_ipv4_interface_i (
+ .bus_clk (bus_clk),
+ .bus_rst (bus_rst),
+ .device_id (device_id),
+ .reg_wr_req (reg_wr_req),
+ .reg_wr_addr (reg_wr_addr),
+ .reg_wr_data (reg_wr_data),
+ .reg_rd_req (reg_rd_req),
+ .reg_rd_addr (reg_rd_addr),
+ .reg_rd_resp (reg_rd_resp_eth_if),
+ .reg_rd_data (reg_rd_data_eth_if),
+ .eth_pause_req (mgt_pause_req),
+ .eth_tx (mgt_tx),
+ .eth_rx (mgt_rx),
+ .e2v (e2v[lane]),
+ .v2e (v2e[lane]),
+ .e2c (e2c),
+ .c2e (c2e),
+ .my_udp_chdr_port (/* unused */),
+ .my_ip (/* unused */),
+ .my_mac (/* unused */)
+ );
+
+ axi_eth_dma axi_eth_dma_i (
+ .c2e (c2e),
+ .e2c (e2c),
+ .s_axi_eth_dma (m_axi_dma[lane]),
+ .axi_hp (axi_hp_dma[lane]),
+ .eth_tx_irq (eth_tx_irq[lane]),
+ .eth_rx_irq (eth_rx_irq[lane])
+ );
+
+ end : eth_port else begin : not_eth
+
+ //---------------------------------------
+ // Terminate DMA for Unused Ethernet
+ //---------------------------------------
+
+ // Set unused ETH_DMA ports to default value
+ always_comb begin
+ m_axi_dma[lane].drive_read_resp(.resp(SLVERR),.data(0));
+ m_axi_dma[lane].drive_write_resp(.resp(SLVERR));
+ m_axi_dma[lane].arready = 1'b1;
+ m_axi_dma[lane].awready = 1'b1;
+ m_axi_dma[lane].wready = 1'b1;
+
+ axi_hp_dma[lane].drive_read_idle();
+ axi_hp_dma[lane].drive_aw_idle();
+ axi_hp_dma[lane].drive_w_idle();
+ axi_hp_dma[lane].bready = 1'b0;
+ axi_hp_dma[lane].rready = 1'b0;
+
+ mgt_pause_req = 0'b0;
+
+ eth_rx_irq[lane] = 1'b0;
+ eth_tx_irq[lane] = 1'b0;
+
+ reg_rd_resp_eth_if = 1'b0;
+ reg_rd_data_eth_if = 'h0;
+ end
+
+ if (ISAURORA[lane]) begin : aurora_port
+
+ //---------------------------------------
+ // Aurora
+ //---------------------------------------
+
+ Aurora_not_yet_supported();
+
+ // if MGT_W and CHDR_W mismatch figure out what to do
+ always_comb begin
+ e2v[lane].tdata = mgt_rx.tdata;
+ e2v[lane].tuser = 'b0;
+ e2v[lane].tkeep = 'b1;
+ e2v[lane].tlast = mgt_rx.tlast;
+ e2v[lane].tvalid = mgt_rx.tvalid;
+ mgt_rx.tready = e2v[lane].tready;
+
+ mgt_tx.tdata = v2e[lane].tdata;
+ mgt_tx.tuser = 'b0;
+ mgt_tx.tkeep = 'b1;
+ mgt_tx.tlast = v2e[lane].tlast;
+ mgt_tx.tvalid = v2e[lane].tvalid;
+ v2e[lane].tready = mgt_tx.tready;
+ end
+
+ end else begin : inactive_port
+
+ //---------------------------------------
+ // Disabled Port
+ //---------------------------------------
+
+ always_comb begin
+ e2v[lane].tdata = 'b0;
+ e2v[lane].tuser = 'b0;
+ e2v[lane].tkeep = 'b1;
+ e2v[lane].tlast = 1'b0;
+ e2v[lane].tvalid = 1'b0;
+ mgt_rx.tready = 1'b1;
+
+ mgt_tx.tdata = 'b0;
+ mgt_tx.tuser = 'b0;
+ mgt_tx.tkeep = 'b1;
+ mgt_tx.tlast = 1'b0;
+ mgt_tx.tvalid = 1'b0;
+ v2e[lane].tready = 1'b1;
+ end
+
+ end : inactive_port
+ end : not_eth
+ end : lane_loop
+ end : mgt_lanes
+ endgenerate
+
+endmodule
diff --git a/fpga/usrp3/top/x400/x4xx_qsfp_wrapper_temp.sv b/fpga/usrp3/top/x400/x4xx_qsfp_wrapper_temp.sv
new file mode 100644
index 000000000..8b0a5e889
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_qsfp_wrapper_temp.sv
@@ -0,0 +1,329 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_qsfp_wrapper_temp
+//
+// Description:
+//
+// Translation layer between Verilog and SystemVerilog for x4xx_qsfp_wrapper.
+//
+// Parameters:
+//
+// PROTOCOL : Indicates the protocol to use for each of the 4 QSFP
+// lanes. See x4xx_mgt_types.vh for possible values.
+// CPU_W : Width of CPU interface
+// CHDR_W : CHDR bus width
+// BYTE_MTU : Transport MTU in bytes
+// PORTNUM : Port number to distinguish multiple QSFP ports
+// RFNOC_PROTOVER : RFNoC protocol version for IPv4 interface
+//
+
+`include "./x4xx_mgt_types.vh"
+
+
+module x4xx_qsfp_wrapper_temp #(
+ parameter PROTOCOL0 = `MGT_Disabled,
+ parameter PROTOCOL1 = `MGT_Disabled,
+ parameter PROTOCOL2 = `MGT_Disabled,
+ parameter PROTOCOL3 = `MGT_Disabled,
+ parameter CPU_W = 64,
+ parameter CHDR_W = 64,
+ parameter BYTE_MTU = $clog2(8*1024),
+ parameter [7:0] PORTNUM = 8'd0
+) (
+ // Resets
+ input logic areset,
+ input logic bus_rst,
+ input logic clk40_rst,
+
+ // Clocks
+ input logic refclk_p,
+ input logic refclk_n,
+ input logic clk100,
+ input logic clk40,
+ input logic bus_clk,
+
+ // AXI-Lite
+ input logic [39:0] s_axi_awaddr,
+ input logic s_axi_awvalid,
+ output logic s_axi_awready,
+ input logic [31:0] s_axi_wdata,
+ input logic [ 3:0] s_axi_wstrb,
+ input logic s_axi_wvalid,
+ output logic s_axi_wready,
+ output logic [ 1:0] s_axi_bresp,
+ output logic s_axi_bvalid,
+ input logic s_axi_bready,
+ input logic [39:0] s_axi_araddr,
+ input logic s_axi_arvalid,
+ output logic s_axi_arready,
+ output logic [31:0] s_axi_rdata,
+ output logic [ 1:0] s_axi_rresp,
+ output logic s_axi_rvalid,
+ input logic s_axi_rready,
+
+ // MGT high-speed IO
+ output logic [3:0] tx_p,
+ output logic [3:0] tx_n,
+ input logic [3:0] rx_p,
+ input logic [3:0] rx_n,
+
+ // CHDR router interface
+ output logic [4*CHDR_W-1:0] e2v_tdata,
+ output logic [ 3:0] e2v_tlast,
+ output logic [ 3:0] e2v_tvalid,
+ input logic [ 3:0] e2v_tready,
+
+ input logic [4*CHDR_W-1:0] v2e_tdata,
+ input logic [ 3:0] v2e_tlast,
+ input logic [ 3:0] v2e_tvalid,
+ output logic [ 3:0] v2e_tready,
+
+ // Ethernet DMA AXI to CPU memory
+ output logic [ 48:0] axi_hp_araddr,
+ output logic [ 1:0] axi_hp_arburst,
+ output logic [ 3:0] axi_hp_arcache,
+ output logic [ 7:0] axi_hp_arlen,
+ output logic [ 0:0] axi_hp_arlock,
+ output logic [ 2:0] axi_hp_arprot,
+ output logic [ 3:0] axi_hp_arqos,
+ input logic axi_hp_arready,
+ output logic [ 2:0] axi_hp_arsize,
+ output logic axi_hp_arvalid,
+ output logic [ 48:0] axi_hp_awaddr,
+ output logic [ 1:0] axi_hp_awburst,
+ output logic [ 3:0] axi_hp_awcache,
+ output logic [ 7:0] axi_hp_awlen,
+ output logic [ 0:0] axi_hp_awlock,
+ output logic [ 2:0] axi_hp_awprot,
+ output logic [ 3:0] axi_hp_awqos,
+ input logic axi_hp_awready,
+ output logic [ 2:0] axi_hp_awsize,
+ output logic axi_hp_awvalid,
+ output logic axi_hp_bready,
+ input logic [ 1:0] axi_hp_bresp,
+ input logic axi_hp_bvalid,
+ input logic [127:0] axi_hp_rdata,
+ input logic axi_hp_rlast,
+ output logic axi_hp_rready,
+ input logic [ 1:0] axi_hp_rresp,
+ input logic axi_hp_rvalid,
+ output logic [127:0] axi_hp_wdata,
+ output logic axi_hp_wlast,
+ input logic axi_hp_wready,
+ output logic [ 15:0] axi_hp_wstrb,
+ output logic axi_hp_wvalid,
+
+ // Ethernet DMA IRQs
+ output logic [3:0] eth_rx_irq,
+ output logic [3:0] eth_tx_irq,
+
+ // Misc.
+ output logic rx_rec_clk_out,
+ input logic [15:0] device_id,
+
+ output logic [31:0] port_info_0,
+ output logic [31:0] port_info_1,
+ output logic [31:0] port_info_2,
+ output logic [31:0] port_info_3,
+
+ output logic [3:0] link_up,
+ output logic [3:0] activity
+
+);
+
+ import PkgAxiLite::*;
+
+ `include "../../lib/axi4lite_sv/axi_lite.vh"
+ `include "../../lib/axi4s_sv/axi4s.vh"
+
+
+ //---------------------------------------------------------------------------
+ // AXI Interfaces
+ //---------------------------------------------------------------------------
+
+ localparam CHDR_USER_W = $clog2(CHDR_W/8);
+ localparam CPU_USER_W = $clog2(CPU_W/8)+1;
+
+ // AXI-Stream for RFNoC CHDR
+ AxiStreamIf #(.DATA_WIDTH(CHDR_W), .USER_WIDTH(CHDR_USER_W),
+ .TKEEP(0), .TUSER(0))
+ v2e[4] (bus_clk, bus_rst);
+ AxiStreamIf #(.DATA_WIDTH(CHDR_W), .USER_WIDTH(CHDR_USER_W),
+ .TKEEP(0), .TUSER(0))
+ e2v[4] (bus_clk, bus_rst);
+
+ // AXI-Lite register interface
+ AxiLiteIf #(.DATA_WIDTH(32), .ADDR_WIDTH(40))
+ s_axi (clk40, clk40_rst);
+
+ // AXI (Full) for DMA back to CPU memory
+ AxiIf #(.DATA_WIDTH(128), .ADDR_WIDTH(49))
+ axi_hp (clk40, clk40_rst);
+
+ logic [3:0][31:0] port_info;
+
+
+ //---------------------------------------------------------------------------
+ // Translate Signals to Interfaces
+ //---------------------------------------------------------------------------
+
+ always_comb begin
+ port_info_0 = port_info[0];
+ port_info_1 = port_info[1];
+ port_info_2 = port_info[2];
+ port_info_3 = port_info[3];
+
+ //---------------------------------
+ // s_axi
+ //---------------------------------
+
+ // Write channel
+ s_axi.awaddr[39:18] = 0;
+ s_axi.awaddr[17:0] = s_axi_awaddr[17:0]; // 256 KiB window
+ s_axi.awvalid = s_axi_awvalid;
+ s_axi_awready = s_axi.awready;
+
+ s_axi.wdata = s_axi_wdata[31:0];
+ s_axi.wstrb = s_axi_wstrb;
+ s_axi.wvalid = s_axi_wvalid;
+ s_axi_wready = s_axi.wready;
+
+ s_axi_bresp = s_axi.bresp[1:0];
+ s_axi_bvalid = s_axi.bvalid;
+ s_axi.bready = s_axi_bready;
+
+ // Read channel
+ s_axi.araddr[39:18] = 0;
+ s_axi.araddr[17:0] = s_axi_araddr[17:0]; // 256 KiB window
+ s_axi.arvalid = s_axi_arvalid;
+ s_axi_arready = s_axi.arready;
+
+ s_axi_rdata[31:0] = s_axi.rdata;
+ s_axi_rresp = s_axi.rresp[1:0];
+ s_axi_rvalid = s_axi.rvalid;
+ s_axi.rready = s_axi_rready;
+
+ //---------------------------------
+ // axi_hp
+ //---------------------------------
+
+ // Write channel
+ axi_hp_awaddr = axi_hp.awaddr;
+ axi_hp_awburst = axi_hp.awburst;
+ axi_hp_awcache = axi_hp.awcache;
+ axi_hp_awlen = axi_hp.awlen;
+ axi_hp_awsize = axi_hp.awsize;
+ axi_hp_awlock = axi_hp.awlock;
+ axi_hp_awprot = axi_hp.awprot;
+ axi_hp_awqos = axi_hp.awqos;
+ axi_hp_awvalid = axi_hp.awvalid;
+ axi_hp.awready = axi_hp_awready;
+
+ axi_hp_wdata = axi_hp.wdata;
+ axi_hp_wstrb = axi_hp.wstrb;
+ axi_hp_wlast = axi_hp.wlast;
+ axi_hp_wvalid = axi_hp.wvalid;
+ axi_hp.wready = axi_hp_wready;
+
+ axi_hp.bresp[1:0] = axi_hp_bresp;
+ axi_hp.bvalid = axi_hp_bvalid;
+ axi_hp_bready = axi_hp.bready;
+
+ // Read channel
+ axi_hp_araddr = axi_hp.araddr;
+ axi_hp_arburst = axi_hp.arburst;
+ axi_hp_arcache = axi_hp.arcache;
+ axi_hp_arlen = axi_hp.arlen;
+ axi_hp_arsize = axi_hp.arsize;
+ axi_hp_arlock = axi_hp.arlock;
+ axi_hp_arprot = axi_hp.arprot;
+ axi_hp_arqos = axi_hp.arqos;
+ axi_hp_arvalid = axi_hp.arvalid;
+ axi_hp.arready = axi_hp_arready;
+
+ axi_hp.rdata = axi_hp_rdata;
+ axi_hp.rresp[1:0] = axi_hp_rresp;
+ axi_hp.rlast = axi_hp_rlast;
+ axi_hp.rvalid = axi_hp_rvalid;
+ axi_hp_rready = axi_hp.rready;
+
+ //---------------------------------
+ // CHDR Links
+ //---------------------------------
+
+ e2v_tdata[1*CHDR_W-1:0*CHDR_W] = e2v[0].tdata;
+ e2v_tlast[0] = e2v[0].tlast;
+ e2v_tvalid[0] = e2v[0].tvalid;
+ e2v[0].tready = e2v_tready[0];
+
+ e2v_tdata[2*CHDR_W-1:1*CHDR_W] = e2v[1].tdata;
+ e2v_tlast[1] = e2v[1].tlast;
+ e2v_tvalid[1] = e2v[1].tvalid;
+ e2v[1].tready = e2v_tready[1];
+
+ e2v_tdata[3*CHDR_W-1:2*CHDR_W] = e2v[2].tdata;
+ e2v_tlast[2] = e2v[2].tlast;
+ e2v_tvalid[2] = e2v[2].tvalid;
+ e2v[2].tready = e2v_tready[2];
+
+ e2v_tdata[4*CHDR_W-1:3*CHDR_W] = e2v[3].tdata;
+ e2v_tlast[3] = e2v[3].tlast;
+ e2v_tvalid[3] = e2v[3].tvalid;
+ e2v[3].tready = e2v_tready[3];
+
+ v2e[0].tdata = v2e_tdata[1*CHDR_W-1:0*CHDR_W];
+ v2e[0].tlast = v2e_tlast[0];
+ v2e[0].tvalid = v2e_tvalid[0];
+ v2e_tready[0] = v2e[0].tready;
+
+ v2e[1].tdata = v2e_tdata[2*CHDR_W-1:1*CHDR_W];
+ v2e[1].tlast = v2e_tlast[1];
+ v2e[1].tvalid = v2e_tvalid[1];
+ v2e_tready[1] = v2e[1].tready;
+
+ v2e[2].tdata = v2e_tdata[3*CHDR_W-1:2*CHDR_W];
+ v2e[2].tlast = v2e_tlast[2];
+ v2e[2].tvalid = v2e_tvalid[2];
+ v2e_tready[2] = v2e[2].tready;
+
+ v2e[3].tdata = v2e_tdata[4*CHDR_W-1:3*CHDR_W];
+ v2e[3].tlast = v2e_tlast[3];
+ v2e[3].tvalid = v2e_tvalid[3];
+ v2e_tready[3] = v2e[3].tready;
+ end
+
+ x4xx_qsfp_wrapper #(
+ .PROTOCOL ({ PROTOCOL3, PROTOCOL2, PROTOCOL1, PROTOCOL0 }),
+ .CPU_W (CPU_W),
+ .CHDR_W (CHDR_W),
+ .BYTE_MTU (BYTE_MTU),
+ .PORTNUM (PORTNUM)
+ ) x4xx_qsfp_wrapper_i (
+ .areset (areset),
+ .refclk_p (refclk_p),
+ .refclk_n (refclk_n),
+ .bus_rst (bus_rst),
+ .clk40_rst (clk40_rst),
+ .clk100 (clk100),
+ .bus_clk (bus_clk),
+ .s_axi (s_axi),
+ .tx_p (tx_p),
+ .tx_n (tx_n),
+ .rx_p (rx_p),
+ .rx_n (rx_n),
+ .e2v (e2v),
+ .v2e (v2e),
+ .axi_hp (axi_hp),
+ .eth_tx_irq (eth_tx_irq),
+ .eth_rx_irq (eth_rx_irq),
+ .device_id (device_id),
+ .rx_rec_clk_out (rx_rec_clk_out),
+ .port_info (port_info),
+ .link_up (link_up),
+ .activity (activity)
+ );
+
+endmodule
diff --git a/fpga/usrp3/top/x400/x4xx_versioning_regs.v b/fpga/usrp3/top/x400/x4xx_versioning_regs.v
new file mode 100644
index 000000000..b34e1294e
--- /dev/null
+++ b/fpga/usrp3/top/x400/x4xx_versioning_regs.v
@@ -0,0 +1,267 @@
+//
+// Copyright 2021 Ettus Research, A National Instruments Brand
+//
+// SPDX-License-Identifier: LGPL-3.0-or-later
+//
+// Module: x4xx_versioning_regs
+//
+// Description:
+//
+// This module contains the motherboard registers for tracking
+// HDL components versions.
+//
+// This versioning module is comprised of up to 256 read-only registers,
+// which provide versioning information for up to 64 components (256/4).
+// Each component has 3 x 32-bit registers for the following purpose:
+// - Current version
+// - Oldest compatible version
+// - Last modified time stamp
+//
+// Note that in order to facilitate implementation, each component
+// allocates a 4th register address (Reserved) for future use.
+//
+// Allocation of the 64 addressable components is shown below.
+// This allocation determines the definition of COMPONENTS_INDEXES
+// in the register map documentation below.
+//
+// --- Common components ---
+// Reserved space for up to 24 components.
+// - FPGA
+// - MB CPLD interface
+// - RF core (db 0)
+// - RF core (db 1)
+// - GPIO interface (db 0)
+// - GPIO interface (db 1)
+//
+// --- UHD-specific components ---
+// Reserved space for up to 20 components.
+// - QSFP wrapper (port 0)
+// - QSFP wrapper (port 1)
+//
+// --- LV-specific components ---
+// Reserved space for up to 20 components.
+//
+// Parameters:
+//
+// REG_BASE : Base address to use for registers.
+//
+
+`default_nettype none
+
+
+module x4xx_versioning_regs #(
+ parameter REG_BASE = 0
+) (
+ // Slave ctrlport interface
+ input wire s_ctrlport_clk,
+ input wire s_ctrlport_rst,
+ input wire s_ctrlport_req_wr,
+ input wire s_ctrlport_req_rd,
+ input wire [19:0] s_ctrlport_req_addr,
+ input wire [31:0] s_ctrlport_req_data,
+ output reg s_ctrlport_resp_ack = 1'b0,
+ output reg [ 1:0] s_ctrlport_resp_status = 2'b00,
+ output reg [31:0] s_ctrlport_resp_data = {32 {1'bX}},
+
+ // Version (Constant)
+ // Each component consists of a 96-bit vector (refer to versioning_utils.vh)
+ input wire [64*96-1:0] version_info
+);
+
+ `include "regmap/versioning_regs_regmap_utils.vh"
+ `include "regmap/versioning_utils.vh"
+ `include "../../lib/rfnoc/core/ctrlport.vh"
+
+ // 64 components * 4 registers * 4 addresses p/ register
+ localparam REG_SIZE = MAX_NUM_OF_COMPONENTS*4*4;
+
+ //--------------------------------------------------------------------
+ // Versioning Registers
+ // -------------------------------------------------------------------
+
+ // Check that address is within this module's range.
+ wire address_in_range = (s_ctrlport_req_addr >= REG_BASE) && (s_ctrlport_req_addr < REG_BASE + REG_SIZE);
+ // Mask out 6 bits (64 components) to be able to compare all components
+ // against the same base register address.
+ wire [31:0] register_base_address = {s_ctrlport_req_addr[19:10], 6'b0, s_ctrlport_req_addr[3:0]};
+ // Extract masked out bits from the address, which represent the
+ // component that is being addressed (0-63) = 6 bits.
+ wire [ 5:0] component_index = s_ctrlport_req_addr[9:4];
+
+ // Obtain the indexed component's versions
+ wire [COMPONENT_VERSIONS_SIZE-1:0] component_versions = get_component_versions(version_info, component_index);
+
+ // Registers implementation
+ always @ (posedge s_ctrlport_clk) begin
+ if (s_ctrlport_rst) begin
+ s_ctrlport_resp_ack <= 1'b0;
+ s_ctrlport_resp_status <= 2'b00;
+ s_ctrlport_resp_data <= {32 {1'bX}};
+
+ end else begin
+ // Write registers
+ if (s_ctrlport_req_wr) begin
+ // Do not acknowledge by default
+ s_ctrlport_resp_ack <= 1'b0;
+ s_ctrlport_resp_data <= 32'h0;
+
+ // No writable registers
+
+ // Acknowledge and provide error status if address is in range
+ if (address_in_range) begin
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+ end
+
+ // Read registers
+ end else if (s_ctrlport_req_rd) begin
+ // Acknowledge by default
+ s_ctrlport_resp_ack <= 1'b1;
+ s_ctrlport_resp_data <= 32'h0;
+ s_ctrlport_resp_status <= CTRL_STS_OKAY;
+
+ case (register_base_address)
+
+ // Each concatenated 96-bit vector contains 3 x 32-bit values:
+ // [31: 0] -> Current version
+ // [63:32] -> Oldest compatible version
+ // [95:64] -> Last modified
+
+ REG_BASE + CURRENT_VERSION(0): begin
+ s_ctrlport_resp_data[VERSION_TYPE_SIZE-1:0] <= current_version(component_versions);
+ end
+ REG_BASE + OLDEST_COMPATIBLE_VERSION(0): begin
+ s_ctrlport_resp_data[VERSION_TYPE_SIZE-1:0] <= oldest_compatible_version(component_versions);
+ end
+ REG_BASE + VERSION_LAST_MODIFIED(0): begin
+ s_ctrlport_resp_data[TIMESTAMP_TYPE_SIZE-1:0] <= version_last_modified(component_versions);
+ end
+
+ // Do not acknowledge if address is not defined
+ default: begin
+ if (address_in_range) begin
+ s_ctrlport_resp_status <= CTRL_STS_CMDERR;
+
+ // No response if out of range
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ endcase
+
+ end else begin
+ s_ctrlport_resp_ack <= 1'b0;
+ end
+ end
+ end
+
+endmodule
+
+
+`default_nettype wire
+
+
+//XmlParse xml_on
+//<regmap name="VERSIONING_REGS_REGMAP" readablestrobes="false" generatevhdl="true" ettusguidelines="true">
+// <group name="VERSIONING_REGS">
+// <regtype name="VERSION_TYPE" size="32" attributes="Readable">
+// <bitfield name="MAJOR" range="31..23" initialvalue="0">
+// <info>
+// Major number (max = 511): an increase reflects a breaking change.{BR/}
+// <b>IMPORTANT!</b> @.MAJOR must always remain in sync between the component's
+// @.CURRENT_VERSION and @.OLDEST_COMPATIBLE_VERSION registers.{BR/}{BR/}
+// Update @.MAJOR when:
+// <li>the component has changed and requires a software changes as a result.
+// <li>the component's bitfields/registers have been modified or deleted.
+// <li>the component's bitfields/registers are initialized to different value (unexpected by software).
+// <li>new bitfields/registers are added that require software interaction for the component to operate.
+// </info>
+// </bitfield>
+// <bitfield name="MINOR" range="22..12" initialvalue="0">
+// <info>
+// Minor number (max = 2047): an increase reflects a non-breaking change that the driver should be aware of.{BR/}{BR/}
+// Update @.MINOR when:
+// <li>a new feature is added to the component, which does not conflict with the driver.
+// <li>minor implementation changes were made to the component which are worth tracking.
+// <li>the component has added new bitfields/registers that do not require software interaction
+// (i.e. the default value is 0 and writing 0 does not change behavior, assuming SW writes 0's to
+// previously undefined bits).
+// <li>@.MAJOR is updated (reset @.MINOR to 0).
+// </info>
+// </bitfield>
+// <bitfield name="BUILD" range="11..0" initialvalue="0">
+// <info>
+// Build number (max = 4095): an increase reflects a change in the source code that yields a new implementation,
+// but that should not impact the component's behavior {BR/}
+// Eventually, this number is intended to be automatically incremented for any new build.{BR/}{BR/}
+// Meanwhile, update @.BUILD when:
+// <li>the component's source code changes are not captured by @.MAJOR or @.MINOR.
+// <li>@.MINOR or @.MAJOR are updated (reset @.BUILD to 0).
+// </info>
+// </bitfield>
+// </regtype>
+// <regtype name="TIMESTAMP_TYPE" size="32" attributes="Readable">
+// <info>
+// Component's versions update time.{BR/}
+// This register provides the time stamp for the last modification to
+// the component's versions (current & oldest compatible).
+// The time stamp is provided in hexadecimal format: 0xYYMMDDHH.
+// </info>
+// <bitfield name="YY" range="31..24">
+// <info>This is the year number after 2000 (e.g. 2019 = 0x19).</info>
+// </bitfield>
+// <bitfield name="MM" range="23..16"/>
+// <bitfield name="DD" range="15..8"/>
+// <bitfield name="HH" range="7..0"/>
+// </regtype>
+// <regtype name="RESERVED_TYPE" size="32" attributes="Readable">
+// <info>
+// Reserved.
+// </info>
+// </regtype>
+//
+// <enumeratedtype name="COMPONENTS_INDEXES">
+// <info>
+// This enum contains indexes for all the components in the X410
+// (both common and app-specific) which version information is
+// desired to be available for compatibility tracking purposes.{BR/}
+// {table border="1"}
+// {tr}{th}Description{/th} {th}Index range{/th} {th}Max # of components{/th}{/tr}
+// {tr}{td}Common components{/td} {td}0 to 23{/td} {td}24{/td}{/tr}
+// {tr}{td}UHD-specific components{/td} {td}24 to 43{/td} {td}20{/td}{/tr}
+// {tr}{td}LV-specific components{/td} {td}44 to 63{/td} {td}20{/td}{/tr}
+// {/table}
+// </info>
+// <value name="FPGA_VERSION_INDEX" integer="0"/>
+// <value name="CPLD_IFC_INDEX" integer="1"/>
+// <value name="DB0_RF_CORE_INDEX" integer="2"/>
+// <value name="DB1_RF_CORE_INDEX" integer="3"/>
+// <value name="DB0_GPIO_IFC_INDEX" integer="4"/>
+// <value name="DB1_GPIO_IFC_INDEX" integer="5"/>
+// </enumeratedtype>
+//
+// <register name="CURRENT_VERSION" offset="0x0" count="64" step="16" typename="VERSION_TYPE">
+// <info>
+// Component's current version.{BR/}
+// This register contains the current component's version implemented in HDL.
+// The current version shall be used to detect a component being too
+// old for the driver/software:{BR/}
+// <b>SW oldest compatible version > Component's current version --> Component is too old.</b>
+// </info>
+// </register>
+// <register name="OLDEST_COMPATIBLE_VERSION" offset="0x4" count="64" step="16" typename="VERSION_TYPE">
+// <info>
+// Component's oldest compatible version.{BR/}
+// This register contains the oldest compatible component's version, that is the oldest
+// component's implementation that is compatible with the current implementation.{BR/}
+// The oldest compatible version shall be used to detect a component being too
+// new for the driver/software:{BR/}
+// <b>SW current version < Component's oldest compatible version --> Component is too new.</b>
+// </info>
+// </register>
+// <register name="VERSION_LAST_MODIFIED" offset="0x8" count="64" step="16" typename="TIMESTAMP_TYPE"/>
+// <register name="RESERVED" offset="0xC" count="64" step="16" typename="RESERVED_TYPE"/>
+//
+// </group>
+//</regmap>
+//XmlParse xml_off