diff options
Diffstat (limited to 'usrp2/opencores/zpu/zpu_top_pkg.vhd')
-rw-r--r-- | usrp2/opencores/zpu/zpu_top_pkg.vhd | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/usrp2/opencores/zpu/zpu_top_pkg.vhd b/usrp2/opencores/zpu/zpu_top_pkg.vhd index a158ab9c0..23ff48c39 100644 --- a/usrp2/opencores/zpu/zpu_top_pkg.vhd +++ b/usrp2/opencores/zpu/zpu_top_pkg.vhd @@ -35,7 +35,7 @@ package zpu_top_pkg is -- ZPU Control signals enable : in std_logic; interrupt : in std_logic; - stack_start : in std_logic_vector(maxAddrBitIncIO downto 0); + zpu_status : out std_logic_vector(63 downto 0); -- wishbone interfaces |