aboutsummaryrefslogtreecommitdiffstats
path: root/usrp2/opencores/zpu/zpu_top_pkg.vhd
blob: a158ab9c05b46f88db8c7760f95041364e6eed5b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

library work;
use work.zpupkg.all;
use work.zpu_config.all;
use work.wishbone_pkg.all;

package zpu_top_pkg is
    component zpu_wb_bridge is
    port (	-- Native ZPU interface
            clk 				: in std_logic;
            areset 				: in std_logic;

            mem_req 			: in std_logic;
            mem_we				: in std_logic;
            mem_ack				: out std_logic; 
            mem_read 			: out std_logic_vector(wordSize-1 downto 0);
            mem_write 			: in std_logic_vector(wordSize-1 downto 0);
            out_mem_addr 		: in std_logic_vector(maxAddrBitIncIO downto 0);
            mem_writeMask		: in std_logic_vector(wordBytes-1 downto 0);
            
            -- Wishbone from ZPU
            zpu_wb_i			: in wishbone_bus_out;
            zpu_wb_o			: out wishbone_bus_in);
    end component;

    component zpu_system is
    generic(
            simulate		: boolean := false);
    port (	areset			: in std_logic;
            cpu_clk			: in std_logic;

            -- ZPU Control signals
            enable			: in std_logic;
            interrupt		: in std_logic;
            stack_start		: in std_logic_vector(maxAddrBitIncIO downto 0);
            zpu_status		: out std_logic_vector(63 downto 0);

            -- wishbone interfaces
            zpu_wb_i		: in wishbone_bus_out;
            zpu_wb_o		: out wishbone_bus_in);
    end component;

end zpu_top_pkg;