aboutsummaryrefslogtreecommitdiffstats
path: root/fpga/usrp3/lib/dsp
diff options
context:
space:
mode:
Diffstat (limited to 'fpga/usrp3/lib/dsp')
-rw-r--r--fpga/usrp3/lib/dsp/variable_delay_line.v4
1 files changed, 1 insertions, 3 deletions
diff --git a/fpga/usrp3/lib/dsp/variable_delay_line.v b/fpga/usrp3/lib/dsp/variable_delay_line.v
index ccef6172f..b31cdade0 100644
--- a/fpga/usrp3/lib/dsp/variable_delay_line.v
+++ b/fpga/usrp3/lib/dsp/variable_delay_line.v
@@ -42,9 +42,7 @@ module variable_delay_line #(
input wire [$clog2(DEPTH)-1:0] delay,
output wire [WIDTH-1:0] data_out
);
- //FIXME: Change to localparam when Vivado doesn't freak out
- // about the use of clog2.
- parameter ADDR_W = $clog2(DEPTH+1);
+ localparam ADDR_W = $clog2(DEPTH+1);
localparam DATA_W = WIDTH;
//-----------------------------------------------------------