diff options
author | Martin Braun <martin.braun@ettus.com> | 2014-10-07 11:25:20 +0200 |
---|---|---|
committer | Martin Braun <martin.braun@ettus.com> | 2014-10-07 11:25:20 +0200 |
commit | fd3e84941de463fa1a7ebab0a69515b4bf2614cd (patch) | |
tree | 3fa721a13d41d2c0451d663a59a220a38fd5e614 /fpga/usrp3/lib/control/setting_reg.v | |
parent | 3b66804e41891e358c790b453a7a59ec7462dba4 (diff) | |
download | uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.tar.gz uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.tar.bz2 uhd-fd3e84941de463fa1a7ebab0a69515b4bf2614cd.zip |
Removed copy of FPGA source files.
Diffstat (limited to 'fpga/usrp3/lib/control/setting_reg.v')
-rw-r--r-- | fpga/usrp3/lib/control/setting_reg.v | 35 |
1 files changed, 0 insertions, 35 deletions
diff --git a/fpga/usrp3/lib/control/setting_reg.v b/fpga/usrp3/lib/control/setting_reg.v deleted file mode 100644 index 1664f54e2..000000000 --- a/fpga/usrp3/lib/control/setting_reg.v +++ /dev/null @@ -1,35 +0,0 @@ -// -// Copyright 2011-2012 Ettus Research LLC -// - - -//---------------------------------------------------------------------- -//-- A settings register is a peripheral for the settings register bus. -//-- When the settings register sees strobe abd a matching address, -//-- the outputs will be become registered to the given input bus. -//---------------------------------------------------------------------- - -module setting_reg - #(parameter my_addr = 0, - parameter awidth = 8, - parameter width = 32, - parameter at_reset=0) - (input clk, input rst, input strobe, input wire [awidth-1:0] addr, - input wire [31:0] in, output reg [width-1:0] out, output reg changed); - - always @(posedge clk) - if(rst) - begin - out <= at_reset; - changed <= 1'b0; - end - else - if(strobe & (my_addr==addr)) - begin - out <= in[width-1:0]; - changed <= 1'b1; - end - else - changed <= 1'b0; - -endmodule // setting_reg |